JP2011003712A - Method of treating substrate, and storage medium - Google Patents

Method of treating substrate, and storage medium Download PDF

Info

Publication number
JP2011003712A
JP2011003712A JP2009145379A JP2009145379A JP2011003712A JP 2011003712 A JP2011003712 A JP 2011003712A JP 2009145379 A JP2009145379 A JP 2009145379A JP 2009145379 A JP2009145379 A JP 2009145379A JP 2011003712 A JP2011003712 A JP 2011003712A
Authority
JP
Japan
Prior art keywords
processing
wafer
value
chamber
physical property
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009145379A
Other languages
Japanese (ja)
Other versions
JP5279627B2 (en
Inventor
Naganori Sakai
永典 酒井
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2009145379A priority Critical patent/JP5279627B2/en
Publication of JP2011003712A publication Critical patent/JP2011003712A/en
Application granted granted Critical
Publication of JP5279627B2 publication Critical patent/JP5279627B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Drying Of Semiconductors (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a method of treating a substrate, capable of applying an optimum treatment to each wafer without measuring a property value after treatment of all the wafers even when an OR transport process is performed.SOLUTION: The method of treating the substrate for continuously applying a shrink treatment to a plurality of wafers W by an OR transport process using a device for treating a substrate including a chamber and a measuring instrument includes: a measurement step before a treatment of measuring a CD value of the wafer W before a treatment; a treatment step of applying a shrink treatment to the wafer W by FF control in accordance with a treatment condition created based on the obtained CD value; a measurement step after the treatment of measuring the CD value of the wafer W after the treatment; and an offset value update step of updating an offset value for creating the treatment condition in the FF control based on the difference between the CD value after the treatment and a target value. The measurement step after the treatment and the offset value update step are skipped until a passage processing time in the chamber reaches a predetermined value.

Description

本発明は、基板処理方法及び記憶媒体に関し、特に、フィードフォワード制御及びフィードバック制御によって処理の適正を図る基板処理方法に関する。   The present invention relates to a substrate processing method and a storage medium, and more particularly to a substrate processing method for achieving appropriate processing by feedforward control and feedback control.

基板処理装置を用いて複数の基板としての半導体ウエハ(以下、単に「ウエハ」という。)に連続して所定の処理を施す際、処理容器(以下、「チャンバ」という。)内で、処理中に生成される反応生成物がチャンバの内壁面に徐々に付着するなどの理由により、チャンバ内の雰囲気が徐々に変化する。従って、チャンバ内雰囲気の変化に対応しながら精度良く基板処理を実行するために、フィードフォワード(FF)制御及びフィードバック(FB)制御が採用されている(例えば特許文献1参照)。   When a predetermined processing is successively performed on semiconductor wafers (hereinafter simply referred to as “wafers”) as a plurality of substrates using a substrate processing apparatus, processing is being performed in a processing container (hereinafter referred to as “chamber”). The atmosphere in the chamber gradually changes due to the reason that the reaction product generated in this step gradually adheres to the inner wall surface of the chamber. Therefore, feedforward (FF) control and feedback (FB) control are employed in order to perform substrate processing with high accuracy while responding to changes in the atmosphere in the chamber (see, for example, Patent Document 1).

ところで、ウエハに形成された開口部の開口幅を所定値に整えるシュリンク処理におけるフィードフォワード制御は、予め処理前のウエハについて、ウエハの物性値として開口部の開口幅(以下、CD(Critical Dimension)値という。)を測定し、CD値を目標値にするための処理条件を求め、当該処理条件(フィードフォワード条件)でシュリンク処理が行われる。例えば、処理前のCD値が50nmであり、目標値が25nmであれば、目標値と処理前実測値との差が25nmである。従って、シュリンク量が25nmとなるような条件でシュリンク処理が行われる。   By the way, the feedforward control in the shrink process for adjusting the opening width of the opening formed on the wafer to a predetermined value is performed by using the opening width of the opening (hereinafter referred to as CD (Critical Dimension)) as a physical property value of the wafer in advance. The process condition for making the CD value the target value is obtained, and the shrink process is performed under the process condition (feed forward condition). For example, if the CD value before processing is 50 nm and the target value is 25 nm, the difference between the target value and the measured value before processing is 25 nm. Accordingly, the shrink process is performed under the condition that the shrink amount is 25 nm.

一方、フィードバック制御では、処理後のウエハのCD値を測定器によって測定し、得られた測定値と目標値との差を求め、この差に基づいて当該シュリンク処理におけるシュリンク量が目標とするシュリンク量からどれだけずれていたかを求め、このずれ量に基づいてフィードバック制御のオフセット値を算出し、算出したオフセット値を用い、次に処理するウエハについて予め測定したCD値に基づいて決定したフィードフォワード条件を修正し、修正後のフィードフォワード条件に従って次に処理するウエハについて所定のシュリンク処理が施される。   On the other hand, in the feedback control, the CD value of the processed wafer is measured by a measuring instrument, the difference between the obtained measurement value and the target value is obtained, and the shrink amount in the shrink process is a target shrink based on this difference. The amount of deviation from the amount is obtained, an offset value for feedback control is calculated based on the amount of deviation, and the feedforward determined based on the CD value measured in advance for the wafer to be processed next is calculated using the calculated offset value. The condition is corrected, and a predetermined shrink process is performed on the wafer to be processed next in accordance with the corrected feedforward condition.

例えば、処理後のウエハについて測定したCD値が27nmであり、目標値である25nmとの差が2nmである場合であって、次に処理するウエハの処理前CD値が70nmであり、当該シュリンク処理におけるフィードフォワード処理における目標シュリンク量が45nmである場合、このシュリンク量を、先の処理における目標値25nmと、処理後のCD値である27nmとの差である2nmで修正し、修正後の(45+2)nmを目標シュリンク量として次のウエハに対してシュリンク処理を行う。これによって、基板処理装置内の雰囲気の変化等に起因する誤差をなくして処理の最適化を図ることができる。   For example, the CD value measured for the processed wafer is 27 nm, and the difference from the target value of 25 nm is 2 nm. The preprocess CD value of the next wafer to be processed is 70 nm, and the shrink When the target shrinkage amount in the feedforward process in the process is 45 nm, the shrinkage amount is corrected by 2 nm which is a difference between the target value 25 nm in the previous process and the 27 nm which is the CD value after the process. Shrink processing is performed on the next wafer with (45 + 2) nm as the target shrink amount. As a result, it is possible to optimize processing without errors caused by changes in the atmosphere in the substrate processing apparatus.

ところで、フィードフォワード制御及びフィードバック制御に適用される基板処理装置は、基板処理の効率を高めるために、所定の処理を施すチャンバを備えたプロセスモジュール(PM)が複数設けられているが、ウエハの物性を測定する測定器は通常1基だけしか設けられていない。   By the way, a substrate processing apparatus applied to feedforward control and feedback control is provided with a plurality of process modules (PM) including chambers for performing predetermined processing in order to increase the efficiency of substrate processing. Only one measuring instrument for measuring physical properties is usually provided.

このような基板処理装置において、複数連続処理されるウエハについてそれぞれ処理前後に、ウエハの物性値として、例えばCD値を測定するような制御をしたのでは、測定器によるCD値測定回数がPM数×2(回)となって、被処理ウエハ数に対するCD値測定回数が多くなり過ぎ、これによって、測定器によるCD値測定速度がウエハ処理速度の律速となり、処理の効率化を図ることができないという問題がある。   In such a substrate processing apparatus, if control is performed to measure, for example, a CD value as a physical property value of a wafer before and after each of a plurality of consecutively processed wafers, the number of measurement of the CD value by the measuring instrument is the number of PMs. X2 (times), the number of times of CD value measurement with respect to the number of wafers to be processed becomes too large. As a result, the CD value measurement speed by the measuring instrument becomes the rate limiting of the wafer processing speed, and the processing efficiency cannot be improved. There is a problem.

そこで、全てのウエハに対して処理前後に物性値であるCD値を測定することなく、CD測定回数を減少する工夫がなされている。すなわち、ウエハのCD値を整えるシュリンク処理においては、処理前のCD値を知ることは必須条件であるために、処理前のCD値測定によるフィードフォワード測定(以下、「FF測定」という。)を省略することはできないが、フィードバックするオフセット値は、チャンバ内の状態が変化しなければ一定と考えられる。そして、チャンバ内の雰囲気は、処理時間の経過に伴って除々に変化するものである。従って、フィードバック制御のオフセット値は、チャンバ内状態が変化しない限り同一の値を用いるようにして更新を省略することができる。   In view of this, a device has been devised to reduce the number of CD measurements without measuring the CD value, which is a physical property value, before and after processing for all wafers. That is, in shrink processing for adjusting the CD value of a wafer, it is an essential condition to know the CD value before processing, and therefore feedforward measurement (hereinafter referred to as “FF measurement”) by CD value measurement before processing is performed. Although it cannot be omitted, the offset value to be fed back is considered constant if the state in the chamber does not change. And the atmosphere in a chamber changes gradually with progress of processing time. Accordingly, the feedback control offset value can be updated by using the same value as long as the in-chamber state does not change.

すなわち、複数のウエハを連続的に処理する場合、ある一定枚数のウエハに対し、予め処理後にCD値を測定するウエハを決定しておき、それ以外のウエハに対しては処理後のCD値測定(以下、「FB測定」という。)を省略し、一定期間フィードバック制御のオフセット値を更新しないで同一のフィードバックオフセット値を使用する運用が可能である。   That is, when processing a plurality of wafers continuously, a wafer whose CD value is measured after the processing is determined in advance for a certain number of wafers, and the processed CD value is measured for other wafers. (Hereinafter referred to as “FB measurement”) can be omitted, and the same feedback offset value can be used without updating the feedback control offset value for a certain period.

図11は、このような従来技術におけるフィードフォワード制御及びフィードバック制御を行う際のFF測定及びFB測定の対象となるウエハを示す説明図である。図11において、1ロット25枚のウエハWに対し、25枚全てについてFF測定を行い、予め指定した、例えば1枚目、8枚目、16枚目、20枚目、22枚目及び24枚目の合計6枚のウエハWについてFB測定を行うというフィードフォワード制御及びフィードバック制御が行われる。   FIG. 11 is an explanatory diagram showing a wafer to be subjected to FF measurement and FB measurement when performing such feedforward control and feedback control in the prior art. In FIG. 11, FF measurement is performed on all 25 wafers for 25 wafers in one lot, and for example, the first, eighth, sixteenth, twentieth, twenty-second, and twenty-fourth sheets are designated in advance. Feed forward control and feedback control of performing FB measurement on a total of six wafers W of eyes are performed.

特開2004−207703号公報JP 2004-207703 A

しかしながら、このようなフィードフォワード制御とフィードバック制御を組み合わせた制御には、以下のような問題がある。   However, the control combining such feedforward control and feedback control has the following problems.

すなわち、ユーザが全てのウエハについて、処理するチャンバ、搬送経路等を予め指定するシリアル搬送処理においては、各ウエハが指定されたチャンバで処理されるときの当該チャンバにおける経過処理時間を事前に見積もることができるため、どのウエハをFB測定の対象とすべきかが明確であり、特に問題が発生することはないと考えられる。一方、どのチャンバを適用するかについて、予めユーザが決定することをせず、ウエハの処理毎に基板処理装置が、処理を行っていない空きチャンバを検出し、順次空きチャンバを使用して複数のウエハに対して所定の処理、例えばCD値のシュリンク処理を施すOR搬送処理においては、各ウエハがどのチャンバ内で処理されるかが明確でない。従って、FB測定の対象となるウエハがどのチャンバで処理されるかが予測できないので全てのチャンバについて均等なフィードバック制御を行うことができず、チャンバ内雰囲気の変化の影響を小さくすることができないという問題がある。   That is, in serial transfer processing in which the user designates in advance the processing chamber, transfer route, etc. for all wafers, the elapsed processing time in each chamber is estimated in advance when each wafer is processed in the specified chamber. Therefore, it is clear which wafer should be subject to the FB measurement, and it is considered that no particular problem will occur. On the other hand, the user does not decide in advance which chamber is applied, and the substrate processing apparatus detects an empty chamber that is not processed for each wafer processing, and sequentially uses a plurality of empty chambers. In an OR transfer process in which a predetermined process such as a CD value shrink process is performed on a wafer, it is not clear in which chamber each wafer is processed. Therefore, since it is impossible to predict in which chamber the wafer to be subjected to FB measurement will be processed, it is not possible to perform equal feedback control for all the chambers, and the influence of changes in the atmosphere in the chamber cannot be reduced. There's a problem.

本発明の目的は、チャンバを事前に指定することなく処理を行った場合にも、全てのウエハの処理後の物性値を測定することなく、各ウエハに対して適正な処理を施すことができる基板処理方法及びこの基板処理方法をコンピュータに実行させるプログラムを格納した記憶媒体を提供することにある。   An object of the present invention is to perform appropriate processing on each wafer without measuring physical property values after processing of all wafers even when processing is performed without designating chambers in advance. A substrate processing method and a storage medium storing a program for causing a computer to execute the substrate processing method are provided.

上記目的を達成するために、請求項1記載の基板処理方法は、ウエハに所定の処理を施すチャンバと、前記ウエハの物性値を測定する測定器とを備えた基板処理装置を用いて前記チャンバを事前に指定することなく複数のウエハに対して前記所定の処理を連続して施す基板処理方法において、前記ウエハを前記測定器に搬入して前記ウエハの処理前の物性値を測定する処理前測定ステップと、前記物性測定後のウエハを、前記チャンバに搬入し、前記処理前測定ステップで得られた物性値に基づいて作成された処理条件に従ってフィードフォワード制御によって前記ウエハに対して所定の処理を施す処理ステップと、前記処理ステップ終了後のウエハを前記測定器に搬入して前記ウエハの処理後の物性値を測定する処理後測定ステップと、前記処理後測定ステップで測定された前記ウエハの物性値及び目標値の差に基づいて、前記フィードフォワード制御における処理条件の作成に用いられるオフセット値を更新するオフセット値更新ステップと、を有し、前記処理後測定ステップ及び前記オフセット値更新ステップを、前記チャンバにおける経過処理時間が予め決められた所定値に到達するまで省略することを特徴とする。   In order to achieve the above object, a substrate processing method according to claim 1, wherein a substrate processing apparatus including a chamber for performing a predetermined process on a wafer and a measuring device for measuring a physical property value of the wafer is used. In the substrate processing method in which the predetermined processing is continuously performed on a plurality of wafers without designating in advance, the wafer is loaded into the measuring device and the physical property value of the wafer before processing is measured. The wafer after the measurement step and the physical property measurement are carried into the chamber, and a predetermined process is performed on the wafer by feedforward control according to the processing conditions created based on the physical property value obtained in the pre-processing measurement step. A processing step for carrying out the measurement, a post-processing measurement step for loading the wafer after completion of the processing step into the measuring instrument and measuring a physical property value of the wafer after processing, An offset value update step for updating an offset value used for creating a processing condition in the feedforward control based on a difference between a physical property value of the wafer and a target value measured in a post-processing measurement step, and The post-processing measurement step and the offset value update step are omitted until an elapsed processing time in the chamber reaches a predetermined value.

請求項2記載の基板処理方法は、請求項1記載の基板処理方法において、前記オフセット値として、前記チャンバの過去所定期間内における前記処理後測定ステップの一連の測定値と目標値との差を指数関数の重み付け係数を用いて移動平均した値を用いることを特徴とする。   The substrate processing method according to claim 2 is the substrate processing method according to claim 1, wherein, as the offset value, a difference between a series of measured values and a target value in the post-processing measurement step in the past predetermined period of the chamber is used as the offset value. A moving average value using an exponential function weighting coefficient is used.

請求項3記載の基板処理方法は、請求項2記載の基板処理方法において、各前記重み付け係数を、前記一連の測定値を求めるための処理後測定ステップをそれぞれ実行してから、前記オフセット値更新ステップを実行するまでの当該チャンバにおける経過処理時間に基づいて変化させ、前記経過処理時間が長いほど重み付け係数を大きくすることを特徴とする。   The substrate processing method according to claim 3 is the substrate processing method according to claim 2, wherein each of the weighting coefficients is subjected to a post-processing measurement step for obtaining the series of measurement values, and then the offset value is updated. It is changed based on the elapsed processing time in the chamber until the step is executed, and the weighting coefficient is increased as the elapsed processing time is longer.

請求項4記載の基板処理方法は、請求項1乃至3のいずれか1項に記載の基板処理方法において、前記経過処理時間は、前記チャンバにおけるウエハ処理用の電力印加累積時間であることを特徴とする。   The substrate processing method according to claim 4 is the substrate processing method according to any one of claims 1 to 3, wherein the elapsed processing time is a cumulative power application time for wafer processing in the chamber. And

請求項5記載の基板処理方法は、請求項1乃至3のいずれか1項に記載の基板処理方法において、前記経過処理時間は、前記チャンバにおける処理開始後の実経過時間であることを特徴とする。   The substrate processing method according to claim 5 is the substrate processing method according to any one of claims 1 to 3, wherein the elapsed processing time is an actual elapsed time after the start of processing in the chamber. To do.

請求項6記載の基板処理方法は、請求項1乃至5のいずれか1項に記載の基板処理方法において、前記ウエハの物性値は、前記ウエハに形成された開口部の開口幅、深さ、側壁の角度の少なくともいずれか1つ、又はラインパターンの幅、高さ、側壁の角度の少なくともいずれか1つであることを特徴とする。   The substrate processing method according to claim 6 is the substrate processing method according to any one of claims 1 to 5, wherein the physical property value of the wafer includes an opening width and a depth of an opening formed in the wafer. It is at least any one of the angle of a side wall, or at least any one of the width | variety of a line pattern, height, and the angle of a side wall, It is characterized by the above-mentioned.

請求項7記載の基板処理方法は、請求項1乃至6のいずれか1項に記載の基板処理方法において、前記チャンバは複数であり、1の前記測定器によって複数のチャンバで処理される複数のウエハについて該ウエハの処理前後の物性値を測定することを特徴とする。   The substrate processing method according to claim 7 is the substrate processing method according to any one of claims 1 to 6, wherein there are a plurality of chambers, and a plurality of chambers processed by the one measuring device in a plurality of chambers. A physical property value of the wafer before and after the processing is measured.

上記目的を達成するために、請求項8記載の記憶媒体は、ウエハに所定の処理を施すチャンバと、前記ウエハの物性値を測定する測定器とを備えた基板処理装置を用いて前記チャンバを事前に指定することなく複数のウエハに対して前記所定の処理を連続して施す基板処理方法をコンピュータに実行させるプログラムを格納した記憶媒体であって、前記基板処理方法は、前記ウエハを前記測定器に搬入して前記ウエハの処理前の物性値を測定する処理前測定ステップと、前記物性測定後のウエハを、前記チャンバ内に搬入し、前記処理前測定ステップで得られた物性値に基づいて作成された処理条件に従ってフィードフォワード制御によって前記ウエハに対して所定の処理を施す処理ステップと、前記処理ステップ終了後のウエハを前記測定器に搬入して前記ウエハの処理後の物性値を測定する処理後測定ステップと、前記処理後測定ステップで測定された前記ウエハの物性値及び目標値の差に基づいて、前記フィードフォワード制御における処理条件の作成に用いられるオフセット値を更新するオフセット値更新ステップと、を有し、前記処理後測定ステップ及び前記オフセット値更新ステップを、前記チャンバにおける経過処理時間が予め決められた所定値に到達するまで省略することを特徴とする。   In order to achieve the above object, a storage medium according to claim 8 is a storage medium comprising: a substrate processing apparatus including a chamber for performing a predetermined process on a wafer; and a measuring device for measuring a physical property value of the wafer. A storage medium storing a program for causing a computer to execute a substrate processing method for continuously performing the predetermined processing on a plurality of wafers without specifying in advance, wherein the substrate processing method measures the wafer Based on the physical property values obtained in the pre-processing measurement step, and the pre-processing measurement step of measuring the physical property values of the wafer before processing into the chamber and the post-physical property measurement wafers loaded into the chamber A processing step for performing a predetermined process on the wafer by feedforward control according to the processing conditions created in the step, and measuring the wafer after the processing step is completed. And processing in the feedforward control based on the difference between the physical property value of the wafer and the target value measured in the post-processing measurement step, and a post-processing measurement step of measuring the physical property value of the wafer after processing An offset value update step for updating an offset value used for creating a condition, and the post-processing measurement step and the offset value update step reach a predetermined value that is determined in advance in the chamber. It is characterized by omitting until.

請求項1記載の基板処理方法及び請求項8記載の記憶媒体によれば、処理前のウエハの物性値を測定する処理前測定ステップと、処理前の物性値に基づいて作成された処理条件に従ってフィードフォワード制御によってウエハに対して所定の処理を施す処理ステップと、処理後のウエハの物性値を測定する処理後測定ステップと、処理後の物性値及び目標値の差に基づいて、フィードフォワード制御における処理条件の作成に用いられるオフセット値を更新するオフセット値更新ステップと、を有する基板処理方法において、フィードフォワード制御によってウエハに対して所定の処理を施す処理ステップ後のウエハの物性値を測定する処理後測定ステップ、及びフィードフォワード制御における処理条件の作成に用いられるオフセット値を更新するオフセット値更新ステップを、チャンバにおける経過処理時間が予め決められた所定値に到達するまで省略するので、チャンバにおける経過処理時間が予め決められた所定値に到達した後にオフセット値が更新される。その結果、チャンバを事前に指定することなく処理を行った場合にも、全てのウエハの物性値を測定することなく各ウエハに対して適正な処理を施すことができる。   According to the substrate processing method of claim 1 and the storage medium of claim 8, according to a pre-processing measurement step of measuring physical property values of the wafer before processing, and processing conditions created based on the physical property values before processing. Feed forward control based on a processing step for performing predetermined processing on the wafer by feed forward control, a post processing measuring step for measuring the physical property value of the processed wafer, and a difference between the physical property value after processing and the target value An offset value updating step for updating an offset value used to create a processing condition in the step of measuring a physical property value of a wafer after a processing step of performing predetermined processing on the wafer by feedforward control. The offset value used to create post-processing measurement steps and processing conditions in feedforward control The new offset value update step is omitted until the elapsed processing time in the chamber reaches a predetermined value, so that the offset value is updated after the elapsed processing time in the chamber reaches the predetermined value. . As a result, even when processing is performed without designating chambers in advance, it is possible to perform appropriate processing on each wafer without measuring physical property values of all wafers.

請求項2記載の基板処理方法によれば、フィードバック制御のオフセット値として、チャンバの過去所定期間内における処理後測定ステップの一連の測定値と目標値との差を指数関数の重み付け係数を用いて移動平均した値を用いるので、処理ステップ後に測定された物性値におけるばらつきを吸収してオフセット値を最適化することができる。   According to the substrate processing method of claim 2, as an offset value of feedback control, a difference between a series of measured values and a target value in a post-processing measurement step within a past predetermined period of time using a weighting coefficient of an exponential function. Since the moving average value is used, the offset value can be optimized by absorbing variations in physical property values measured after the processing step.

請求項3記載の基板処理方法によれば、重み付け係数を、一連の測定値を求めるための処理後測定ステップをそれぞれ実行してから、オフセット値更新ステップを実行するまでの当該チャンバにおける経過処理時間に基づいて変化させ、経過処理時間が長いほど重み付け係数を大きくするので、チャンバ内の雰囲気変化により追従したオフセット値に基づいて処理条件を変更して適正な処理を実施することができる。   According to the substrate processing method of claim 3, the elapsed processing time in the chamber from when each of the post-processing measurement steps for obtaining a series of measurement values is performed until the offset value update step is executed. Since the weighting coefficient is increased as the elapsed processing time is longer, appropriate processing can be performed by changing the processing conditions based on the offset value following the atmospheric change in the chamber.

請求項4載の基板処理方法によれば、経過処理時間は、チャンバにおけるウエハ処理用の電力印加累積時間であるので、チャンバ内の雰囲気を変化させる要因が発生する実処理時間に基づいて、オフセット値を更新することができ、これによってチャンバ内の雰囲気の変化の影響をより小さくして正確な処理を行うことができる。   According to the substrate processing method of claim 4, since the elapsed processing time is the accumulated power application time for wafer processing in the chamber, the offset is based on the actual processing time at which a factor that changes the atmosphere in the chamber occurs. The value can be updated so that the influence of changes in the atmosphere in the chamber can be reduced and accurate processing can be performed.

請求項5記載の基板処理方法によれば、経過処理時間は、チャンバにおける処理開始後の実経過時間であるので、時間の経過を考慮してオフセット値を更新することができ、これによってもチャンバ内の雰囲気の変化の影響を小さくすることができる。   According to the substrate processing method of the fifth aspect, since the elapsed processing time is an actual elapsed time after the start of processing in the chamber, the offset value can be updated in consideration of the passage of time, and the chamber can be updated accordingly. The influence of the change in the atmosphere can be reduced.

請求項6記載の基板処理方法によれば、ウエハの物性値は、ウエハに形成された開口部の開口幅、深さ、側壁の角度の少なくともいずれか1つ、又はラインパターンの幅、高さ、側壁の角度の少なくともいずれか1つであるので、これらの物性値を基準にしてウエハに対して適正な処理を実行することができる。   According to the substrate processing method of claim 6, the physical property value of the wafer is at least one of the opening width and depth of the opening formed in the wafer, the angle of the side wall, or the width and height of the line pattern. Since the angle is at least one of the sidewall angles, an appropriate process can be performed on the wafer based on these physical property values.

請求項7記載の基板処理方法によれば、チャンバは複数であり、1の測定器によって複数のチャンバで処理される複数のウエハについて該ウエハの処理前後の物性を測定するので、処理後測定ステップを、チャンバにおける経過処理時間が予め決められた所定値に到達するまで省略することによってスループットを改善して処理効率を向上させることができる。   According to the substrate processing method of claim 7, there are a plurality of chambers, and physical properties of the plurality of wafers processed in the plurality of chambers by one measuring device are measured before and after the processing. Is omitted until the elapsed processing time in the chamber reaches a predetermined value, thereby improving the throughput and improving the processing efficiency.

本実施の形態に係る基板処理方法を実行する基板処理装置の構成を概略的に示す平面図である。It is a top view which shows roughly the structure of the substrate processing apparatus which performs the substrate processing method which concerns on this Embodiment. 図1における線II−IIに沿う断面図である。It is sectional drawing which follows the line II-II in FIG. 図1における測定器の構成を示す模式図である。It is a schematic diagram which shows the structure of the measuring device in FIG. システムコントローラの概略構成を示す図である。It is a figure which shows schematic structure of a system controller. 本発明の基板処理方法に適用される半導体ウエハの構成を概略的に示す断面図である。It is sectional drawing which shows roughly the structure of the semiconductor wafer applied to the substrate processing method of this invention. 基板処理装置のシステムコントローラによって実行される基板処理方法の手順を示すフローチャートである。It is a flowchart which shows the procedure of the substrate processing method performed by the system controller of a substrate processing apparatus. ウエハWに対するシュリンク処理の工程図である。FIG. 5 is a process diagram of shrink processing for a wafer W. シュリンク処理を施したウエハWについて測定したシュリンク量誤差に時間の経過に従って重み付けを行う際の処理枚数に対する重み付け係数の変化を示すグラフである。It is a graph which shows the change of the weighting coefficient with respect to the number of processed sheets at the time of weighting the shrink amount error measured about the wafer W which performed the shrink process over time. 本実施の形態におけるシュリンク量誤差に対する重み付け係数とウエハを処理した際の経過時間(RF累積時間)との関係を示すグラフである。It is a graph which shows the relationship between the weighting coefficient with respect to shrink amount error in this Embodiment, and the elapsed time (RF accumulation time) at the time of processing a wafer. 本実施の形態と従来方法との効果を比較して示す図である。It is a figure which compares and shows the effect of this Embodiment and the conventional method. 従来技術におけるフィードフォワード制御及びフィードバック制御を行う際のFF測定及びFB測定の対象となるウエハを示す説明図である。It is explanatory drawing which shows the wafer used as the object of FF measurement at the time of performing feedforward control and feedback control in a prior art, and FB measurement.

以下、本発明の実施の形態について図面を参照しつつ詳細に説明する。   Hereinafter, embodiments of the present invention will be described in detail with reference to the drawings.

図1は、本実施の形態に係る基板処理方法を実行する基板処理装置の概略構成を示す平面図である。この基板処理装置は基板としての半導体ウエハW(以下、単に「ウエハW」という。)にプラズマを用いたエッチング処理を施すように構成された複数のプロセスモジュールを備える。   FIG. 1 is a plan view showing a schematic configuration of a substrate processing apparatus for executing the substrate processing method according to the present embodiment. This substrate processing apparatus includes a plurality of process modules configured to perform an etching process using plasma on a semiconductor wafer W (hereinafter simply referred to as “wafer W”) as a substrate.

図1において、基板処理装置10は、被処理基板としてのウエハWにRIE(Reaction Ion Etching)処理を施す2つのプロセスシップ11と、2つのプロセスシップ11がそれぞれ接続された矩形状の共通搬送室としての大気搬送室(以下、「ローダーモジュール」という。)13とを備える。   In FIG. 1, a substrate processing apparatus 10 includes two process ships 11 that perform RIE (Reaction Ion Etching) processing on a wafer W as a substrate to be processed, and a rectangular common transfer chamber to which the two process ships 11 are connected. And an atmospheric transfer chamber (hereinafter referred to as a “loader module”) 13.

ローダーモジュール13には、上述したプロセスシップ11の他、例えば25枚のウエハWを収容する基板収納容器としてのフープ14がそれぞれ載置される3つのフープ載置台15と、フープ14から搬出されたウエハWの位置をプリアライメントするオリエンタ16と、処理前後のウエハWについて物性値、例えばCD値を測定する測定器17とが接続されている。   In addition to the process ship 11 described above, for example, the FOUP 14 as a substrate storage container for storing 25 wafers W is loaded on the loader module 13. The FOUP 14 is unloaded from the FOUP 14. An orienter 16 that pre-aligns the position of the wafer W and a measuring instrument 17 that measures a physical property value, for example, a CD value, of the wafer W before and after processing are connected.

2つのプロセスシップ11は、ローダーモジュール13の長手方向における側壁に接続されると共にローダーモジュール13を挟んで3つのフープ載置台15と対向するように配置され、オリエンタ16はローダーモジュール13の長手方向に関する一端に配置され、測定器17はローダーモジュール13の長手方向に関する他端に配置される。   The two process ships 11 are connected to the side wall in the longitudinal direction of the loader module 13 and are disposed so as to face the three hoop mounting tables 15 with the loader module 13 in between. The orienter 16 is related to the longitudinal direction of the loader module 13. It arrange | positions at one end and the measuring device 17 is arrange | positioned at the other end regarding the longitudinal direction of the loader module 13.

ローダーモジュール13は、内部に配置された、ウエハWを搬送する基板搬送ユニットとしてのスカラ型デュアルアームタイプの搬送アーム機構19と、各フープ載置台15に対応するように側壁に配置されたウエハWの投入口である3つのフープ接続口としてのロードポート20とを有する。ロードポート20には、それぞれ開閉扉が設けられている。搬送アーム機構19は、フープ載置台15に載置されたフープ14からウエハWをロードポート20経由で取り出し、該取り出したウエハWをプロセスシップ11、オリエンタ16や測定器17へ搬出入する。   The loader module 13 includes a scalar type dual arm type transfer arm mechanism 19 serving as a substrate transfer unit for transferring the wafer W, and the wafer W arranged on the side wall so as to correspond to each hoop mounting table 15. Load port 20 serving as three hoop connection ports. Each load port 20 is provided with an opening / closing door. The transfer arm mechanism 19 takes out the wafer W from the FOUP 14 placed on the FOUP placement table 15 via the load port 20, and carries the taken-out wafer W into the process ship 11, the orienter 16, and the measuring instrument 17.

プロセスシップ11は、ウエハWにRIE処理を施すプロセスモジュール25と、該プロセスモジュール25にウエハWを受け渡すリンク型シングルピックタイプの搬送アーム26を内蔵するロード・ロックモジュール27とを有する。   The process ship 11 includes a process module 25 that performs RIE processing on the wafer W, and a load / lock module 27 that incorporates a link type single pick type transfer arm 26 that delivers the wafer W to the process module 25.

プロセスシップ11では、ローダーモジュール13の内部の圧力は大気圧に維持される一方、プロセスモジュール25の内部圧力は真空に維持される。そのため、ロード・ロックモジュール27は、プロセスモジュール25との連結部に真空ゲートバルブ29を備えると共に、ローダーモジュール13との連結部に大気ゲートバルブ30を備えることによって、その内部圧力を調整可能な真空予備搬送室として構成される。   In the process ship 11, the internal pressure of the loader module 13 is maintained at atmospheric pressure, while the internal pressure of the process module 25 is maintained at vacuum. Therefore, the load lock module 27 is provided with a vacuum gate valve 29 at the connection portion with the process module 25 and an atmospheric gate valve 30 at the connection portion with the loader module 13, thereby enabling the internal pressure to be adjusted. It is configured as a preliminary transfer chamber.

ロード・ロックモジュール27の内部には、略中央部に搬送アーム26が設置され、該搬送アーム26よりプロセスモジュール25側に第1のバッファ31が設置され、搬送アーム26よりローダーモジュール13側には第2のバッファ32が設置される。第1のバッファ31及び第2のバッファ32は、搬送アーム26の先端部に配置されたウエハWを支持する支持部(ピック)33が移動する軌道上に配置され、RIE処理が施されたウエハWを一時的に支持部33の軌道の上方に待避させることにより、RIE未処理のウエハWとRIE処理済みのウエハWとのプロセスモジュール25における円滑な入れ換えを可能とする。   Inside the load / lock module 27, a transfer arm 26 is installed at a substantially central portion, a first buffer 31 is installed on the process module 25 side of the transfer arm 26, and on the loader module 13 side of the transfer arm 26. A second buffer 32 is installed. The first buffer 31 and the second buffer 32 are arranged on a trajectory on which a support portion (pick) 33 for supporting the wafer W arranged at the front end portion of the transfer arm 26 is moved and subjected to RIE processing. By temporarily retracting W above the trajectory of the support portion 33, it is possible to smoothly exchange the RIE-unprocessed wafer W and the RIE-processed wafer W in the process module 25.

また、基板処理装置10は、プロセスシップ11、ローダーモジュール13、オリエンタ16及び測定器17(以下、まとめて「各構成要素」という。)の動作を制御するシステムコントローラ(図示省略)を備える。オリエンタ16は、ウエハを載置した状態で回転台を回転させながら、光学センサによりウエハの周縁部の状態を検出することにより、ウエハの位置を合わせるようになっている。システムコントローラは、RIE処理やウエハWの搬送処理に対応するプログラムとしてのレシピに応じて各構成要素の動作を制御する。   The substrate processing apparatus 10 also includes a system controller (not shown) that controls the operations of the process ship 11, the loader module 13, the orienter 16, and the measuring device 17 (hereinafter collectively referred to as “each component”). The orienter 16 adjusts the position of the wafer by detecting the state of the peripheral edge of the wafer with an optical sensor while rotating the turntable with the wafer placed. The system controller controls the operation of each component according to a recipe as a program corresponding to the RIE process and the wafer W transfer process.

図2は、図1における線II−IIに沿う断面図である。   2 is a cross-sectional view taken along line II-II in FIG.

図2において、プロセスモジュール25は、チャンバ52と、該チャンバ52内に配置されたウエハWの載置台53と、チャンバ52の上方において載置台53と対向するように配置されたシャワーヘッド54と、チャンバ52内のガス等を排気するTMP(Turbo Molecular Pump)55と、チャンバ52及びTMP55の間に配置され、チャンバ52内の圧力を制御する可変式バタフライバルブとしてのAPC(Adaptive Pressure Control)バルブ56とを有する。   In FIG. 2, the process module 25 includes a chamber 52, a mounting table 53 for the wafer W disposed in the chamber 52, a shower head 54 disposed above the chamber 52 so as to face the mounting table 53, A TMP (Turbo Molecular Pump) 55 that exhausts gas or the like in the chamber 52, and an APC (Adaptive Pressure Control) valve 56 as a variable butterfly valve that is disposed between the chamber 52 and the TMP 55 and controls the pressure in the chamber 52. And have.

載置台53には、第1の高周波電源57が第1の整合器(Matcher)58を介して接続されており、また、第2の高周波電源65が第2の整合器(Matcher)66を介して接続されている。第1の高周波電源57は、比較的高い周波数、例えば60MHzの高周波電力を励起用電力として載置台53に印加し、第2の高周波電源65は、比較的低い周波数、例えば2MHzの高周波電力をバイアスとして載置台53に印加する。整合器58及び66は、それぞれ載置台53からの高周波電力の反射を低減して高周波電力の供給効率を最大にする。   A first high-frequency power source 57 is connected to the mounting table 53 via a first matching unit (Matcher) 58, and a second high-frequency power source 65 is connected via a second matching unit (Matcher) 66. Connected. The first high frequency power source 57 applies a relatively high frequency, for example, 60 MHz high frequency power as excitation power to the mounting table 53, and the second high frequency power source 65 biases a relatively low frequency, for example, 2 MHz high frequency power. Applied to the mounting table 53. The matching units 58 and 66 respectively reduce the reflection of the high frequency power from the mounting table 53 to maximize the supply efficiency of the high frequency power.

シャワーヘッド54は円板状の下層ガス供給部59及び円板状の上層ガス供給部60からなり、下層ガス供給部59に上層ガス供給部60が重ねられている。下層ガス供給部59及び上層ガス供給部60はそれぞれ第1のバッファ室61及び第2のバッファ室62を有する。第1のバッファ室61及び第2のバッファ室62はそれぞれガス通気孔63、64を介してチャンバ52内に連通する。   The shower head 54 includes a disk-shaped lower gas supply unit 59 and a disk-shaped upper gas supply unit 60, and the upper gas supply unit 60 is superimposed on the lower gas supply unit 59. The lower gas supply unit 59 and the upper gas supply unit 60 have a first buffer chamber 61 and a second buffer chamber 62, respectively. The first buffer chamber 61 and the second buffer chamber 62 communicate with the chamber 52 through gas vent holes 63 and 64, respectively.

第1のバッファ室61は、例えばCHFガス供給系(図示省略)に接続されている。該CHFガス供給系は第1のバッファ室61へCHFガスを供給する。供給されたCHFガスはガス通気孔63を介してチャンバ52内へ供給される。また、第2のバッファ室62は、例えばCFガス供給系(図示省略)に接続されている。CFガス供給系は第2のバッファ室62へCFガスを供給する。供給されたCFガスはガス通気孔64を介してチャンバ52内へ供給される。シャワーヘッド54には直流電源75が接続されており、該直流電源75によってシャワーヘッド54に直流電圧が印加される。これにより、印加された直流電圧は、処理空間S内のイオン分布を制御する。 The first buffer chamber 61 is connected to, for example, a CHF 3 gas supply system (not shown). The CHF 3 gas supply system supplies CHF 3 gas to the first buffer chamber 61. The supplied CHF 3 gas is supplied into the chamber 52 through the gas vent 63. The second buffer chamber 62 is connected to, for example, a CF 4 gas supply system (not shown). The CF 4 gas supply system supplies CF 4 gas to the second buffer chamber 62. The supplied CF 4 gas is supplied into the chamber 52 through the gas vent hole 64. A DC power source 75 is connected to the shower head 54, and a DC voltage is applied to the shower head 54 by the DC power source 75. Thereby, the applied DC voltage controls the ion distribution in the processing space S.

このプロセスモジュール25のチャンバ52内では、載置台53が処理空間Sに高周波電力を印加することにより、シャワーヘッド54から処理空間Sに供給された処理ガスを高密度のプラズマにしてイオンやラジカルを発生させ、該イオンやラジカルによってウエハWにエッチング処理を施す。   In the chamber 52 of the process module 25, the mounting table 53 applies high frequency power to the processing space S, so that the processing gas supplied from the shower head 54 to the processing space S is changed to high-density plasma to generate ions and radicals. Then, the wafer W is etched by the ions and radicals.

また、図3は、図1における測定器17の構成を示す模式図である。図3において、測定器(IMM(Integrated Metrology Module))は、光学部としての発光器17a、偏光子17b、検光子17cおよび受光器17dを有している。   FIG. 3 is a schematic diagram showing the configuration of the measuring instrument 17 in FIG. In FIG. 3, a measuring instrument (IMM (Integrated Metrology Module)) includes a light emitter 17a, a polarizer 17b, an analyzer 17c, and a light receiver 17d as optical parts.

発光器17aは、例えば白色光をウエハWに向けて出力し、偏光子17bは、出力された白色光を直線偏光に変換した後、ステージSTに載置されたウエハWに照射する。検光子17cは、ウエハWを反射した楕円偏光のうち、特定の偏向角度をもつ偏向のみを透過させる。受光器17dは、たとえば、CCD(Charge Coupled Device)カメラ等から構成され、検光子17cを透過した偏光を受光し、受光した偏光を電気信号に変換し、変換した電気信号をマシンコントローラ(MC)に出力する。マシンコントローラに出力された電気信号は、装置コントローラを介してプロセス調整コントローラに送信される。これによって、ウエハWの例えばCD値が測定される。マシンコントローラ、装置コントローラ及びプロセス調整コントローラについては後述する。   The light emitter 17a outputs, for example, white light toward the wafer W, and the polarizer 17b irradiates the wafer W placed on the stage ST after converting the output white light into linearly polarized light. The analyzer 17c transmits only the deflection having a specific deflection angle out of the elliptically polarized light reflected from the wafer W. The light receiver 17d is composed of, for example, a CCD (Charge Coupled Device) camera or the like, receives the polarized light transmitted through the analyzer 17c, converts the received polarized light into an electric signal, and converts the converted electric signal into a machine controller (MC). Output to. The electrical signal output to the machine controller is transmitted to the process adjustment controller via the apparatus controller. Thereby, for example, the CD value of the wafer W is measured. The machine controller, device controller, and process adjustment controller will be described later.

次に、基板処理装置の各構成要素の動作を制御するシステムコントローラのシステム構成について説明する。   Next, the system configuration of the system controller that controls the operation of each component of the substrate processing apparatus will be described.

図4は、システムコントローラの概略構成を示す図である。   FIG. 4 is a diagram showing a schematic configuration of the system controller.

図4において、システムコントローラ100は、ホストコンピュータ101、装置コントローラ102、5つのマシンコントローラ105a〜105e、管理サーバ110およびプロセス調整コントローラ115を有している。5つのマシンコントローラ105a〜105eは、それぞれ2つのプロセスモジュール25a、25b、2つのロード・ロックモジュール27a、27b、及び1つの測定器(以下、「IMM」という。)17に対応して設けられている。   4, the system controller 100 includes a host computer 101, an apparatus controller 102, five machine controllers 105a to 105e, a management server 110, and a process adjustment controller 115. The five machine controllers 105 a to 105 e are provided corresponding to two process modules 25 a and 25 b, two load and lock modules 27 a and 27 b, and one measuring device (hereinafter referred to as “IMM”) 17, respectively. Yes.

ホストコンピュータ101と装置コントローラ102との間および管理サーバ110とプロセス調整コントローラ115との間は、顧客側LAN(Local Area Network)120a、120bによりそれぞれ接続されている。さらに、管理サーバ110は、PC125などの情報処理機器と接続され、オペレータによりアクセス可能な状態になっている。   The host computer 101 and the apparatus controller 102 and the management server 110 and the process adjustment controller 115 are connected by customer side LANs (Local Area Networks) 120a and 120b, respectively. Furthermore, the management server 110 is connected to an information processing device such as a PC 125 and is accessible by an operator.

装置コントローラ102、マシンコントローラ105a〜105e、プロセスモジュール25a、25b、ロード・ロックモジュール27a、27b、IMM17は、工場内の所定エリアに設けられている。各構成要素は、それぞれLANにより接続されている。   The device controller 102, machine controllers 105a to 105e, process modules 25a and 25b, load / lock modules 27a and 27b, and the IMM 17 are provided in a predetermined area in the factory. Each component is connected by a LAN.

ホストコンピュータ101は、基板処理装置10全体を管理する。装置コントローラ102は、ウエハをRIE処理するために使用するプロセスレシピを保持し、そのプロセスレシピにしたがってプロセスモジュール25a、25bにてウエハに所望のRIE処理が施されるように各マシンコントローラ105a〜105eに指示信号を送信したり、使用されたプロセスレシピの履歴管理などを行う。   The host computer 101 manages the entire substrate processing apparatus 10. The apparatus controller 102 holds a process recipe to be used for RIE processing of the wafer, and the machine controllers 105a to 105e so that a desired RIE process is performed on the wafer in the process modules 25a and 25b according to the process recipe. Sends an instruction signal, and manages the history of used process recipes.

マシンコントローラ105a〜105dは、装置コントローラ102から送信された指示信号に基づいてプロセスモジュール25a、25bおよびロード・ロックモジュール27a、27bをそれぞれ制御することにより、ウエハWの搬送制御とともに、プロセスモジュール25a、25bにてプロセスレシピにしたがったRIE処理が実行されるように制御する。   The machine controllers 105 a to 105 d control the process modules 25 a and 25 b and the load / lock modules 27 a and 27 b based on the instruction signal transmitted from the apparatus controller 102, respectively. At 25b, control is performed so that the RIE process according to the process recipe is executed.

IMM17は、RIE処理前のウエハの表面の処理状態およびRIE処理後のウエハの表面の処理状態、例えば、CD値を測定する(FF測定、FB測定)。測定されたCD値は、マシンコントローラ105eから装置コントローラ102を介してプロセス調整コントローラ115に送信される。   The IMM 17 measures the processing state of the wafer surface before the RIE processing and the processing state of the wafer surface after the RIE processing, for example, a CD value (FF measurement, FB measurement). The measured CD value is transmitted from the machine controller 105e to the process adjustment controller 115 via the apparatus controller 102.

管理サーバ110は、オペレータの操作によりPC125から送信されたデータに基づいて、各装置の動作条件を設定した処理条件(ストラテジ)を生成する。   The management server 110 generates a processing condition (strategy) in which the operating condition of each device is set based on the data transmitted from the PC 125 by the operation of the operator.

プロセス調整コントローラ115は、管理サーバ110にて生成されたストラテジを保存する。また、プロセス調整コントローラ115は、測定されたCD値に基づいてRIE処理、例えば、後述するシュリンク処理の処理条件をフィードフォワード制御によって作成する際に用いるオフセット値を作成、更新し、該オフセット値を用いて作成された処理条件に基づいてシュリンク処理を実行する。   The process adjustment controller 115 stores the strategy generated by the management server 110. In addition, the process adjustment controller 115 creates and updates an offset value used when creating processing conditions for RIE processing, for example, shrink processing, which will be described later, by feedforward control based on the measured CD value. The shrink process is executed based on the process condition created by using the process.

プロセス調整コントローラ115では、FF測定及びFB測定によって得られたCD値が目標値(所望のCD値)からどれだけずれていたかを算出し、算出したずれ量からオフセット値を算出する。   The process adjustment controller 115 calculates how much the CD value obtained by the FF measurement and the FB measurement deviates from the target value (desired CD value), and calculates an offset value from the calculated deviation amount.

本実施の形態では、FF測定は全てのウエハWについて行うものの、FB測定は全てのウエハWについて行わない。すわなち、FB測定は或るタイミングに従って実行される。FB測定を実行するタイミングを規定するために、プロセス調整コントローラ115はプロセスモジュール25a、25bのそれぞれについて設定されたFB測定実行RF(高周波電力)印加積算時間を有する。   In the present embodiment, FF measurement is performed for all wafers W, but FB measurement is not performed for all wafers W. That is, the FB measurement is performed according to a certain timing. In order to define the timing for executing the FB measurement, the process adjustment controller 115 has an FB measurement execution RF (high frequency power) application integration time set for each of the process modules 25a and 25b.

本実施の形態では、各プロセスモジュール25a、25bにおいて、ウエハWにシュリンク処理が施される事に処理空間Sに高周波電力が印加された時間を積算し、該積算された高周波電力の印加時間(経過処理時間)がFB測定実行RF印加積算時間(所定値)を越えると、プロセス調整コントローラ115がその時点でシュリンク処理が施されたウエハWについてFB測定を行い、さらに、該FB測定によって得られたCD値に基づいてオフセット値を算出する。   In the present embodiment, in each of the process modules 25a and 25b, the time during which the high frequency power is applied to the processing space S by performing the shrink process on the wafer W is integrated, and the application time of the integrated high frequency power ( When the elapsed processing time) exceeds the FB measurement execution RF application integration time (predetermined value), the process adjustment controller 115 performs FB measurement on the wafer W subjected to shrink processing at that time, and further obtained by the FB measurement. An offset value is calculated based on the obtained CD value.

また、プロセス調整コントローラ115は、処理中でなく空き状態のプロセスモジュール25によってウエハWに対してシュリンク処理を実行する。このシュリンク処理はオフセット値によって修正したフィードフォワード用の処理条件に基づいて実行される。ここでは、各ウエハWについて、FF測定によって得られたCD値及び所望のCD値の差分に、オフセット値を加算することによって目標シュリンク量を算出する。   Further, the process adjustment controller 115 executes the shrink process on the wafer W by the process module 25 which is not being processed but is in an empty state. This shrink process is executed based on the feed-forward process condition corrected by the offset value. Here, for each wafer W, the target shrink amount is calculated by adding the offset value to the difference between the CD value obtained by the FF measurement and the desired CD value.

図5は、本発明の基板処理方法が適用される半導体ウエハの構成を概略的に示す断面図である。   FIG. 5 is a cross-sectional view schematically showing the configuration of a semiconductor wafer to which the substrate processing method of the present invention is applied.

図5において、ウエハWはシリコン基材80の表面に形成された処理対象層としてのアモルファスカーボン膜(下層レジスト膜)81と、アモルファスカーボン膜81上に形成されたSiON膜(ハードマスク)82と、SiON膜82上に形成された反射防止膜(BARC膜)83と、反射防止膜83上に形成されたフォトレジスト膜84(マスク層)とを有する。   In FIG. 5, a wafer W includes an amorphous carbon film (lower resist film) 81 as a processing target layer formed on the surface of a silicon substrate 80, and a SiON film (hard mask) 82 formed on the amorphous carbon film 81. And an antireflection film (BARC film) 83 formed on the SiON film 82 and a photoresist film 84 (mask layer) formed on the antireflection film 83.

シリコン基材80はシリコンからなる円板状の薄板であり、例えばCVD処理を施すことによって表面にアモルファスカーボン膜51が形成される。アモルファスカーボン膜81は、下層レジスト膜として機能する。アモルファスカーボン膜81上に、CVD処理又はPVD処理等が施されて表面にSiON膜82が形成され、該SiON膜82上に、例えば塗布処理によって反射防止膜83が形成される。反射防止膜83はある特定の波長の光、例えば、フォトレジスト膜84に向けて照射されるArFエキシマレーザ光を吸収する色素を含む高分子樹脂からなり、フォトレジスト膜84を透過したArFエキシマレーザ光がSiON膜82によって反射されて再びフォトレジスト膜84に到達するのを防止する。フォトレジスト膜84は、反射防止膜83上に例えばスピンコータ(図示省略)を用いて形成される。フォトレジスト膜84はポジ型の感光性樹脂からなり、ArFエキシマレーザ光に照射されるとアルカリ可溶性に変質する。   The silicon substrate 80 is a disk-shaped thin plate made of silicon, and the amorphous carbon film 51 is formed on the surface by performing, for example, a CVD process. The amorphous carbon film 81 functions as a lower resist film. A CVD process or a PVD process is performed on the amorphous carbon film 81 to form a SiON film 82 on the surface, and an antireflection film 83 is formed on the SiON film 82 by, for example, a coating process. The antireflection film 83 is made of a polymer resin containing a dye that absorbs light of a specific wavelength, for example, ArF excimer laser light irradiated toward the photoresist film 84, and the ArF excimer laser transmitted through the photoresist film 84. This prevents light from being reflected by the SiON film 82 and reaching the photoresist film 84 again. The photoresist film 84 is formed on the antireflection film 83 using, for example, a spin coater (not shown). The photoresist film 84 is made of a positive photosensitive resin, and when irradiated with ArF excimer laser light, the photoresist film 84 changes to alkali-soluble.

このような構成のウエハWに対し、所定のパターンに反転するパターンに対応したArFエキシマレーザ光がステッパー(図示省略)によってフォトレジスト膜84に照射されて、フォトレジスト膜84におけるArFエキシマレーザ光が照射された部分がアルカリ可溶性に変質する。その後、フォトレジスト膜84に強アルカリ性の現像液が滴下されてアルカリ可溶性に変質した部分が除去される。これにより、フォトレジスト膜84から所定のパターンに反転するパターンに対応した部分が取り除かれるため、ウエハW上には所定のパターンを呈する、例えば、ビアホールを形成する位置に開口部85を有するフォトレジスト膜84が残る。   The wafer W having such a configuration is irradiated with ArF excimer laser light corresponding to a pattern inverted to a predetermined pattern by a stepper (not shown), and the ArF excimer laser light in the photoresist film 84 is irradiated with the ArF excimer laser light. The irradiated part is transformed into alkali-soluble. Thereafter, a strongly alkaline developer is dropped on the photoresist film 84 to remove the portion that has become alkali-soluble. As a result, the portion corresponding to the pattern that reverses to the predetermined pattern is removed from the photoresist film 84, so that a predetermined pattern is formed on the wafer W, for example, a photoresist having an opening 85 at a position where a via hole is formed. The film 84 remains.

ところで、半導体デバイスの小型化要求を満たすためには、小さい寸法、具体的には開口幅(CD(Critical Dimension)値)が、例えば25〜30nm程度の開口部(ビアホールやトレンチ)をエッチング対象の膜に形成する必要がある。   By the way, in order to satisfy the demand for miniaturization of semiconductor devices, a small dimension, specifically, an opening (via hole or trench) having an opening width (CD (Critical Dimension) value) of, for example, about 25 to 30 nm is to be etched. It is necessary to form a film.

そこで、CF系のデポ性ガス、例えばCHFガスを使用して所定条件でプラズマ処理を施し、ウエハWの開口部の開口幅を25nm乃至30nmとするシュリンク処理を施す。 Therefore, a plasma treatment is performed under a predetermined condition using a CF-based deposition gas, for example, CHF 3 gas, and a shrink treatment is performed so that the opening width of the opening of the wafer W is 25 nm to 30 nm.

以下、本発明の第1の実施の形態に係る基板処理方法についてウエハWの開口部の開口幅を縮小させるシュリンク処理を実行する場合を例として詳述する。   Hereinafter, the substrate processing method according to the first embodiment of the present invention will be described in detail by taking as an example a case where a shrink process for reducing the opening width of the opening of the wafer W is executed.

この基板処理方法は、ウエハWのフォトレジスト膜84に形成された開口部85の開口幅をプラズマ処理に基づくデポを開口部55の側壁面に付着させて縮小させるものであり、開口幅縮小ステップと、開口幅縮小後に、開口幅が小さくなった開口部85のパターンを、処理対象層であるアモルファスカーボン膜81に転写するエッチングステップを有する。   In this substrate processing method, the opening width of the opening 85 formed in the photoresist film 84 of the wafer W is reduced by attaching a deposit based on plasma processing to the side wall surface of the opening 55, and the opening width reducing step is performed. And an etching step for transferring the pattern of the opening 85 having the reduced opening width to the amorphous carbon film 81 as the processing target layer after the opening width is reduced.

図6は、基板処理装置10のシステムコントローラによって実行される基板処理方法の手順を示すフローチャートである。   FIG. 6 is a flowchart showing the procedure of the substrate processing method executed by the system controller of the substrate processing apparatus 10.

図6において、まず、シュリンク処理が開始されると、まず、フープ14からウエハWを搬出し、IMM17に搬入する(ステップS1)。次いで、IMM17に搬入したウエハWのCD値を測定する(ステップS2)(FF測定)。次いで、CD値を測定したウエハWをプロセスモジュール(以下、「PM」という。)25のチャンバ内に搬入するが、このとき、現在処理中でなく、空いているPMを選択して、該空いているPMのチャンバ52内にウエハWを搬入する。すなわち、システムコントローラ(以下、単に「コントローラ」ともいう。)は、PM25aが空いているか否かを判定する(ステップS3)。そして、PM25aが空いている場合は、ウエハWをPM25aのチャンバ52内に搬入する(ステップS4)。   In FIG. 6, when the shrink process is started, first, the wafer W is unloaded from the hoop 14 and loaded into the IMM 17 (step S1). Next, the CD value of the wafer W carried into the IMM 17 is measured (step S2) (FF measurement). Next, the wafer W whose CD value has been measured is loaded into the chamber of the process module (hereinafter referred to as “PM”) 25. At this time, a vacant PM that is not currently being processed is selected and the vacant is selected. The wafer W is loaded into the PM chamber 52. That is, the system controller (hereinafter also simply referred to as “controller”) determines whether or not the PM 25a is free (step S3). If the PM 25a is vacant, the wafer W is loaded into the chamber 52 of the PM 25a (step S4).

その後、コントローラは、PM25aのチャンバ内で、IMM17で測定したCD値及びオフセット値に基づいて決定されたフィードフォワード制御用の処理条件に従ってウエハWに対してシュリンク処理を施す。   Thereafter, the controller performs a shrink process on the wafer W in the chamber of the PM 25a according to the processing conditions for the feedforward control determined based on the CD value and the offset value measured by the IMM 17.

図7は、ウエハWに対するシュリンク処理の工程図である。   FIG. 7 is a process diagram of the shrink process for the wafer W.

図7において、ウエハWの開口部の開口幅を縮小させるシュリンク処理は、以下のように実施される。   In FIG. 7, the shrink process for reducing the opening width of the opening of the wafer W is performed as follows.

すなわち、図5に示したウエハWをPM25aのチャンバ52内に搬入し(図7(A)参照)、載置台53上に載置した後、チャンバ52内の圧力を例えば1×10Pa(75mTorr)に設定する。また、ウエハWの温度を例えば50℃に設定する。そして、シャワーヘッド54の下層ガス供給部59からCHFガスを流量、例えば300sccmでチャンバ52内へ供給する。そして、載置台53に励起用電力として750W、バイアス電力として300Wを供給すると共に、シャワーヘッド54に−300Vの直流電圧を印加する。このとき、CHFガスが処理空間Sに印加された高周波電力によって励起されてプラズマになり、イオンやラジカルが発生する(図7(B))。これらのイオンやラジカルはフォトレジスト膜84の表面又は開口部側壁面と衝突、反応し、当該部分にデポ86を堆積させる(図7(C))。 That is, after the wafer W shown in FIG. 5 is loaded into the chamber 52 of the PM 25a (see FIG. 7A) and mounted on the mounting table 53, the pressure in the chamber 52 is set to 1 × 10 Pa (75 mTorr), for example. Set to. Further, the temperature of the wafer W is set to 50 ° C., for example. Then, CHF 3 gas is supplied from the lower layer gas supply unit 59 of the shower head 54 into the chamber 52 at a flow rate, for example, 300 sccm. Then, 750 W as excitation power and 300 W as bias power are supplied to the mounting table 53, and a DC voltage of −300 V is applied to the shower head 54. At this time, the CHF 3 gas is excited by the high frequency power applied to the processing space S to become plasma, and ions and radicals are generated (FIG. 7B). These ions and radicals collide with and react with the surface of the photoresist film 84 or the side wall surface of the opening, and deposit a deposit 86 on the portion (FIG. 7C).

デポ86の厚さは、処理開始時から次第に厚くなり、処理開始3分後には、例えば35nm(開口幅:25nm)となる。このシュリンク処理によって、開口部85の開口幅を、60nmから25nmまで縮小することができる。   The thickness of the deposit 86 gradually increases from the start of the process, and becomes 35 nm (opening width: 25 nm), for example, 3 minutes after the start of the process. By this shrink process, the opening width of the opening 85 can be reduced from 60 nm to 25 nm.

次いで、シュリンク処理によって、開口幅が25nmに縮小された開口部85を有するフォトレジスト膜84を備えたウエハWに対して、フォトレジスト膜84に形成された開口部を処理対象層であるアモルファスカーボン膜81に転写するRIE処理を施す。   Next, with respect to the wafer W provided with the photoresist film 84 having the opening 85 whose opening width is reduced to 25 nm by the shrink process, the opening formed in the photoresist film 84 is amorphous carbon which is a processing target layer. An RIE process for transferring the film 81 is performed.

すなわち、フォトレジスト膜84の開口部85の開口幅が25nmに縮小されたウエハWを収容するプロセスモジュールのチャンバ52内の圧力を例えば1×10Pa(75mTorr)に設定し、ウエハWの温度を例えば50℃に設定した後、シャワーヘッド44の下層ガス供給部59からCFガスを流量220sccmでチャンバ52内へ供給すると共に、上層ガス供給部60からCHFガスを流量250sccmでチャンバ52内へ供給する。そして、載置台53に励起用電力として750W、バイアス電力として0Wを供給すると共に、シャワーヘッド54に−300Vの直流電圧を印加する。このとき、CFガス及びCHFガスが処理空間Rに印加された高周波電力によってプラズマになり、イオンやラジカルが発生する。これらのイオンやラジカルは反射防止膜83におけるフォトレジスト膜84によって覆われていない部分と衝突、反応し、反射防止膜83及びその下層のSiON膜82の当該部分をエッチングする(図7(D))。当該部分の反射防止膜83及びSiON膜82はアモルファスカーボン膜81が露出するまでエッチングされる(図7(E))。 That is, the pressure in the chamber 52 of the process module that accommodates the wafer W in which the opening width of the opening 85 of the photoresist film 84 is reduced to 25 nm is set to 1 × 10 Pa (75 mTorr), for example, and the temperature of the wafer W is set to, for example, After setting to 50 ° C., CF 4 gas is supplied into the chamber 52 from the lower layer gas supply unit 59 of the shower head 44 at a flow rate of 220 sccm, and CHF 3 gas is supplied from the upper layer gas supply unit 60 into the chamber 52 at a flow rate of 250 sccm. To do. Then, 750 W as excitation power and 0 W as bias power are supplied to the mounting table 53, and a DC voltage of −300 V is applied to the shower head 54. At this time, CF 4 gas and CHF 3 gas become plasma by the high-frequency power applied to the processing space R, and ions and radicals are generated. These ions and radicals collide with and react with a portion of the antireflection film 83 that is not covered by the photoresist film 84, and etches the antireflection film 83 and the corresponding portion of the SiON film 82 underneath (FIG. 7D). ). The antireflection film 83 and the SiON film 82 in this portion are etched until the amorphous carbon film 81 is exposed (FIG. 7E).

このようにして、フォトレジスト膜84の開口部85の開口幅が縮小されると共に、反射防止膜83及びSiON膜82がエッチングされたウエハWに対して同一PM内又は隣接する別のPMに移してアッシング処理を施す。すなわち、チャンバ内の圧力を、例えば2.6Pa(20mTorr)に設定し、シャワーヘッドの下層ガス供給部からOガスを流量180sccmでチャンバ内へ供給すると共に、上層ガス供給部からNガスを流量20sccmでチャンバ内へ供給する。そして、載置台に1000Wの励起用電力を供給し、バイアス電力を0Wとする。このとき、Oガス及びNガスが処理空間Sに印加された高周波電力によってプラズマになり、イオンやラジカルが発生する。これらのイオンやラジカルはアモルファスカーボン膜81における、フォトレジスト膜84、該フォトレジスト膜84の開口部85の側壁面に堆積したデポ86、並びに反射防止膜83及びSiON膜82によって覆われていない部分と衝突、反応し、当該部分をエッチングする(図7(F))。当該部分のアモルファスカーボン膜81はシリコン基材80が露出するまでエッチングされ、アモルファスカーボン膜81に、幅が25nmの開口部が形成される。このとき、フォトレジスト膜84及び該フォトレジスト膜84の開口部85の側壁面及び上面に堆積したデポ86並びに反射防止膜83が同時に除去される(図7(G))。 In this way, the opening width of the opening 85 of the photoresist film 84 is reduced, and the antireflection film 83 and the SiON film 82 are transferred to another PM in the same PM or adjacent to the etched wafer W. Ashing process. That is, the pressure in the chamber is set at, for example, 2.6 Pa (20 mTorr), O 2 gas is supplied from the lower layer gas supply unit of the shower head into the chamber at a flow rate of 180 sccm, and N 2 gas is supplied from the upper layer gas supply unit. Supply into the chamber at a flow rate of 20 sccm. Then, 1000 W of excitation power is supplied to the mounting table, and the bias power is set to 0 W. At this time, O 2 gas and N 2 gas become plasma by the high-frequency power applied to the processing space S, and ions and radicals are generated. These ions and radicals are portions of the amorphous carbon film 81 that are not covered by the photoresist film 84, the deposit 86 deposited on the sidewall surface of the opening 85 of the photoresist film 84, the antireflection film 83, and the SiON film 82. It reacts with and reacts with and etches that portion (FIG. 7F). The portion of the amorphous carbon film 81 is etched until the silicon substrate 80 is exposed, and an opening having a width of 25 nm is formed in the amorphous carbon film 81. At this time, the photoresist film 84, the deposit 86 deposited on the side wall surface and the upper surface of the opening 85 of the photoresist film 84, and the antireflection film 83 are simultaneously removed (FIG. 7G).

このようにして、ウエハWに対するシュリンク処理(ステップS5)が終了した後、コントローラは、ウエハWについてFB測定を行うか否か判定する(ステップS6)。   After the shrink process (step S5) for the wafer W is completed in this way, the controller determines whether or not to perform FB measurement on the wafer W (step S6).

ここでは、FB測定が行われ、シュリンク処理後のウエハWについてIMM17によってCD値が測定されると、測定された処理後CD値に基づいて現在のオフセット値が更新される。   Here, when the FB measurement is performed and the CD value is measured by the IMM 17 for the wafer W after the shrink process, the current offset value is updated based on the measured post-process CD value.

FB測定を行うか否かの判定(ステップS6)は、当該PM25aのチャンバが次の3条件のうちいずれか1つを満足するか否かによって行う。すなわち、現在のRF時間(高周波電力印加積算時間)から前回オフセット値を更新した時のRF時間を差し引いた差が、所定のFB測定実行RF印加積算時間、例えば15分を超えている場合(条件1)、現在の実時間から前回オフセット値を更新した時の実時間を差し引いた差が、所定の時間、例えば6時間を超えている場合(条件2)、処理対象のウエハWのスロット番号が、FB測定を行う旨の設定がなされているウエハWのスロット番号と一致する場合(条件3)のうちいずれかの条件を満たす場合は、FB測定を行うことを決定し(ステップS6において「YES」の場合)、シュリンク処理後のウエハWをIMM13に搬入する(ステップS7)。   The determination as to whether or not to perform FB measurement (step S6) is performed based on whether or not the chamber of the PM 25a satisfies any one of the following three conditions. That is, when the difference obtained by subtracting the RF time when the offset value was last updated from the current RF time (high frequency power application integration time) exceeds a predetermined FB measurement execution RF application integration time, for example, 15 minutes (conditions) 1) When the difference obtained by subtracting the actual time when the offset value was updated last time from the current actual time exceeds a predetermined time, for example, 6 hours (condition 2), the slot number of the wafer W to be processed is When the slot number of the wafer W that is set to perform the FB measurement matches (condition 3), if any of the conditions is satisfied, it is determined to perform the FB measurement (“YES” in step S6). ”), The wafer W after the shrink process is carried into the IMM 13 (step S7).

次いで、IMM17に搬入したウエハWのCD値を測定し(ステップS8)、その後、ウエハWを、例えば、フープの所定のスロットに収納する(ステップS9)。このとき、測定された処理後のCD値に基づいて現在のオフセット値が更新され、該更新されたオフセット値は、次にシュリンク処理するウエハWのフィードフォワード制御による処理条件を算出する際に、FF測定によるCD値とともに用いられる。   Next, the CD value of the wafer W loaded into the IMM 17 is measured (step S8), and then the wafer W is stored in, for example, a predetermined slot of the hoop (step S9). At this time, the current offset value is updated based on the measured post-processing CD value, and the updated offset value is used when calculating processing conditions by feedforward control of the wafer W to be subjected to shrink processing next time. Used with CD value by FF measurement.

次いで、次に処理するウエハWが存在するか否かを判定し(ステップS10)、次に処理するウエハWが存在する場合は、ステップS1に戻る。一方、次に処理するウエハWが存在しない場合は、本処理を終了する。   Next, it is determined whether or not there is a wafer W to be processed next (step S10). If there is a wafer W to be processed next, the process returns to step S1. On the other hand, when there is no wafer W to be processed next, this processing is terminated.

また、ステップS3において、PM25aのチャンバが空いていない場合(ステップS3で「NO」の場合)は、PM25bのチャンバが空いているか否かを判定し(ステップS11)、空いている場合は、PM25bのチャンバ内にウエハWを搬入し(ステップS12)、以下、PM25aを使用する場合と同様にウエハWに対してシュリンク処理を施す(ステップS5〜S10)。なお、ステップS11において、PM25bのチャンバが空いていない場合は、ステップS3に戻る。   In step S3, if the PM25a chamber is not empty ("NO" in step S3), it is determined whether the PM25b chamber is empty (step S11). The wafer W is loaded into the chamber (step S12), and the shrink processing is performed on the wafer W in the same manner as when the PM 25a is used (steps S5 to S10). In step S11, if the PM25b chamber is not empty, the process returns to step S3.

また、ステップS6において、FB測定を行わない場合、すなわち上述したFB測定の3条件のうちいずれも満足しない場合は、ステップS7及びステップS8をスキップし、処理後のウエハWを直接フープに戻す(ステップS9)。この場合、オフセット値は更新されることがなく、また、次にシュリンク処理するウエハWのフィードフォワード制御による処理条件を算出する際に、当該更新されていないオフセット値が用いられる。   In step S6, when FB measurement is not performed, that is, when none of the above-mentioned three conditions of FB measurement is satisfied, step S7 and step S8 are skipped, and the processed wafer W is directly returned to the hoop ( Step S9). In this case, the offset value is not updated, and the non-updated offset value is used when calculating the processing condition by the feedforward control of the wafer W to be shrink processed next time.

図6の処理によれば、シュリンク処理後のウエハWについてFB測定を行うか否かを判定し(ステップS6)、FB測定するための要件を満たした場合のみ、処理後のウエハWをIMM17に搬入してウエハWについてCD値を測定し、それ以外の場合は、ウエハWに対する処理後測定ステップ(ステップS8)をスキップするようにしたので、全てのウエハWについてFB測定を行う処理に比べて、スループットを改善することができる。また、FB測定の要件として、現在のRF時間から前回オフセット値を更新した時のRF時間を差し引いた差が、所定のFB測定実行RF印加積算時間を超えているか否か(条件1)、現在の実時間から前回FBオフセット値を更新した時の実時間を差し引いた差が、所定の装置稼働時間を超えているか否か(条件2)を採用したので、OR搬送処理によってシュリンク処理を実行した場合であっても、各PMのオフセット値を最適なタイミングで更新でき、最適なオフセット値を得ることができる。その結果、チャンバ内雰囲気の変動を加味した最適処理を各ウエハWに施すことができる。   According to the process of FIG. 6, it is determined whether or not the FB measurement is performed on the wafer W after the shrink process (step S6), and the processed wafer W is transferred to the IMM 17 only when the requirements for the FB measurement are satisfied. In this case, the CD value is measured for the wafer W. In other cases, the post-processing measurement step (step S8) for the wafer W is skipped, so that the FB measurement is performed for all the wafers W. Throughput can be improved. Further, as a requirement for the FB measurement, whether or not the difference obtained by subtracting the RF time when the offset value was last updated from the current RF time exceeds a predetermined FB measurement execution RF application integration time (Condition 1), Since the difference obtained by subtracting the actual time when the FB offset value was updated last time from the actual time of the current time exceeds the predetermined device operating time (condition 2), the shrink process was executed by the OR transport process. Even in this case, the offset value of each PM can be updated at the optimum timing, and the optimum offset value can be obtained. As a result, the optimum processing can be performed on each wafer W in consideration of changes in the chamber atmosphere.

なお、プロセス調整コントローラ115はプロセスモジュール25a、25bのそれぞれで実行されるプロセスレシピ毎に設定されたFB測定実行RF印加積算時間を有していてもよい。この場合、プロセスモジュール25a、25bのそれぞれにおいて複数種のシュリンク処理が実行される場合であっても、各シュリンク処理において最適なオフセット値を得ることができる。   The process adjustment controller 115 may have an FB measurement execution RF application integration time set for each process recipe executed in each of the process modules 25a and 25b. In this case, even when a plurality of types of shrink processing is executed in each of the process modules 25a and 25b, an optimum offset value can be obtained in each shrink processing.

次に、本実施の形態の変形例(第2の実施の形態)について説明する。   Next, a modified example (second embodiment) of the present embodiment will be described.

第1の実施の形態においては、オフセット値を更新する場合、直前に実施した1回のFB測定で得られたCD値に基づいてオフセット値を算出しており、処理後のCD測定値のばらつきが考慮されていなかった。これに対して本実施の形態においては、過去の所定期間、例えば、今回行ったFB測定から過去に行われたFB測定におけるCDの測定値とCDの目標値とのずれ量を移動平均処理することによってずれ量からばらつきの影響を取り除き、該ずれ量から算出されるオフセット値の最適化を行う。   In the first embodiment, when the offset value is updated, the offset value is calculated based on the CD value obtained by the single FB measurement performed immediately before, and the variation in the CD measurement value after processing is calculated. Was not considered. On the other hand, in the present embodiment, a moving average process is performed for a deviation amount between a CD measurement value and a CD target value in a past predetermined period, for example, the FB measurement performed in the past from the FB measurement performed this time. Thus, the influence of the variation is removed from the deviation amount, and the offset value calculated from the deviation amount is optimized.

以下、本実施の形態におけるオフセット値の求め方を、従来技術によるオフセット値の求め方との差異を明らかにすることによって詳細に説明する。   Hereinafter, the method for obtaining the offset value in the present embodiment will be described in detail by clarifying the difference from the method for obtaining the offset value according to the prior art.

すなわち、過去の一連のFB測定の測定結果に基づいて、オフセット値を更新する方法として、チャンバ内雰囲気の変化に起因する処理後のCD値と目標値とのずれ量(シュリンク量誤差)を指数関数の重み付け係数を用いて移動平均化する方法があり、移動平均を行うことによって短期的なノイズを減少させ、且つ重み付けをすることによって長期的なチャンバ内雰囲気の変化を加味できることが、従来から知られていた。   In other words, as a method of updating the offset value based on a series of past FB measurement results, a deviation amount (shrink amount error) between the processed CD value and the target value due to a change in the atmosphere in the chamber is used as an index. There is a method of moving average using a weighting coefficient of a function, and it has been conventionally possible to reduce short-term noise by performing moving average and to take into account long-term changes in the atmosphere in the chamber by weighting. It was known.

以下に、従来のシュリンク量誤差を指数関数の重み付け係数を用いて移動平均化する方法について説明する。   In the following, a conventional method of moving and averaging the shrinkage error using an exponential function weighting coefficient will be described.

図8は、シュリンク処理を施したウエハWについてのシュリンク量誤差に重み付けを行う際の処理済み枚数(最近処理した新しいウエハWか以前に処理した古いウエハWか)に対する重み付け係数の変化を示すグラフである。   FIG. 8 is a graph showing a change in weighting coefficient with respect to the number of processed wafers (whether the wafer W has been processed recently or the old wafer W processed before) when weighting the shrink amount error for the wafer W subjected to the shrink processing. It is.

図8において、最近処理した新しいウエハWほど重み付け係数が大きくなっており、オフセット値の更新において新しいウエハWのシュリンク量誤差ほど大きな影響力を有するので、長期的なチャンバ内雰囲気に変化に追従した処理が可能となっている。   In FIG. 8, the weighting coefficient is larger for a recently processed new wafer W, and the influence of the shrink amount error of the new wafer W in updating the offset value has a greater influence. Processing is possible.

また、図8の重み付けを加味したオフセット値の移動平均を求めるための計算式は次式(式1)によって表される。オフセット値F(i)はi枚目のウエハWをシュリンク処理する際のフィードフォワード用の処理条件である、目標シュリンク量を算出するために用いられるものである。   Further, the calculation formula for obtaining the moving average of the offset values in consideration of the weighting in FIG. 8 is expressed by the following formula (Formula 1). The offset value F (i) is used for calculating a target shrink amount, which is a feedforward processing condition when the i-th wafer W is subjected to shrink processing.

Figure 2011003712
Figure 2011003712

しかしながら、このような従来方法は、単に処理済み枚数のみに応じた重み付け係数を採用するために、チャンバ内の雰囲気の変化を忠実に捉え、これを加味した移動平均値を算出するうえで問題があった。すなわち、上述の従来方法によれば、例えば1枚前のウエハWにおけるシュリンク量誤差は、その1日前のデータであっても、1ヶ月前のデータであっても同じ重み付け係数が使用されるために、現実のチャンバ内雰囲気の変化に対する追従性の点で問題がある。   However, since such a conventional method simply employs a weighting coefficient according to only the number of processed sheets, there is a problem in accurately calculating the moving average value taking into account the change in the atmosphere in the chamber and taking this into account. there were. That is, according to the above-described conventional method, for example, the same weighting coefficient is used for the shrink amount error in the previous wafer W regardless of whether the data is one day before or one month before. In addition, there is a problem in the followability to the actual change in the atmosphere in the chamber.

本実施の形態においては、このような従来方法の問題点を解消するために、シュリンク量誤差をRF印加累積時間に従って変化させた重み付け係数を用いて移動平均値を算出する。   In the present embodiment, in order to solve such problems of the conventional method, the moving average value is calculated using a weighting coefficient obtained by changing the shrink amount error according to the RF application accumulated time.

図9は、本実施の形態におけるシュリンク量誤差に対する重み付け係数とウエハを処理した際の経過時間(RF印加累積時間)との関係を示すグラフである。   FIG. 9 is a graph showing the relationship between the weighting coefficient for the shrinkage error and the elapsed time (RF application accumulated time) when the wafer is processed in the present embodiment.

図9において、重み付け係数は、処理済み枚数ではなく、現在との時間差、すなわち、当該チャンバにおける過去所定期間における各オフセット値が算出されてから、今回オフセット値を更新しようとするまでのRF印加累積時間(経過処理時間)に応じる。これによって、次にオフセット値を更新する際に、過去の各シュリンク量誤差に当該シュリンク量誤差が得られてから経過した時間、換言すれば、チャンバ内雰囲気の変化を正確に加味することができる。   In FIG. 9, the weighting coefficient is not the number of processed sheets but the time difference from the current time, that is, the accumulated RF application from the time when each offset value in the past predetermined period in the chamber is calculated until the current offset value is updated. Depending on time (elapsed processing time). As a result, when the offset value is next updated, the time that has elapsed since the shrinkage error was obtained, in other words, the change in the atmosphere in the chamber can be accurately taken into account for each past shrinkage error. .

本実施の形態におけるオフセット値の移動平均値を求めるための計算式は次式(式2)によって表される。なお、本計算式では重み付け計数から時間の絶対値の影響を取り除くために規格化を行う。   The calculation formula for obtaining the moving average value of the offset value in the present embodiment is expressed by the following formula (Formula 2). In this calculation formula, normalization is performed to remove the influence of the absolute value of time from the weighting count.

Figure 2011003712
Figure 2011003712

以下に、本実施の形態におけるオフセット値を用いた場合と、従来方法を行った場合の効果を比較する。   In the following, the effect of using the offset value in the present embodiment and the effect of performing the conventional method will be compared.

図10は、本実施の形態と従来方法との効果を比較して示す図である。図10では、「◆」が実測したシュリンク量誤差を示し、「●」が本実施の形態におけるオフセット値を示し、「■」が従来方法におけるオフセット値を示す。   FIG. 10 is a diagram comparing the effects of the present embodiment and the conventional method. In FIG. 10, “♦” indicates the actually measured shrink amount error, “●” indicates the offset value in the present embodiment, and “■” indicates the offset value in the conventional method.

図10において、任意のシュリンク量誤差に対する、従来方法と本実施の形態とのオフセット値の変化を比較して示したところ、オフセット値の更新が頻繁に行われている状況(図10中のA)では、従来方法と本実施の形態との差は顕著でなく、共に実測したシュリンク量誤差に追従したオフセット値が得られた。また、理論上、従来方法の方がチャンバ内雰囲気の変化に良好に追従すると考えられる、オフセット値が更新されない期間があり、その間にチャンバ内雰囲気が変化しない場合(図10中のC)であっても、両者に特に差異は認められなかった。   In FIG. 10, when the change of the offset value between the conventional method and the present embodiment is compared with respect to an arbitrary shrinkage amount error, the offset value is frequently updated (A in FIG. 10). ), The difference between the conventional method and the present embodiment is not significant, and an offset value following the measured shrink amount error was obtained. Theoretically, the conventional method is more likely to follow the change in the atmosphere in the chamber. There is a period in which the offset value is not updated, and the atmosphere in the chamber does not change during that period (C in FIG. 10). However, there was no particular difference between the two.

しかしながら、オフセット値が更新されない一定の期間があり、その間にチャンバ内雰囲気が変化した場合(図10中のB)においては、本実施の形態のオフセット値が実測したシュリンク量誤差に追従するまでの時間は、従来方法のオフセット値が同シュリンク量誤差に追従するまでの時間よりもはるかに短くなっていることが分かった。すなわち、本実施の形態を採用することによって、チャンバ内の雰囲気変化により正確に追従したオフセット値によって、より正確なシュリンク処理を実現できることが分かった。   However, when there is a certain period in which the offset value is not updated and the atmosphere in the chamber changes during that period (B in FIG. 10), the offset value of the present embodiment is until the measured shrink amount error is tracked. The time was found to be much shorter than the time required for the offset value of the conventional method to follow the shrink amount error. That is, by adopting this embodiment, it was found that a more accurate shrink process can be realized by an offset value that accurately follows the atmospheric change in the chamber.

上述した各実施の形態において、プラズマ処理が施される基板は半導体デバイス用のウエハに限られず、LCD(Liquid Crystal Display)を含むFPD(Flat Panel Display)等に用いる各種基板や、フォトマスク、CD基板、プリント基板等であってもよい。   In each of the above-described embodiments, a substrate on which plasma treatment is performed is not limited to a wafer for a semiconductor device, but various substrates used for FPD (Flat Panel Display) including LCD (Liquid Crystal Display), a photomask, a CD A board | substrate, a printed circuit board, etc. may be sufficient.

また、本発明の目的は、上述した各実施の形態の機能を実現するソフトウェアのプログラムコードを記憶した記憶媒体を、システム或いは装置に供給し、そのシステム或いは装置のコンピュータ(またはCPUやMPU等)が記憶媒体に格納されたプログラムコードを読み出し実行することによっても達成される。   Another object of the present invention is to supply a storage medium storing software program codes for realizing the functions of the above-described embodiments to a system or apparatus, and the computer of the system or apparatus (or CPU, MPU, or the like). Is also achieved by reading and executing the program code stored in the storage medium.

この場合、記憶媒体から読み出されたプログラムコード自体が上述した各実施の形態の機能を実現することになり、そのプログラムコード及び該プログラムコードを記憶した記憶媒体は本発明を構成することになる。   In this case, the program code itself read from the storage medium realizes the functions of the above-described embodiments, and the program code and the storage medium storing the program code constitute the present invention. .

また、プログラムコードを供給するための記憶媒体としては、例えば、フロッピー(登録商標)ディスク、ハードディスク、光磁気ディスク、CD−ROM、CD−R、CD−RW、DVD−ROM、DVD−RAM、DVD−RW、DVD+RW等の光ディスク、磁気テープ、不揮発性のメモリカード、ROM等を用いることができる。または、プログラムコードをネットワークを介してダウンロードしてもよい。   Examples of the storage medium for supplying the program code include a floppy (registered trademark) disk, a hard disk, a magneto-optical disk, a CD-ROM, a CD-R, a CD-RW, a DVD-ROM, a DVD-RAM, and a DVD. An optical disc such as RW or DVD + RW, a magnetic tape, a nonvolatile memory card, a ROM, or the like can be used. Alternatively, the program code may be downloaded via a network.

また、コンピュータが読み出したプログラムコードを実行することにより、上述した各実施の形態の機能が実現されるだけではなく、そのプログラムコードの指示に基づき、コンピュータ上で稼動しているOS(オペレーティングシステム)等が実際の処理の一部または全部を行い、その処理によって上述した各実施の形態の機能が実現される場合も含まれる。   Further, by executing the program code read by the computer, not only the functions of the above-described embodiments are realized, but also an OS (Operating System) running on the computer based on the instruction of the program code. Includes a case where the functions of the above-described embodiments are realized by performing part or all of the actual processing.

さらに、記憶媒体から読み出されたプログラムコードが、コンピュータに挿入された機能拡張ボードやコンピュータに接続された機能拡張ユニットに備わるメモリに書き込まれた後、そのプログラムコードの指示に基づき、その拡張機能を拡張ボードや拡張ユニットに備わるCPU等が実際の処理の一部または全部を行い、その処理によって上述した各実施の形態の機能が実現される場合も含まれる。   Furthermore, after the program code read from the storage medium is written to a memory provided in a function expansion board inserted into the computer or a function expansion unit connected to the computer, the expanded function is based on the instruction of the program code. This includes a case where a CPU or the like provided on the expansion board or the expansion unit performs part or all of the actual processing and the functions of the above-described embodiments are realized by the processing.

10 基板処理装置
11プロセスチップ
14 フープ
17 測定器(IMM)
25 プロセスモジュール(PM)
27 ロード・ロックモジュール(LLM)
52 チャンバ
100 システムコントローラ
115 プロセス調整コントローラ
10 substrate processing apparatus 11 process chip 14 hoop 17 measuring instrument (IMM)
25 Process module (PM)
27 Load Lock Module (LLM)
52 Chamber 100 System Controller 115 Process Adjustment Controller

Claims (8)

ウエハに所定の処理を施すチャンバと、前記ウエハの物性値を測定する測定器とを備えた基板処理装置を用いて前記チャンバを事前に指定することなく複数のウエハに対して前記所定の処理を連続して施す基板処理方法において、
前記ウエハを前記測定器に搬入して前記ウエハの処理前の物性値を測定する処理前測定ステップと、
前記物性測定後のウエハを、前記チャンバに搬入し、前記処理前測定ステップで得られた物性値に基づいて作成された処理条件に従ってフィードフォワード制御によって前記ウエハに対して所定の処理を施す処理ステップと、
前記処理ステップ終了後のウエハを前記測定器に搬入して前記ウエハの処理後の物性値を測定する処理後測定ステップと、
前記処理後測定ステップで測定された前記ウエハの物性値及び目標値の差に基づいて、前記フィードフォワード制御における処理条件の作成に用いられるオフセット値を更新するオフセット値更新ステップと、を有し、
前記処理後測定ステップ及び前記オフセット値更新ステップを、前記チャンバにおける経過処理時間が予め決められた所定値に到達するまで省略することを特徴とする基板処理方法。
The predetermined processing is performed on a plurality of wafers without specifying the chamber in advance using a substrate processing apparatus including a chamber for performing predetermined processing on the wafer and a measuring device for measuring the physical property value of the wafer. In the substrate processing method applied continuously,
A pre-processing measurement step of bringing the wafer into the measuring instrument and measuring physical properties of the wafer before processing;
A processing step of loading the wafer after the physical property measurement into the chamber and performing a predetermined process on the wafer by feedforward control according to a processing condition created based on the physical property value obtained in the pre-processing measurement step. When,
A post-processing measurement step of loading the wafer after the processing step into the measuring instrument and measuring a physical property value of the wafer after processing;
An offset value update step for updating an offset value used for creating a processing condition in the feedforward control based on a difference between a physical property value of the wafer and a target value measured in the post-processing measurement step,
The post-processing measurement step and the offset value updating step are omitted until an elapsed processing time in the chamber reaches a predetermined value.
前記オフセット値として、前記チャンバの過去所定期間内における前記処理後測定ステップの一連の測定値と目標値との差を指数関数の重み付け係数を用いて移動平均した値を用いることを特徴とする請求項1記載の基板処理方法。   The offset value is a value obtained by moving and averaging a difference between a series of measured values in the post-processing measurement step and a target value within a predetermined period in the past using a weighting coefficient of an exponential function. Item 2. A substrate processing method according to Item 1. 各前記重み付け係数を、前記一連の測定値を求めるための処理後測定ステップをそれぞれ実行してから、前記オフセット値更新ステップを実行するまでの当該チャンバにおける経過処理時間に基づいて変化させ、前記経過処理時間が長いほど重み付け係数を大きくすることを特徴とする請求項2記載の基板処理方法。   Each of the weighting factors is changed based on an elapsed processing time in the chamber from the execution of the post-processing measurement step for obtaining the series of measurement values to the execution of the offset value update step, 3. The substrate processing method according to claim 2, wherein the weighting coefficient is increased as the processing time is longer. 前記経過処理時間は、前記チャンバにおけるウエハ処理用の電力印加累積時間であることを特徴とする請求項1乃至3のいずれか1項に記載の基板処理方法。   The substrate processing method according to claim 1, wherein the elapsed processing time is a power application cumulative time for wafer processing in the chamber. 前記経過処理時間は、前記チャンバにおける処理開始後の実経過時間であることを特徴とする請求項1乃至3のいずれか1項に記載の基板処理方法。   The substrate processing method according to claim 1, wherein the elapsed processing time is an actual elapsed time after the start of processing in the chamber. 前記ウエハの物性値は、前記ウエハに形成された開口部の開口幅、深さ、側壁の角度の少なくともいずれか1つ、又はラインパターンの幅、高さ、側壁の角度の少なくともいずれか1つであることを特徴とする請求項1乃至5のいずれか1項に記載の基板処理方法。   The physical property value of the wafer is at least one of the opening width, depth, and side wall angle of the opening formed in the wafer, or at least one of the line pattern width, height, and side wall angle. The substrate processing method according to claim 1, wherein the substrate processing method is any one of the following. 前記チャンバは複数であり、1の前記測定器によって複数のチャンバで処理される複数のウエハについて該ウエハの処理前後の物性値を測定することを特徴とする請求項1乃至6のいずれか1項に記載の基板処理方法。   The said chamber is plural, The physical property value before and behind the process of this wafer is measured about the several wafer processed by a said one measuring device in several chambers, The any one of Claim 1 thru | or 6 characterized by the above-mentioned. The substrate processing method as described in 2. ウエハに所定の処理を施すチャンバと、前記ウエハの物性値を測定する測定器とを備えた基板処理装置を用いて前記チャンバを事前に指定することなく複数のウエハに対して前記所定の処理を連続して施す基板処理方法をコンピュータに実行させるプログラムを格納した記憶媒体であって、
前記基板処理方法は、
前記ウエハを前記測定器に搬入して前記ウエハの処理前の物性値を測定する処理前測定ステップと、
前記物性測定後のウエハを、前記チャンバ内に搬入し、前記処理前測定ステップで得られた物性値に基づいて作成された処理条件に従ってフィードフォワード制御によって前記ウエハに対して所定の処理を施す処理ステップと、
前記処理ステップ終了後のウエハを前記測定器に搬入して前記ウエハの処理後の物性値を測定する処理後測定ステップと、
前記処理後測定ステップで測定された前記ウエハの物性値及び目標値の差に基づいて、前記フィードフォワード制御における処理条件の作成に用いられるオフセット値を更新するオフセット値更新ステップと、を有し、
前記処理後測定ステップ及び前記オフセット値更新ステップを、前記チャンバにおける経過処理時間が予め決められた所定値に到達するまで省略することを特徴とする記憶媒体。
The predetermined processing is performed on a plurality of wafers without specifying the chamber in advance using a substrate processing apparatus including a chamber for performing predetermined processing on the wafer and a measuring device for measuring the physical property value of the wafer. A storage medium storing a program for causing a computer to execute a substrate processing method to be performed continuously,
The substrate processing method includes:
A pre-processing measurement step of bringing the wafer into the measuring instrument and measuring physical properties of the wafer before processing;
A process in which the wafer after the physical property measurement is carried into the chamber, and a predetermined process is performed on the wafer by feed-forward control according to the processing conditions created based on the physical property value obtained in the pre-processing measurement step. Steps,
A post-processing measurement step of loading the wafer after the processing step into the measuring instrument and measuring a physical property value of the wafer after processing;
An offset value update step for updating an offset value used for creating a processing condition in the feedforward control based on a difference between a physical property value of the wafer and a target value measured in the post-processing measurement step,
The storage medium characterized in that the post-processing measurement step and the offset value update step are omitted until an elapsed processing time in the chamber reaches a predetermined value.
JP2009145379A 2009-06-18 2009-06-18 Substrate processing method and storage medium Expired - Fee Related JP5279627B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2009145379A JP5279627B2 (en) 2009-06-18 2009-06-18 Substrate processing method and storage medium

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009145379A JP5279627B2 (en) 2009-06-18 2009-06-18 Substrate processing method and storage medium

Publications (2)

Publication Number Publication Date
JP2011003712A true JP2011003712A (en) 2011-01-06
JP5279627B2 JP5279627B2 (en) 2013-09-04

Family

ID=43561442

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009145379A Expired - Fee Related JP5279627B2 (en) 2009-06-18 2009-06-18 Substrate processing method and storage medium

Country Status (1)

Country Link
JP (1) JP5279627B2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8864934B2 (en) 2011-03-31 2014-10-21 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, and storage medium
KR20160063967A (en) 2014-11-27 2016-06-07 가부시키가이샤 히다치 하이테크놀로지즈 Plasma processing apparatus
KR20190077233A (en) 2017-12-25 2019-07-03 도쿄엘렉트론가부시키가이샤 Substrate processing method

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11186132A (en) * 1997-12-19 1999-07-09 Sony Corp Method for feedback of semiconductor device manufacturing process
JP2004006571A (en) * 2002-04-26 2004-01-08 Hitachi High-Technologies Corp Plasma processing method and apparatus
JP2005101286A (en) * 2003-09-25 2005-04-14 Toshiba Corp Method and apparatus for manufacturing semiconductor
JP2005109437A (en) * 2003-09-08 2005-04-21 Toshiba Corp Manufacturing system and method of semiconductor device
JP2008103632A (en) * 2006-10-20 2008-05-01 Tokyo Electron Ltd Controller for substrate processing apparatus, control method therefor and storage medium storing control program
JP2009532897A (en) * 2006-04-06 2009-09-10 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド Time weighted moving average filter

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11186132A (en) * 1997-12-19 1999-07-09 Sony Corp Method for feedback of semiconductor device manufacturing process
JP2004006571A (en) * 2002-04-26 2004-01-08 Hitachi High-Technologies Corp Plasma processing method and apparatus
JP2005109437A (en) * 2003-09-08 2005-04-21 Toshiba Corp Manufacturing system and method of semiconductor device
JP2005101286A (en) * 2003-09-25 2005-04-14 Toshiba Corp Method and apparatus for manufacturing semiconductor
JP2009532897A (en) * 2006-04-06 2009-09-10 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド Time weighted moving average filter
JP2008103632A (en) * 2006-10-20 2008-05-01 Tokyo Electron Ltd Controller for substrate processing apparatus, control method therefor and storage medium storing control program

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8864934B2 (en) 2011-03-31 2014-10-21 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, and storage medium
US9299540B2 (en) 2011-03-31 2016-03-29 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, and storage medium
KR20160063967A (en) 2014-11-27 2016-06-07 가부시키가이샤 히다치 하이테크놀로지즈 Plasma processing apparatus
KR20170076631A (en) 2014-11-27 2017-07-04 가부시키가이샤 히다치 하이테크놀로지즈 Plasma processing apparatus
US10184182B2 (en) 2014-11-27 2019-01-22 Hitachi High-Technologies Corporation Plasma processing apparatus
US11643727B2 (en) 2014-11-27 2023-05-09 Hitachi High-Tech Corporation Plasma processing apparatus
JP2019114695A (en) * 2017-12-25 2019-07-11 東京エレクトロン株式会社 Substrate processing method
CN110010524A (en) * 2017-12-25 2019-07-12 东京毅力科创株式会社 Processing method for substrate
US10748779B2 (en) 2017-12-25 2020-08-18 Tokyo Electron Limited Substrate processing method
JP6999410B2 (en) 2017-12-25 2022-01-18 東京エレクトロン株式会社 Board processing method
KR20190077233A (en) 2017-12-25 2019-07-03 도쿄엘렉트론가부시키가이샤 Substrate processing method
CN110010524B (en) * 2017-12-25 2023-06-16 东京毅力科创株式会社 Substrate processing method
KR102650773B1 (en) * 2017-12-25 2024-03-22 도쿄엘렉트론가부시키가이샤 Substrate processing method

Also Published As

Publication number Publication date
JP5279627B2 (en) 2013-09-04

Similar Documents

Publication Publication Date Title
US8329050B2 (en) Substrate processing method
US8241511B2 (en) Substrate processing method
US6858361B2 (en) Methodology for repeatable post etch CD in a production tool
US20150004721A1 (en) Plasma processing apparatus and plasma processing method
US20070212846A1 (en) Substrate processing apparatus, method for examining substrate processing conditions, and storage medium
JP2014513415A (en) Compatibility recipe selection
US20040038139A1 (en) Method and system for realtime CD microloading control
US20100030360A1 (en) Alignment Calculation
JP2001345310A (en) Method for forming pattern and correction method, nitride pattern and semiconductor device
JP5165878B2 (en) Substrate processing apparatus control device, control method, and storage medium storing control program
US20100311245A1 (en) Substrate processing method
JP5279627B2 (en) Substrate processing method and storage medium
JP5242906B2 (en) Substrate processing apparatus control device, control method, and storage medium storing control program
KR100924841B1 (en) Substrate processing method, solid state imaging device manufacturing method, thin film device manufacturing method, and program recording medium
US20100068892A1 (en) Substrate processing method
CN112309894A (en) Wafer processing apparatus and wafer processing method using the same
US7774082B2 (en) Substrate processing method and storage medium having program stored therein
KR100921835B1 (en) Substrate processing method and computer-readable storage medium storing program
KR100939123B1 (en) Optical constant calculation method and substrate processing apparatus
KR100877361B1 (en) Apparatus and method for producing semiconductors
JP4066483B2 (en) Semiconductor manufacturing method and manufacturing apparatus
KR20070088859A (en) Photolithography method for semiconductor device manufacturing

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120612

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130314

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130319

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130410

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130514

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130521

R150 Certificate of patent or registration of utility model

Ref document number: 5279627

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees