JP2009521783A - Method and apparatus for dissociating gas downstream - Google Patents

Method and apparatus for dissociating gas downstream Download PDF

Info

Publication number
JP2009521783A
JP2009521783A JP2008547370A JP2008547370A JP2009521783A JP 2009521783 A JP2009521783 A JP 2009521783A JP 2008547370 A JP2008547370 A JP 2008547370A JP 2008547370 A JP2008547370 A JP 2008547370A JP 2009521783 A JP2009521783 A JP 2009521783A
Authority
JP
Japan
Prior art keywords
gas
chamber
plasma
downstream
dissociation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008547370A
Other languages
Japanese (ja)
Inventor
シュス,ジャック・ジェイ
ホルバー,ウィリアム・エム
サマーソン,ジョン・ティー
トルリ,スーザン・シー
ツァン,ウェイグオ
チェン,シン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
MKS Instruments Inc
Original Assignee
MKS Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by MKS Instruments Inc filed Critical MKS Instruments Inc
Publication of JP2009521783A publication Critical patent/JP2009521783A/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/327Arrangements for generating the plasma

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Plasma Technology (AREA)
  • Sampling And Sample Adjustment (AREA)

Abstract

気体を活性化し且つ解離する方法及び装置は、室108内に配置されたプラズマ132により活性化した気体134を発生させるステップを含む。活性化した気体が気体の供給口により導入された下流気体の解離を促進することを可能にし、解離した下流気体152が室の内面と実質的に反応しないようにするため、下流気体の供給口176は、室の出口172に対して配置されている。A method and apparatus for activating and dissociating a gas includes generating a gas 134 activated by a plasma 132 disposed within the chamber 108. To enable the activated gas to promote dissociation of the downstream gas introduced by the gas supply port and to prevent the dissociated downstream gas 152 from reacting substantially with the inner surface of the chamber, the downstream gas supply port 176 is disposed relative to the outlet 172 of the chamber.

Description

本発明は、気体を活性化する方法及び装置に関する。より詳細には、本発明は、解離した気体を発生させる方法及び装置、また、材料を解離した気体にて処理する装置及び方法に関する。   The present invention relates to a method and apparatus for activating a gas. More particularly, the present invention relates to a method and apparatus for generating a dissociated gas, and an apparatus and method for treating a material with a dissociated gas.

気体の反応性が増すようにするため、気体を励起した状態に置くことにより気体を活性化すべくプラズマが使用されることが多い。気体の励起は、気体のエネルギ状態を向上させるステップを含む。幾つかの場合にて、気体は、励起されて、イオン、遊離基、原子及び分子を含有する解離した気体を生成する。解離した気体は、半導体ウェハ、粉体のような固体材料及びその他の気体を加工することを含んで、多数の工業用及び科学的適用例のため使用される。解離した気体のパラメータ及び解離したガスが加工される材料へ露呈される状態は、適用例に依存して大幅に相違する。解離が生じるようにするため、プラズマにて顕著な量の電力が必要とされることがある。   In order to increase the reactivity of the gas, plasma is often used to activate the gas by placing the gas in an excited state. Excitation of the gas includes improving the energy state of the gas. In some cases, the gas is excited to produce a dissociated gas containing ions, free radicals, atoms and molecules. Dissociated gases are used for many industrial and scientific applications, including processing solid materials such as semiconductor wafers, powders, and other gases. The parameters of the dissociated gas and the state in which the dissociated gas is exposed to the material being processed vary greatly depending on the application. A significant amount of power may be required in the plasma to cause dissociation to occur.

プラズマ源は、例えば、十分な大きさの電位をプラズマ気体(例えば、O、N、Ar、NF、H及びHe)又は気体の混合体に印加して気体の少なくとも一部分をイオン化することによりプラズマを発生させる。プラズマは、直流放電、高周波数(RF)放電及びマイクロ波放電を含む、色々な方法にて発生させることができる。直流放電プラズマは、プラズマ気体内にて2つの電極間に電位を印加することにより実現される。RF放電プラズマは、エネルギを電源からプラズマ内に静電的に又は誘電的に結合することにより実現される。マイクロ波放電プラズマは、マイクロ波エネルギをマイクロ波通過窓を通してプラズマ気体を保持する放電室内に直接、結合することにより実現される。プラズマは、典型的に、アルミニウムのような金属材料又は石英のような誘電性材料から成る室内に保持される。 The plasma source, for example, applies a sufficiently large potential to a plasma gas (eg, O 2 , N 2 , Ar, NF 3 , H 2 and He) or a mixture of gases to ionize at least a portion of the gas. As a result, plasma is generated. The plasma can be generated in a variety of ways, including direct current discharge, high frequency (RF) discharge, and microwave discharge. DC discharge plasma is realized by applying a potential between two electrodes in a plasma gas. An RF discharge plasma is realized by electrostatically or dielectrically coupling energy from a power source into the plasma. Microwave discharge plasma is realized by coupling microwave energy directly into a discharge chamber holding a plasma gas through a microwave passage window. The plasma is typically held in a chamber made of a metallic material such as aluminum or a dielectric material such as quartz.

活性化した気体がプラズマ源と適合できない適用例がある。例えば、半導体の製造中、原子状酸素は、フォトレジストと反応して、フォトレジストを揮発性CO及びHO副産物に転換することによりフォトレジストを半導体ウェハから除去する。原子状酸素は、典型的に、プラズマ源のプラズマ室内にてO(又は酸素を含有する気体)をプラズマにより解離することにより生成される。プラズマ室は、典型的に、原子状酸素と石英との表面再結合率が低いため、石英にて出来ている。原子状フッ素はフォトレジストの除去過程を促進するため、原子状フッ素は原子状酸素と協調して使用されることが多い。フッ素は、例えば、NF又はCFをプラズマ室内にてプラズマにより解離させることにより発生される。しかし、フッ素は、極めて腐食性であり、石英室と好ましくなく反応する可能性がある。同様の作動状態下にて、フッ素適合性の室材料(例えば、サファイア又は窒化アルミニウム)を使用することは、原子状酸素の発生効率を低下させ且つ、フッ素適合性材料は、典型的に、石英よりも高価であるから、加工コストを増大させることになる。 There are applications where the activated gas is not compatible with the plasma source. For example, during semiconductor fabrication, atomic oxygen reacts with the photoresist to remove the photoresist from the semiconductor wafer by converting the photoresist into volatile CO 2 and H 2 O byproducts. Atomic oxygen is typically generated by dissociating O 2 (or a gas containing oxygen) with plasma in the plasma chamber of the plasma source. The plasma chamber is typically made of quartz because of the low surface recombination rate between atomic oxygen and quartz. Atomic fluorine is often used in concert with atomic oxygen because it accelerates the photoresist removal process. Fluorine is generated, for example, by dissociating NF 3 or CF 4 with plasma in the plasma chamber. However, fluorine is extremely corrosive and can undesirably react with the quartz chamber. Under similar operating conditions, using a fluorine-compatible chamber material (eg, sapphire or aluminum nitride) reduces the generation efficiency of atomic oxygen and the fluorine-compatible material is typically quartz. It is more expensive than that, which increases the processing cost.

活性化した気体がプラズマ室材料と適合しない別の適用例は、石英室内に配置された水素を備えるプラズマを含む。励起された水素原子及び分子は、石英(SiO)と反応して、石英をケイ素に転換する。室の材料組成の変化は、例えば、加工パラメータの望ましくない変動を生じ且つ、粒子を形成する結果となる。別の適用例において、石英は、加工中、窒素がプラズマ室内に存在する場合、Siに転換される。 Another application in which the activated gas is not compatible with the plasma chamber material includes a plasma with hydrogen disposed in a quartz chamber. Excited hydrogen atoms and molecules react with quartz (SiO 2 ) to convert quartz to silicon. Changes in the material composition of the chamber, for example, cause undesirable variations in processing parameters and result in the formation of particles. In another application, quartz is converted to Si 3 N 4 during processing if nitrogen is present in the plasma chamber.

このため、プラズマ室に対して解離した気体の悪影響を最小にするような態様にて気体をプラズマにて効果的に解離させる必要性が存する。   For this reason, there is a need to effectively dissociate the gas with plasma in a manner that minimizes the adverse effects of the gas dissociated from the plasma chamber.

本発明は、1つの形態において、気体を活性化し且つ解離する方法に関する。この方法は、室内にてプラズマにより活性化した気体を発生させるステップを含む。この方法は、また、活性化した気体が下流気体の供給口により導入された下流気体の解離を促進することを可能にするため、下流気体の供給口をプラズマ室の出口に対して配置し、解離した下流気体は、プラズマ室の内面と実質的に反応しないようにするステップを含む。   The present invention, in one form, relates to a method for activating and dissociating a gas. The method includes generating a plasma activated gas in a chamber. The method also places the downstream gas supply port relative to the plasma chamber outlet to allow the activated gas to promote dissociation of the downstream gas introduced by the downstream gas supply port, The dissociated downstream gas includes preventing substantial reaction with the inner surface of the plasma chamber.

幾つかの実施の形態において、プラズマは、遠隔プラズマ源により発生させることができる。遠隔プラズマ源は、例えば、RFプラズマ発生器、マイクロ波プラズマ発生器又は直流プラズマ発生器とすることができる。プラズマは、例えば、酸素、窒素、ヘリウム又はアルゴンから発生させることができる。下流気体は、ハロゲン又はハロゲン化物(例えば、NF、CF、CHF、C、CHF、C、C、XeF、Cl又はClF)を保持する気体を含むことができる。下流気体は、フッ素を含むことができる。室の内面は、例えば、石英材料、サファイア材料、アルミナ、硝酸アルミニウム、酸化イットリウム、炭化ケイ素、窒化ホウ素、又はアルミニウム、ニッケル又はステンレススチールのような金属を含むことができる。室の内面は、例えば、被覆した金属(例えば、陽極酸化アルミ)を含むことができる。幾つかの実施の形態において、例えば、H、O、N、Ar、HO、アンモニアのような代替的な気体を下流気体として使用することができる。幾つかの実施の形態において、下流気体は、例えば、基板上に堆積させるべき金属材料又は半導体材料から成る1つ又はより多くの気体を含む。金属又は半導体材料は、例えば、Si、Ge、Ga、In、As、Sb、Ta、W、Mo、Ti、Hf、Zr、Cu、Sr又はAlを含むことができる。幾つかの実施の形態において、下流気体は、金属又は半導体材料から成る1つ又はより多くの気体、又は金属又は半導体材料から成る酸化物又は窒化物を含む。幾つかの実施の形態において、下流気体は、炭化水素材料を含む。 In some embodiments, the plasma can be generated by a remote plasma source. The remote plasma source can be, for example, an RF plasma generator, a microwave plasma generator, or a direct current plasma generator. The plasma can be generated from, for example, oxygen, nitrogen, helium or argon. The downstream gas is halogen or halide (eg, NF 3 , CF 4 , CHF 3 , C 2 F 6 , C 2 HF 5 , C 3 F 8 , C 4 F 8 , XeF 2 , Cl 2 or ClF 3 ). The gas to hold | maintain can be included. The downstream gas can include fluorine. The inner surface of the chamber can include, for example, quartz material, sapphire material, alumina, aluminum nitrate, yttrium oxide, silicon carbide, boron nitride, or a metal such as aluminum, nickel or stainless steel. The inner surface of the chamber can include, for example, a coated metal (eg, anodized aluminum). In some embodiments, for example, can be used H 2, O 2, N 2 , Ar, H 2 O, an alternative gas such as ammonia as downstream gas. In some embodiments, the downstream gas includes one or more gases made of, for example, a metal or semiconductor material to be deposited on the substrate. The metal or semiconductor material can include, for example, Si, Ge, Ga, In, As, Sb, Ta, W, Mo, Ti, Hf, Zr, Cu, Sr, or Al. In some embodiments, the downstream gas comprises one or more gases comprising a metal or semiconductor material, or an oxide or nitride comprising a metal or semiconductor material. In some embodiments, the downstream gas includes a hydrocarbon material.

下流気体は、多様な位置にて室内に導入することができる。幾つかの実施の形態において、下流気体は、解離した下流気体と室の内面との間の相互作用を最小にする、室の出力に対する位置にて導入することができる。下流気体は、下流気体の解離度を最大にする、室の出力に対する位置にて導入してもよい。下流気体は、解離された下流気体が室の内面と相互作用する程度と下流気体の解離度とを均衡させる、室の出力に対する位置にて導入することができる。解離した下流気体は、エッチング又は清浄化又は基板への堆積を促進させるため使用することができる。   The downstream gas can be introduced into the room at various positions. In some embodiments, the downstream gas can be introduced at a location relative to the chamber output that minimizes the interaction between the dissociated downstream gas and the inner surface of the chamber. The downstream gas may be introduced at a location relative to the chamber output that maximizes the degree of dissociation of the downstream gas. The downstream gas can be introduced at a position relative to the chamber output that balances the degree to which the dissociated downstream gas interacts with the inner surface of the chamber and the degree of dissociation of the downstream gas. The dissociated downstream gas can be used to facilitate etching or cleaning or deposition on the substrate.

プラズマ室の表面の保護を助けるため、障壁(例えば、遮蔽体又はライナー)をプラズマ室の出口及び下流気体の供給口付近に設置することができる。障壁は、反応性気体と化学的に適合可能な材料にて出来たものとすることができる。幾つかの実施の形態において、障壁は、除去可能であり、定期的な交換を許容するものとする。障壁は、反応性気体に対して実質的に抵抗性のある材料にて出来たものとすることができる。障壁は、例えば、プラズマ室の出口に配置されたサファイア材料とし、又はサファイア材料から成るものとすることができる。障壁は、プラズマ室内に部分的に配置することができる。   In order to help protect the surface of the plasma chamber, a barrier (eg, a shield or liner) can be placed near the outlet of the plasma chamber and the downstream gas supply. The barrier can be made of a material that is chemically compatible with the reactive gas. In some embodiments, the barrier is removable and shall allow periodic replacement. The barrier may be made of a material that is substantially resistant to reactive gases. The barrier can be, for example, a sapphire material located at the outlet of the plasma chamber or made of a sapphire material. The barrier can be partially placed in the plasma chamber.

幾つかの実施の形態において、障壁は、セラミック及び(又は)ガラス材料(例えば、サファイア、石英、アルミナ、硝酸アルミニウム、酸化イットリウム、炭化ケイ素又は窒化ホウ素)とし又はセラミック及び(又は)ガラス材料から成るものとすることができる。障壁は、また、解離した下流気体との表面再結合率又は反応率が低く、このため、解離した気体の基板への輸送効率を改良することができるようにした材料にて出来たものとすることができる。低再結合性質の材料は、例えば、石英、ダイヤモンド、ダイヤモンド状炭素、炭化水素系材料及びフッ化炭素系材料を含む。障壁は、アルミニウム、ニッケル又はステンレススチールのような金属にて出来たものとすることができる。金属の型式は、金属の所望の機械的性質及び熱的性質に基づいて選ぶことができる。   In some embodiments, the barrier is a ceramic and / or glass material (eg, sapphire, quartz, alumina, aluminum nitrate, yttrium oxide, silicon carbide or boron nitride) or consists of a ceramic and / or glass material. Can be. The barrier should also be made of a material that has a low surface recombination rate or reaction rate with the dissociated downstream gas, and thus can improve the transport efficiency of the dissociated gas to the substrate. be able to. Materials with low recombination properties include, for example, quartz, diamond, diamond-like carbon, hydrocarbon-based materials, and fluorocarbon-based materials. The barrier can be made of a metal such as aluminum, nickel or stainless steel. The type of metal can be selected based on the desired mechanical and thermal properties of the metal.

障壁(例えば、遮蔽体又はライナー)の表面は、化学的に適合可能な又は低表面再結合/反応性の材料の層にて被覆することができる。障壁は、また、解離した下流気体と反応する材料にて出来たものとすることもできる。例えば、幾つかの適用例において、ゆっくりと消費される障壁は、汚染物又は粒子の蓄積を回避することができる点にて実際上、望ましい。障壁は、プラズマ室内にて部分的に配置することができる。解離した下流気体とプラズマ室との望ましくない相互反応を少なくするため、プラズマ室の出口と下流気体の噴射供給口との間に追加的なバージ気体を導入することができる。   The surface of the barrier (eg, shield or liner) can be coated with a layer of chemically compatible or low surface recombination / reactive material. The barrier can also be made of a material that reacts with the dissociated downstream gas. For example, in some applications, a slowly consumed barrier is practically desirable in that it can avoid the accumulation of contaminants or particles. The barrier can be partially placed in the plasma chamber. In order to reduce undesirable interactions between the dissociated downstream gas and the plasma chamber, an additional barge gas can be introduced between the outlet of the plasma chamber and the downstream gas injection supply.

方法は、また、下流気体の解離を最適化し得るように、下流気体の性質(例えば、圧力、流量及び室の出口から噴射される距離の1つ又はより多く)を特定するステップを含む。方法は、また、下流気体の解離を最適化し得るようプラズマ気体の性質(例えば、圧力、流量、気体の型式、気体の組成及びプラズマへの電力の1つ又はより多く)を特定するステップを含む。   The method also includes identifying the nature of the downstream gas (eg, one or more of pressure, flow rate, and distance injected from the chamber outlet) so that dissociation of the downstream gas can be optimized. The method also includes identifying the nature of the plasma gas (eg, one or more of pressure, flow rate, gas type, gas composition, and power to the plasma) so that dissociation of the downstream gas can be optimized. .

別の形態において、本発明は、活性化した気体を室内にてプラズマにより発生させるステップを含む、気体を活性化し且つ解離する方法に関する。方法は、また、活性化した気体が下流気体の励起(例えば、解離)を促進するのに十分なエネルギレベルを有するよう室の出口に十分に近い位置にて室の外側で活性化した気体中に下流気体を導入するステップも含む。この位置は、室の出口から十分に隔てられており、このため、励起された下流気体が室の内面と実質的に相互作用しないようにする。   In another aspect, the present invention relates to a method for activating and dissociating a gas comprising generating an activated gas with a plasma in a chamber. The method also includes in an activated gas outside the chamber at a location sufficiently close to the outlet of the chamber so that the activated gas has an energy level sufficient to promote excitation (eg, dissociation) of the downstream gas. A step of introducing a downstream gas into the chamber. This location is well separated from the chamber outlet, so that the excited downstream gas does not substantially interact with the inner surface of the chamber.

別の形態において、本発明は、フォトレジストをエッチングする方法に関する。該方法は、室内に配置されたプラズマにより活性化した気体を発生させるステップを含む。該方法は、また、活性化した気体が下流気体の励起(例えば、解離)を促進するのに十分なエネルギレベルを含み、また、励起された下流気体が室の内面と実質的に相互作用しないよう下流気体を活性化した気体の少なくとも一部分と組み合わせるステップを含む。方法は、また、基板を解離した下流気体にてエッチングするステップを含む。方法は、また、表面を解離した下流気体にて清浄化するステップを含むこともできる。方法は、また、材料を基板上に堆積させるために使用することもできる。方法は、粉体を生成するために使用することもできる。   In another aspect, the invention relates to a method for etching a photoresist. The method includes generating a gas activated by a plasma disposed in the chamber. The method also includes an energy level sufficient for the activated gas to promote excitation (eg, dissociation) of the downstream gas, and the excited downstream gas does not substantially interact with the interior surface of the chamber. Combining the downstream gas with at least a portion of the activated gas. The method also includes etching the substrate with a dissociated downstream gas. The method can also include cleaning the surface with dissociated downstream gas. The method can also be used to deposit material on a substrate. The method can also be used to produce a powder.

別の形態において、本発明は、気体を活性化し且つ解離する方法に関する。該方法は、室内にてプラズマにより活性化した気体を発生させるステップを含む。方法は、また、下流気体を導入して、プラズマにより画成された領域の外側にて活性化した気体と相互作用させ、活性化した気体が下流気体の励起(例えば、解離)を促進することを可能にし、励起された気体が室の内面と実質的に相互作用しないようにするステップも含む。   In another aspect, the invention relates to a method for activating and dissociating a gas. The method includes generating a plasma activated gas in a chamber. The method also introduces a downstream gas to interact with the activated gas outside the region defined by the plasma, and the activated gas promotes excitation (eg, dissociation) of the downstream gas. And ensuring that the excited gas does not substantially interact with the interior surface of the chamber.

本発明は、1つの実施の形態において、気体を活性化し且つ解離するシステムを特徴とする。該システムは、プラズマを室内にて発生させるプラズマ源を含み、プラズマは、活性化した気体を発生させる。該システムは、また、活性化した気体の少なくとも一部分を下流気体と組み合わせて、活性化した気体が下流気体の励起(例えば、解離)を促進することを可能にし、励起された下流気体が室の内面と実質的に相互作用しないようにするしゅだんも含む。幾つかの実施の形態において、活性化した気体と下流気体との間の相互作用は、下流気体のイオン化を促進する。例えば、活性化した気体から下流気体へのエネルギの伝達は、下流気体の化学的反応性を増すことになる。   The invention, in one embodiment, features a system that activates and dissociates a gas. The system includes a plasma source that generates a plasma indoors, and the plasma generates an activated gas. The system also combines at least a portion of the activated gas with the downstream gas, allowing the activated gas to promote excitation (eg, dissociation) of the downstream gas, so that the excited downstream gas is in the chamber. Also includes a slant that does not substantially interact with the inner surface. In some embodiments, the interaction between the activated gas and the downstream gas facilitates ionization of the downstream gas. For example, the transfer of energy from the activated gas to the downstream gas will increase the chemical reactivity of the downstream gas.

本発明は、別の形態において、ハロゲン気体がプラズマ室の壁と実質的に相互作用(例えば、浸食)することなく、プラズマ室の下流の位置にてプラズマにより活性化した気体によりハロゲンを含有する気体(例えば、NF、CHF、及びCF)を解離する装置及び方法に関する。 The present invention, in another form, contains halogen with a gas activated by plasma at a location downstream of the plasma chamber without the halogen gas substantially interacting (eg, eroding) with the walls of the plasma chamber. The present invention relates to an apparatus and a method for dissociating gases (eg, NF 3 , CHF 3 , and CF 4 ).

本発明は、別の実施の形態において、気体を活性化し且つ解離するシステムを特徴とする。該システムは、室内にてプラズマ領域を発生させる遠隔プラズマ源であって、プラズマは活性化した気体を発生させる、上記遠隔プラズマ源を含む。該システムは、また、プラズマ領域外にて活性化した気体と相互作用するように下流気体を導入し、活性化した気体は、下流気体の励起(例えば、解離)を促進し、励起された下流気体は、解離した下流気体であり、室の内面と実質的に相互作用しないようにする噴射源も含む。   The present invention, in another embodiment, features a system for activating and dissociating gases. The system includes a remote plasma source that generates a plasma region in a room, the plasma generating an activated gas. The system also introduces a downstream gas to interact with the activated gas outside the plasma region, and the activated gas promotes excitation (eg, dissociation) of the downstream gas, and the excited downstream The gas is a dissociated downstream gas and also includes an injection source that does not substantially interact with the interior surface of the chamber.

該システムは、室の浸食を少なくし得るよう室の出口に配置された障壁を含むことができる。該障壁は、例えば、室内に部分的に配置することができる。障壁は、例えば、室の出口通路内に部分的に配置することができる。システムは、室の出口通路内に配置された障壁を含むことができる。システムは、下流気体及び活性化した気体を混合させるミキサを含むことができる。ミキサは、スタティックフローミキサ、ヘリカルミキサ、ブレード又はスタック型シリンダミキサを含むことができる。システムは、パージ気体の供給口を含むことができる。パージ気体の供給口は、室の出口と噴射源の供給口との間に配置することができる。   The system can include a barrier disposed at the outlet of the chamber to reduce chamber erosion. The barrier can be partially disposed in the room, for example. The barrier can for example be located partly in the outlet passage of the chamber. The system can include a barrier disposed in the outlet passage of the chamber. The system can include a mixer that mixes the downstream gas and the activated gas. The mixer can include a static flow mixer, a helical mixer, a blade or a stacked cylinder mixer. The system can include a purge gas supply. The purge gas supply port may be disposed between the chamber outlet and the injection source supply port.

室は、石英材料を含むことができる。幾つかの実施の形態において、室は、単一の溶融石英片である。幾つかの実施の形態において、室は、円環状の形状をしている。幾つかの実施の形態において、プラズマ源は、円環状のプラズマ源である。   The chamber can include a quartz material. In some embodiments, the chamber is a single fused quartz piece. In some embodiments, the chamber has an annular shape. In some embodiments, the plasma source is an annular plasma source.

本発明は、別の形態において、材料を基板上に堆積させる方法に関する。該方法は、室内にてプラズマにより活性化した気体を発生させるステップを含む。該方法は、また、活性化した気体が下流気体の供給口により導入された下流気体の解離を促進することを可能にし得るよう、下流気体の供給口をプラズマ室の出口に対して配置し、下流気体は堆積させるべき材料を含み、解離した下流気体がプラズマ室の内面と実質的に相互作用しないようにするステップを含む。   The invention, in another form, relates to a method of depositing material on a substrate. The method includes generating a plasma activated gas in a chamber. The method also places the downstream gas supply with respect to the plasma chamber outlet so as to allow the activated gas to promote dissociation of the downstream gas introduced by the downstream gas supply. The downstream gas contains the material to be deposited and includes the step of preventing the dissociated downstream gas from substantially interacting with the inner surface of the plasma chamber.

幾つかの実施の形態において、プラズマは、遠隔プラズマ源によって発生される。遠隔プラズマ源は、例えば、RFプラズマ発生器、マイクロ波プラズマ発生器又は直流プラズマ発生器とすることができる。下流気体は、多様な位置にて室内に導入することができる。幾つかの実施の形態において、下流気体は、解離した下流気体と室の内面との相互作用を最小にする、室の出口に対する位置にて導入することができる。下流気体は、下流気体の解離度を最大にする、室の出口に対する位置にて導入することができる。下流気体は、解離した下流気体が室の内面と相互作用する程度と、下流気体の解離度とを均衡させる、室の出口に対する位置にて導入することができる。堆積させるべき材料は、Si、Ge、Ga、In、As、Sb、Ta、W、Mo、Ti、Hf、Zr、Cu、Sr又はAlの1つ又はより多くを含むことができる。   In some embodiments, the plasma is generated by a remote plasma source. The remote plasma source can be, for example, an RF plasma generator, a microwave plasma generator, or a direct current plasma generator. The downstream gas can be introduced into the room at various positions. In some embodiments, the downstream gas can be introduced at a location relative to the chamber outlet that minimizes the interaction of the dissociated downstream gas with the interior surface of the chamber. The downstream gas can be introduced at a location relative to the chamber outlet that maximizes the degree of dissociation of the downstream gas. The downstream gas can be introduced at a position relative to the chamber outlet that balances the degree to which the dissociated downstream gas interacts with the inner surface of the chamber and the degree of dissociation of the downstream gas. The material to be deposited can include one or more of Si, Ge, Ga, In, As, Sb, Ta, W, Mo, Ti, Hf, Zr, Cu, Sr or Al.

本発明は、別の形態において、材料を基板上に堆積させるシステムを特徴とする。該システムは、室内にてプラズマ領域を発生させる遠隔プラズマ源であって、プラズマは活性化した気体を発生させる上記遠隔プラズマ源を含む。該システムは、また、堆積材料を含む下流気体を導入してプラズマ領域外にて活性化した気体と相互作用するようにし、活性化した気体は、下流気体の励起(例えば、解離)を促進し、励起された下流気体が室の内面と実質的に相互作用しないようにする噴射源も含む。   The invention, in another aspect, features a system for depositing material on a substrate. The system includes a remote plasma source that generates a plasma region in a room, wherein the plasma generates an activated gas. The system also introduces a downstream gas containing deposition material to interact with the activated gas outside the plasma region, and the activated gas facilitates excitation (eg, dissociation) of the downstream gas. Also included is an injection source that prevents the excited downstream gas from substantially interacting with the interior surface of the chamber.

堆積させるべき材料は、Si、Ge、Ga、In、As、Sb、Ta、W、Mo、Ti、Hf、Zr、Cu、Sr又はAlの1つ又はより多くとすることができる。システムは、下流気体及び活性化した気体を混合するミキサを含むことができる。ミキサは、スタティックフローミキサ、ヘリカルミキサ、ブレード又はスタック型シリンダミキサを含むことができる。該システムは、パージ気体の供給口を含むことができる。パージ気体の供給口は、室の出口と噴射源の供給口との間に配置することができる。   The material to be deposited can be one or more of Si, Ge, Ga, In, As, Sb, Ta, W, Mo, Ti, Hf, Zr, Cu, Sr or Al. The system can include a mixer that mixes the downstream gas and the activated gas. The mixer can include a static flow mixer, a helical mixer, a blade or a stacked cylinder mixer. The system can include a purge gas supply. The purge gas supply port may be disposed between the chamber outlet and the injection source supply port.

本発明は、別の形態において、気体を励起するシステムを特徴とする。該システムは、室内にてプラズマ領域を発生させる遠隔プラズマ源であって、プラズマは活性化した気体を発生させる、上記遠隔のプラズマ源を含む。該システムは、下流気体を導入してプラズマ領域外にて活性化した気体と相互作用するようにし、活性化した気体は、下流気体の励起を促進し、励起された下流気体が室の内面と実質的に相互作用しないようにする噴射源を更に含む。システムは、また、室の出口フランジと噴射源との間に空隙を提供する特徴部も含む。   In another aspect, the invention features a system for exciting a gas. The system includes a remote plasma source that generates a plasma region in a room, the plasma generating an activated gas. The system introduces a downstream gas to interact with the activated gas outside the plasma region, the activated gas facilitates the excitation of the downstream gas, and the excited downstream gas interacts with the inner surface of the chamber. It further includes an injection source that prevents substantial interaction. The system also includes features that provide a gap between the outlet flange of the chamber and the injection source.

幾つかの実施の形態において、該空隙は、励起された気体が室の出口フランジとシステムの一部分との間に配置されたシールまで輸送される量を減少する長く、狭小な空隙である。幾つかの実施の形態において、空隙は、少なくとも2.5mm(1/10インチ)の長さを有する。幾つかの実施の形態において、空隙は、約5.08mmから50.8mm(2/10インチから2インチ)の長さを有する。幾つかの実施の形態において、空隙は、約0.0025mmから1.524mm(1/10ミルから60ミル)の幅を有する。幾つかの実施の形態において、空隙は、約0.025mmから0.508mm(1ミルから20ミル)の幅を有する。幾つかの実施の形態において、空隙は、長さ対幅の比が約1.66である。幾つかの実施の形態において、空隙は、長さ対幅の比が約3.33である。特定の実施の形態において、空隙の長さは、約2.54mmから約50.8mmであり、空隙の幅は、約0.0025mmから約1.524mmである。   In some embodiments, the air gap is a long, narrow air gap that reduces the amount of excited gas transported to a seal disposed between the outlet flange of the chamber and a portion of the system. In some embodiments, the air gap has a length of at least 2.5 mm (1/10 inch). In some embodiments, the air gap has a length of about 5.08 mm to 50.8 mm (2/10 to 2 inches). In some embodiments, the air gap has a width of about 0.0025 mm to 1.524 mm (1/10 mil to 60 mil). In some embodiments, the air gap has a width of about 0.025 mm to 0.508 mm (1 mil to 20 mils). In some embodiments, the air gap has a length to width ratio of about 1.66. In some embodiments, the air gap has a length to width ratio of about 3.33. In certain embodiments, the gap length is from about 2.54 mm to about 50.8 mm and the gap width is from about 0.0025 mm to about 1.524 mm.

幾つかの実施の形態において、特徴部は環状の形状をしている。特徴部はフランジとすることができる。特徴部はばねシールとすることができる。特徴部は、その弾性的変形範囲内にて圧縮し且つ引き伸ばすことができる。特徴部は、アルミニウム、サファイア又は窒化物を含むことができる。幾つかの実施の形態において、特徴部は、室の出口フランジを噴射源の本体から分離する。特徴部は、出口フランジと噴射源の本体との間の摩擦を制限することができる。幾つかの実施の形態において、システムは、出口フランジと噴射源との間に密封機構を含む。密封機構はOリングを含むことができる。密封機構はばねシールを含むことができる。幾つかの実施の形態において、システムは、パージ気体の供給口を含む。パージ気体は、パージ気体の供給口を通って流れ、Oリングを更に保護するようにすることができる。   In some embodiments, the feature has an annular shape. The feature can be a flange. The feature can be a spring seal. The feature can be compressed and stretched within its elastic deformation range. The feature can include aluminum, sapphire, or nitride. In some embodiments, the feature separates the outlet flange of the chamber from the body of the injection source. The feature can limit friction between the outlet flange and the body of the injection source. In some embodiments, the system includes a sealing mechanism between the outlet flange and the injection source. The sealing mechanism can include an O-ring. The sealing mechanism can include a spring seal. In some embodiments, the system includes a purge gas supply. The purge gas may flow through the purge gas supply to further protect the O-ring.

本発明は、別の形態において、気体を励起する方法に関する。該方法は、室内にてプラズマにより活性化した気体を発生させるステップを含む。該方法は、活性化した気体が気体の供給口により導入された下流気体の解離を促進することを可能にし得るよう下流気体の供給口を室の出口に対して配置し、解離した下流気体が室の内面と実質的に相互作用しないようにするステップを含む。該方法は、また、室の出口フランジと下流気体の供給口を含む本体との間に空隙を提供するよう特徴部を配置するステップも含む。   In another aspect, the present invention relates to a method for exciting a gas. The method includes generating a plasma activated gas in a chamber. The method includes disposing the downstream gas supply port relative to the chamber outlet so that the activated gas can facilitate dissociation of the downstream gas introduced by the gas supply port, Including substantially not interacting with the interior surface of the chamber. The method also includes positioning the feature to provide a gap between the outlet flange of the chamber and the body including the downstream gas supply.

幾つかの実施の形態において、空隙は、励起された気体を室の出口フランジとシステムの一部分との間に配置されたシールまで輸送する量を減少させる長く、狭小な空隙である。幾つかの実施の形態において、空隙は、少なくとも2.5mm(1/10インチ)の長さを有する。幾つかの実施の形態において、空隙は約5.08mmから50.8mm(2/10インチから2インチ)の長さを有する。幾つかの実施の形態において、空隙は、約0.0025mmから1.524mm(1/10ミルから60ミル)の幅を有する。幾つかの実施の形態において、空隙は、約0.025mmから0.508mm(1ミルから20ミル)の幅を有する。幾つかの実施の形態において、空隙は、長さ対幅の比が約1.66である。幾つかの実施の形態において、空隙は、長さ対幅の比が約3.33である。特定の実施の形態において、空隙の長さは、約2.54mmから約50.8mmであり、空隙の幅は約0.0025mmから約1.524mmである。   In some embodiments, the air gap is a long, narrow air gap that reduces the amount of excited gas transported to a seal disposed between the outlet flange of the chamber and a portion of the system. In some embodiments, the air gap has a length of at least 2.5 mm (1/10 inch). In some embodiments, the air gap has a length of about 5.08 mm to 50.8 mm (2/10 to 2 inches). In some embodiments, the air gap has a width of about 0.0025 mm to 1.524 mm (1/10 mil to 60 mil). In some embodiments, the air gap has a width of about 0.025 mm to 0.508 mm (1 mil to 20 mils). In some embodiments, the air gap has a length to width ratio of about 1.66. In some embodiments, the air gap has a length to width ratio of about 3.33. In certain embodiments, the gap length is from about 2.54 mm to about 50.8 mm and the gap width is from about 0.0025 mm to about 1.524 mm.

幾つかの実施の形態において、特徴部は環状の形状をしている。特徴部はフランジとすることができる。特徴部はばねシールとすることができる。特徴部は、その弾性的変形範囲内にて圧縮し且つ引き伸ばすことができる。特徴部は、アルミニウム、サファイア又は窒化物を含むことができる。幾つかの実施の形態において、特徴部は、室の出口フランジを噴射源の本体から分離する。特徴部は、出口フランジと噴射源の本体との間の摩擦を制限することができる。幾つかの実施の形態において、該方法は、また、出口フランジと噴射源との間に真空シールを形成するステップも含む。真空シールは、密封機構を使用して形成することができる。幾つかの実施の形態において、真空シールは、Oリング又はばねシールを使用して形成される。   In some embodiments, the feature has an annular shape. The feature can be a flange. The feature can be a spring seal. The feature can be compressed and stretched within its elastic deformation range. The feature can include aluminum, sapphire, or nitride. In some embodiments, the feature separates the outlet flange of the chamber from the body of the injection source. The feature can limit friction between the outlet flange and the body of the injection source. In some embodiments, the method also includes forming a vacuum seal between the outlet flange and the injection source. The vacuum seal can be formed using a sealing mechanism. In some embodiments, the vacuum seal is formed using an O-ring or a spring seal.

別の形態において、本発明は、気体を励起するシステムを提供する。システムは、室内にてプラズマ領域を発生させる遠隔プラズマ源であって、プラズマは活性化した気体を発生させる、上記遠隔プラズマ源を含む。該システムは、下流気体を導入してプラズマ領域の外側にて活性化した気体と相互作用させ、活性化した気体は下流気体の励起を促進し、また、励起された下流気体が室の内面と実質的に相互作用しないようにする、噴射源を含む。   In another form, the present invention provides a system for exciting a gas. The system includes a remote plasma source that generates a plasma region within the chamber, wherein the plasma generates an activated gas. The system introduces a downstream gas to interact with the activated gas outside the plasma region, the activated gas promotes excitation of the downstream gas, and the excited downstream gas is in contact with the inner surface of the chamber. An injection source is included that is substantially non-interactive.

幾つかの実施の形態において、下流気体の励起は、下流気体の解離するステップを含む。幾つかの実施の形態において、システムは、また、浸食又は室への堆積を少なくするよう室の出口に配置された障壁も含む。幾つかの実施の形態において、障壁は、少なくとも部分的に室内に配置されている。幾つかの実施の形態において、障壁は、少なくとも室の出口通路内に配置されている。幾つかの実施の形態において、システムは、また、室の出口通路に配置された障壁も含む。   In some embodiments, exciting the downstream gas includes dissociating the downstream gas. In some embodiments, the system also includes a barrier disposed at the outlet of the chamber to reduce erosion or deposition in the chamber. In some embodiments, the barrier is at least partially disposed within the chamber. In some embodiments, the barrier is disposed at least in the outlet passage of the chamber. In some embodiments, the system also includes a barrier disposed in the outlet passage of the chamber.

幾つかの実施の形態において、室は石英を含む。幾つかの実施の形態において、室は、円環状の形状の室である。幾つかの実施の形態において、プラズマ源は、円環状のプラズマ源である。幾つかの実施の形態において、システムは、また、下流気体及び活性化した気体を混合させるミキサも含む。幾つかの実施の形態において、ミキサは、スタティックフローミキサ、ヘリカルミキサ、ブレード又はスタック型シリンダミキサを含む。幾つかの実施の形態において、システムは、パージ気体の供給口を含む。幾つかの実施の形態において、パージ気体の供給口は、室の出口と注射源の供給口との間に配置されている。   In some embodiments, the chamber includes quartz. In some embodiments, the chamber is an annular shaped chamber. In some embodiments, the plasma source is an annular plasma source. In some embodiments, the system also includes a mixer that mixes the downstream gas and the activated gas. In some embodiments, the mixer comprises a static flow mixer, a helical mixer, a blade or a stacked cylinder mixer. In some embodiments, the system includes a purge gas supply. In some embodiments, the purge gas supply is located between the chamber outlet and the injection source supply.

本発明の上記及びその他の目的、形態、特徴及び有利な効果は、以下の説明及び特許請求の範囲の記載からより明らかになるであろう。   These and other objects, aspects, features and advantages of the present invention will become more apparent from the following description and claims.

上記及びその他の目的、特徴及び有利な効果並びに本発明自体は、必ずしも正確な縮尺通りではない添付図面と共に読んだとき、以下の一例としての説明から一層完全に理解されよう。   These and other objects, features and advantages, as well as the invention itself, will be more fully understood from the following example description when read in conjunction with the accompanying drawings, which are not necessarily drawn to scale.

図1は、本発明を具体化する解離した気体を発生させる気体解離システム100の部分概略図である。気体の反応性が向上するようにするため、気体を活性化して気体を励起した状態にすべくプラズマが使用されることが多い。気体の励起は、気体のエネルギ状態を向上させるステップを含む。幾つかの場合、気体は、イオン、遊離基、原子及び分子を保持する解離した気体を発生させるよう励起される。システム100は、気体管116を介してプラズマ室108と接続されたプラズマ気体源112を含む。弁120は、プラズマ気体源112から気体管116を通ってプラズマ室108内に達するプラズマ気体(例えば、O、N、Ar、NF、H、He)の流れを制御する。弁120は、例えば、ソレノイド弁、比例ソレノイド弁又は質量流量制御装置とすることができる。プラズマ発生器184は、プラズマ室108内にてプラズマ132の領域を発生させる。プラズマ132は、プラズマ活性化した気体134を含み、該気体の一部分は、室108から流れ出る。プラズマ活性化した気体134は、プラズマ132がプラズマ気体を加熱し且つ活性化する結果として生成される。この実施の形態において、プラズマ発生器184は、部分的にプラズマ室108の周りに配置されている。システム100はまた、接続部128を介してプラズマ発生器184に電力を提供し、プラズマ室108内にてプラズマ132(活性化した気体134を含む)を発生させる電源124も含む。プラズマ室108は、例えば、アルミニウム又は耐火性金属のような金属材料にて形成し又は石英又はサファイアのような誘電性材料にて形成することができる。幾つかの実施の形態において、プラズマ気体以外の気体を使用して、活性化した気体を発生させることができる。幾つかの実施の形態において、プラズマ気体を使用して、プラズマを発生させ且つ活性化した気体を発生させることができる。 FIG. 1 is a partial schematic diagram of a gas dissociation system 100 that generates a dissociated gas embodying the present invention. In order to improve the reactivity of the gas, plasma is often used to activate the gas and bring the gas into an excited state. Excitation of the gas includes improving the energy state of the gas. In some cases, the gas is excited to generate a dissociated gas that retains ions, free radicals, atoms and molecules. The system 100 includes a plasma gas source 112 connected to the plasma chamber 108 via a gas tube 116. The valve 120 controls the flow of plasma gas (eg, O 2 , N 2 , Ar, NF 3 , H 2 , He) that reaches the plasma chamber 108 from the plasma gas source 112 through the gas pipe 116. The valve 120 can be, for example, a solenoid valve, a proportional solenoid valve, or a mass flow controller. The plasma generator 184 generates a region of the plasma 132 in the plasma chamber 108. The plasma 132 includes a plasma activated gas 134, a portion of which flows out of the chamber 108. Plasma activated gas 134 is generated as a result of plasma 132 heating and activating the plasma gas. In this embodiment, the plasma generator 184 is partially disposed around the plasma chamber 108. System 100 also includes a power supply 124 that provides power to plasma generator 184 via connection 128 and generates plasma 132 (including activated gas 134) within plasma chamber 108. The plasma chamber 108 can be formed of a metallic material such as aluminum or a refractory metal or a dielectric material such as quartz or sapphire. In some embodiments, a gas other than plasma gas can be used to generate the activated gas. In some embodiments, a plasma gas can be used to generate a plasma and generate an activated gas.

プラズマ室108は、通路168を介してプロセス室156の供給口176と接続された出口172を有する。活性化した気体134の少なくとも一部分は、プラズマ室108の出口172から流れ出て且つ、通路168を通って流れる。活性化した気体134にて運ばれるエネルギ量は、通路168の長さに沿った距離と共に減少する。噴射源104(例えば、気体噴射源)が通路168の長さに沿って距離148に配置されている。噴射源104は、また、プラズマ室108の下方部分内に配置することもできる。気体噴射源104は、気体(例えば、活性化した気体134により解離すべき下流気体)を通路168の領域164内に導入する少なくとも1つの気体の供給口180を有している。下流気体源136は、下流気体(例えば、NF、CF、CHF、C、CHF、C、C、XeF、Cl、ClF、H又はNH)を気体管140及び気体の供給口180を通して通路168の領域164内に導入する。弁144が気体管140を通る下流気体の流れを制御する。下流気体は、例えば、Si、Ge、Ga、In、As、Sb、Al、Cu、Ta、Ti、Mo、W、Hf、Sr、又はZrを保持する堆積前駆体を含むことができる。弁144は、例えば、ソレノイド弁、比例ソレノイド弁又は質量流量制御装置とすることができる。 The plasma chamber 108 has an outlet 172 connected to the supply port 176 of the process chamber 156 via a passage 168. At least a portion of the activated gas 134 flows out of the outlet 172 of the plasma chamber 108 and flows through the passage 168. The amount of energy carried in the activated gas 134 decreases with the distance along the length of the passage 168. An injection source 104 (eg, a gas injection source) is disposed at a distance 148 along the length of the passage 168. The injection source 104 can also be located in the lower part of the plasma chamber 108. The gas injection source 104 has at least one gas supply port 180 that introduces gas (eg, downstream gas to be dissociated by the activated gas 134) into the region 164 of the passage 168. The downstream gas source 136 is a downstream gas (for example, NF 3 , CF 4 , CHF 3 , C 2 F 6 , C 2 HF 5 , C 3 F 8 , C 4 F 8 , XeF 2 , Cl 2 , ClF 3 , H 2 or NH 3 ) is introduced into the region 164 of the passage 168 through the gas tube 140 and the gas supply port 180. A valve 144 controls the flow of downstream gas through the gas tube 140. The downstream gas can include, for example, a deposition precursor that holds Si, Ge, Ga, In, As, Sb, Al, Cu, Ta, Ti, Mo, W, Hf, Sr, or Zr. The valve 144 can be, for example, a solenoid valve, a proportional solenoid valve, or a mass flow controller.

距離148にて通路168の領域164内に導入された下流気体は、活性化した気体134の少なくとも一部分と相互作用して解離した下流気体152の流れを生成する。本明細書にて使用した「下流気体」という語は、気体の供給口180を通して通路168内に導入された気体を意味する。本明細書にて使用した「解離した下流気体」という語は、活性化した気体134が下流気体と相互作用する結果として生成された気体を意味する。解離した下流気体152は、例えば、活性化した気体134、下流気体及び活性化した気体134により励起された(例えば、解離された)下流気体の混合体を保持することができる。幾つかの実施の形態において、解離した下流気体152は、活性化した気体134により解離された気体を実質的に含有している。その他の実施の形態において、解離した下流気体152は、例えば、活性化した気体134を実質的に含有している。   Downstream gas introduced into region 164 of passage 168 at distance 148 interacts with at least a portion of activated gas 134 to produce a flow of dissociated downstream gas 152. As used herein, the term “downstream gas” refers to a gas introduced into the passage 168 through the gas supply port 180. As used herein, the term “dissociated downstream gas” refers to a gas produced as a result of the activated gas 134 interacting with the downstream gas. The dissociated downstream gas 152 can hold, for example, an activated gas 134, a mixture of the downstream gas and the downstream gas excited (eg, dissociated) by the activated gas 134. In some embodiments, the dissociated downstream gas 152 substantially contains a gas dissociated by the activated gas 134. In other embodiments, the dissociated downstream gas 152 contains, for example, an activated gas 134 substantially.

解離した下流気体152は、通路168を通ってプロセス室156の供給口176内に流れる。プロセス室156内に配置された試料ホルダ160は、解離した下流気体152により処理された材料を支持する。選択随意的な気体分配器又はシャワーヘッド(図示せず)を室156の供給口176に設置して、解離した気体を例えば、ホルダ160上に配置された基板の表面まで一様に分配することができる。1つの実施の形態において、解離した下流気体152は、プロセス室156内にて試料ホルダ160上に配置された半導体ウェハ又は基板のエッチングを促進する。別の実施の形態において、解離した下流気体152は、プロセス室156内にて試料ホルダ160上に配置された基板への薄膜の堆積を促進する。活性化した気体134は、下流気体と相互作用して解離した下流気体152を生成するのに十分なエネルギを有する。   The dissociated downstream gas 152 flows into the supply port 176 of the process chamber 156 through the passage 168. A sample holder 160 disposed in the process chamber 156 supports the material processed by the dissociated downstream gas 152. An optional gas distributor or shower head (not shown) is installed at the supply port 176 of the chamber 156 to uniformly distribute the dissociated gas, for example, to the surface of the substrate disposed on the holder 160. Can do. In one embodiment, the dissociated downstream gas 152 facilitates etching of a semiconductor wafer or substrate disposed on the sample holder 160 in the process chamber 156. In another embodiment, the dissociated downstream gas 152 facilitates the deposition of a thin film on a substrate disposed on the sample holder 160 within the process chamber 156. The activated gas 134 has sufficient energy to interact with the downstream gas to produce a dissociated downstream gas 152.

幾つかの実施の形態において、通路168の領域164内に導入された気体のある比率分は、活性化した気体134により解離される。下流気体の解離度(例えば、率)は、例えば、エネルギレベル及び活性化した気体134にて運ばれるエネルギ量の関数である。活性化した気体134は、下流気体の結合エネルギレベルよりも大きいエネルギレベルを有して、下流気体の原子間の結合を破って解離を実現する。幾つかの実施の形態において、活性化した気体134は、多数の衝突過程を通して下流気体を熱的に励起し且つ解離するのに十分なエネルギを運ぶこともできる。単に一例として、CFは、約5.7eVの結合エネルギレベルを有し、NFは、約3.6eVの結合エネルギレベルを有する。従って、同様の解離システム100の作動状態下にて、CFを解離させるためには、NFを解離させるのに必要な量よりも多量の活性化した気体134のエネルギが必要とされる。 In some embodiments, a proportion of the gas introduced into the region 164 of the passage 168 is dissociated by the activated gas 134. The degree of dissociation (eg, rate) of the downstream gas is a function of, for example, the energy level and the amount of energy carried in the activated gas 134. The activated gas 134 has an energy level greater than the binding energy level of the downstream gas, and breaks the bonds between the atoms of the downstream gas to achieve dissociation. In some embodiments, the activated gas 134 may carry enough energy to thermally excite and dissociate the downstream gas through multiple collision processes. By way of example only, CF 4 has a binding energy level of about 5.7 eV and NF 3 has a binding energy level of about 3.6 eV. Thus, in order to dissociate CF 4 under similar dissociation system 100 operating conditions, a greater amount of activated gas 134 energy is required than is necessary to dissociate NF 3 .

別の実施の形態において、活性化した気体134内に保持されたエネルギ量は、通路168に沿った室108の出口172からの距離と共に減少するから、距離148は、活性化した気体134が下流気体源104により通路168内に導入された下流気体の励起(例えば、解離)を効果的に促進するように、気体の供給口180をプラズマ室108の出口172に対して配置するため十分短くなければならない。距離148は、また、解離した下流気体152がプラズマ室108の内面と実質的に相互作用しないよう気体供給口180をプラズマ室108の出口172に対して配置するのに十分長くなければならない。幾つかの実施の形態において、例えば、プラズマの密度がプラズマ室108の上方部分内にて濃縮されるとき、噴射源104は、プラズマ室108の下方部分内に配置することができる。   In another embodiment, the amount of energy held in the activated gas 134 decreases with the distance from the outlet 108 of the chamber 108 along the passage 168, so that the distance 148 is reduced by the activated gas 134 downstream. The gas supply port 180 should be short enough to be positioned relative to the outlet 172 of the plasma chamber 108 to effectively promote the excitation (eg, dissociation) of the downstream gas introduced into the passage 168 by the gas source 104. I must. The distance 148 must also be long enough to position the gas supply port 180 relative to the outlet 172 of the plasma chamber 108 so that the dissociated downstream gas 152 does not substantially interact with the inner surface of the plasma chamber 108. In some embodiments, for example, when the plasma density is concentrated in the upper portion of the plasma chamber 108, the injection source 104 can be disposed in the lower portion of the plasma chamber 108.

1つの実施の形態において、システム100は、室108の出口172にて通路168内に配置された障壁(例えば、図示しない遮蔽体又はライナー)を含む。障壁は、システム100内にて反応性気体に対する通路168の露呈を少なくすることにより、通路168を保護する。幾つかの実施の形態において、遮蔽体又はライナーは、部分的に室108内に配置される。遮蔽体又はライナーは、反応性気体(例えば、活性化した気体134及び解離した下流気体152)に対して実質的に抵抗性のある材料にて出来たものとすることができる。このようにして、遮蔽体又はライナーが反応性気体に対して露呈されるから、遮蔽体又はライナーを使用して、室108の浸食を減少させることができる。   In one embodiment, the system 100 includes a barrier (eg, a shield or liner not shown) disposed in the passage 168 at the outlet 172 of the chamber 108. The barrier protects the passage 168 by reducing exposure of the passage 168 to reactive gases within the system 100. In some embodiments, the shield or liner is partially disposed within the chamber 108. The shield or liner can be made of a material that is substantially resistant to reactive gases (eg, activated gas 134 and dissociated downstream gas 152). In this way, since the shield or liner is exposed to the reactive gas, the shield or liner can be used to reduce erosion of the chamber 108.

1つの実施の形態において、ライナーは、室108の出口172にて通路168内に配置された管状材料である。ライナーは、反応性気体に対して化学的に適合可能な材料にて出来たものとすることができる。ライナーは、完全に又は部分的にサファイア材料にて出来たものとすることができる。幾つかの実施の形態において、遮蔽体又はライナーは除去可能であり、定期的な交換を許容する。このため、遮蔽体又はライナーは、化学的整合性が得られるようプラズマ室と同一の材料にて出来たものとすることができる。   In one embodiment, the liner is a tubular material disposed in the passage 168 at the outlet 172 of the chamber 108. The liner can be made of a material that is chemically compatible with the reactive gas. The liner can be made entirely or partially of sapphire material. In some embodiments, the shield or liner can be removed, allowing periodic replacement. Thus, the shield or liner can be made of the same material as the plasma chamber so that chemical consistency is obtained.

幾つかの実施の形態において、遮蔽体又はライナーは、室108内にて構成要素に加わる熱的応力を減少させる。遮蔽体又はライナーは、活性化した気体134及び解離した下流気体152内の反応性種の損失を減少させ、これにより反応性種の排出量を最大にする材料にて出来たものとすることができる。低再結合の性質を有する材料は、例えば、石英、ダイヤモンド、ダイヤモンド様炭素、サファイア、炭化水素及びフロオロカーボンを含む。遮蔽体又はライナーは、優れた機械的性質及び熱的性質が得られるよう金属(例えば、アルミニウム、ニッケル又はステンレススチール)にて出来たものとすることもできる。金属遮蔽体又はライナーの表面は、全体的な性能を向上させ得るよう、化学的に適合可能又は低表面再結合/反応材料の層にて被覆することができる。   In some embodiments, the shield or liner reduces thermal stress on the component within the chamber 108. The shield or liner may be made of a material that reduces the loss of reactive species in the activated gas 134 and dissociated downstream gas 152, thereby maximizing reactive species emissions. it can. Materials having low recombination properties include, for example, quartz, diamond, diamond-like carbon, sapphire, hydrocarbons and fluorocarbons. The shield or liner can also be made of a metal (eg, aluminum, nickel or stainless steel) to provide excellent mechanical and thermal properties. The surface of the metal shield or liner can be coated with a layer of chemically compatible or low surface recombination / reactive material to improve overall performance.

1つの実施の形態において、システム100は、プラズマ室108の出口172と気体の供給口180との間に追加的なパージ気体の供給口(図示せず)を含む。パージ気体は、気体の供給口180を通って流れ、下流気体がプラズマ室108内に逆流するのを防止する(又は最小限にする)。逆流は、プラズマ気体の流量が小さいときに生じる。パージ気体は、希ガス(例えば、Ar又はHe)又はプロセス気体(例えば、O又はH)とすることができる。 In one embodiment, the system 100 includes an additional purge gas supply (not shown) between the outlet 172 of the plasma chamber 108 and the gas supply 180. The purge gas flows through the gas supply port 180 and prevents (or minimizes) downstream gas from flowing back into the plasma chamber 108. The backflow occurs when the plasma gas flow rate is small. The purge gas can be a noble gas (eg, Ar or He) or a process gas (eg, O 2 or H 2 ).

1つの実施の形態において、システム100は、通路168内の下流気体の解離率を測定するセンサ(図示せず)を含む。特定の実施の形態において、同一のセンサを使用して解離した下流気体152がプラズマ室108の内面と好ましくない相互作用する程度を決定する。解離率及び解離した下流気体152が室108の内面と反応する程度の双方を測定する、一例としてのセンサは、ウィスコンシン州、マディソンのサーモエレクトロンコーポレーション(Thermo Electron Corporation)が販売するニコレット510Pメトロロジーツール(Nicolet 540P Metrology Tool)である。センサは、例えば、SiFの存在を測定する。SiFは、石英プラズマ室と反応するフッ素の副産物(解離した下流気体)である。センサは省いてもよい。しかし、システム100にてセンサを使用することができる。従って、例えば、SiFが高レベルにて存在することを表示するセンサの測定値は、解離した下流気体152が石英プラズマ室108の内面と好ましくなく相互作用することを示す。下流気体の解離率は、多様なファクタに依存する。1つのファクタは、下流気体が通路168の領域164内に導入されるときの距離148である。別のファクタは、下流気体が通路168の領域164内に導入される距離148における活性化した気体134内のエネルギ量である。 In one embodiment, the system 100 includes a sensor (not shown) that measures the rate of dissociation of the downstream gas in the passage 168. In certain embodiments, the same sensor is used to determine the extent to which the dissociated downstream gas 152 interacts undesirably with the inner surface of the plasma chamber 108. An exemplary sensor that measures both the dissociation rate and the extent to which the dissociated downstream gas 152 reacts with the interior surface of the chamber 108 is a Nicolet 510P metrology tool sold by Thermo Electron Corporation, Madison, Wisconsin. (Nicolet 540P Metrology Tool). The sensor measures, for example, the presence of SiF 4 . SiF 4 is a fluorine byproduct (dissociated downstream gas) that reacts with the quartz plasma chamber. The sensor may be omitted. However, sensors can be used in the system 100. Thus, for example, a sensor reading indicating that SiF 4 is present at a high level indicates that the dissociated downstream gas 152 interacts undesirably with the inner surface of the quartz plasma chamber 108. The dissociation rate of the downstream gas depends on various factors. One factor is the distance 148 when the downstream gas is introduced into the region 164 of the passage 168. Another factor is the amount of energy in the activated gas 134 at a distance 148 where downstream gas is introduced into the region 164 of the passage 168.

1つの実施の形態において、下流気体は、解離した気体152とプラズマ室108の内面との間の相互作用を最小にする、プラズマ室108の出口172に対する距離148にて導入される。別の実施の形態において、下流気体は、下流気体の解離度を最大にする、プラズマ室108の出口172に対する距離148にて導入される。別の実施の形態において、下流気体は、解離した下流気体152がプラズマ室108の内面と相互作用する程度を下流気体の解離度と均衡させる、プラズマ室108の出力部172に対する距離148にて導入される。   In one embodiment, the downstream gas is introduced at a distance 148 relative to the outlet 172 of the plasma chamber 108 that minimizes the interaction between the dissociated gas 152 and the inner surface of the plasma chamber 108. In another embodiment, the downstream gas is introduced at a distance 148 relative to the outlet 172 of the plasma chamber 108 that maximizes the degree of dissociation of the downstream gas. In another embodiment, the downstream gas is introduced at a distance 148 relative to the output 172 of the plasma chamber 108 that balances the degree to which the dissociated downstream gas 152 interacts with the inner surface of the plasma chamber 108 with the degree of dissociation of the downstream gas. Is done.

プラズマ源184は、例えば、直流プラズマ発生器、無線周波数(RF)プラズマ発生器又はマイクロ波プラズマ発生器とすることができる。プラズマ源184は、遠隔プラズマ源とすることができる。単に一例として、プラズマ源184は、マサチューセッツ州、ウィルミントンのMKSインスツルメンツインク(MKS Instruments)が製造するアストロン(ASTRON)(登録商標名)又はRエボルーション(Revolution)(登録商標名)遠隔プラズマ源とすることができる。直流プラズマ発生器は、プラズマ気体(例えば、O)内にて2つの電極の間に電位を印加することにより、直流放電を発生させる。RFプラズマ発生器は、電源からのエネルギをプラズマ内に静電的に又は誘電的に結合することにより、RF放電を発生させる。マイクロ波プラズマ発生器は、マイクロ波エネルギをマイクロ波通過窓を通してプラズマ気体を保持するプラズマ室内に直接結合することにより、マイクロ波放電を発生させる。 The plasma source 184 can be, for example, a direct current plasma generator, a radio frequency (RF) plasma generator, or a microwave plasma generator. The plasma source 184 can be a remote plasma source. By way of example, the plasma source 184, Massachusetts, Astron (ASTRON) (registered trademark) which Wilmington MKS Instruments ink (MKS Instruments) may be manufactured or R * Evolution (R * evolution) (registered trademark) remote plasma Can be a source. The DC plasma generator generates a DC discharge by applying a potential between two electrodes in a plasma gas (for example, O 2 ). An RF plasma generator generates an RF discharge by electrostatically or dielectrically coupling energy from a power source into the plasma. The microwave plasma generator generates a microwave discharge by directly coupling microwave energy through a microwave passage window into a plasma chamber that holds a plasma gas.

1つの実施の形態において、プラズマ源は、円環状のプラズマ源であり、室108は石英室である。石英室は、例えば、単一の溶融石英片とすることができる。その他の実施の形態において、代替的な型式のプラズマ源及び室の材料を使用することができる。例えば、サファイア、アルミナ、硝酸アルミニウム、酸化イットリウム、炭化ケイ素、窒化ホウ素又はアルミニウム、ニッケル又はステンレススチールのような金属又は陽極酸化アルミのような被覆した金属を使用することができる。   In one embodiment, the plasma source is an annular plasma source and the chamber 108 is a quartz chamber. The quartz chamber can be, for example, a single fused quartz piece. In other embodiments, alternative types of plasma source and chamber materials can be used. For example, sapphire, alumina, aluminum nitrate, yttrium oxide, silicon carbide, boron nitride or a metal such as aluminum, nickel or stainless steel or a coated metal such as anodized aluminum can be used.

電源124は、例えば、RF電源又はマイクロ波電源とすることができる。幾つかの実施の形態において、プラズマ室108は、プラズマ室108内にてプラズマ132を着火する最初のイオン化事象を提供する自由電荷を発生させる手段を含む。最初のイオン化事象は、プラズマ室108に印加される短い高電圧パルスとすることができる。パルスは、約500−10,000ボルトの電圧を有し、また、約0.1マイクロ秒から100マイクロ秒の長さとすることができる。アルゴンのような希ガスをプラズマ室108内に挿入してプラズマ132を着火するのに必要な電圧を減少させることができる。また、紫外光線を使用してプラズマ室108内にてプラズマ132を着火する最初のイオン化事象を提供する自由電荷をプラズマ室108内にて発生させることができる。   The power source 124 can be, for example, an RF power source or a microwave power source. In some embodiments, the plasma chamber 108 includes means for generating a free charge that provides an initial ionization event that ignites the plasma 132 within the plasma chamber 108. The initial ionization event can be a short high voltage pulse applied to the plasma chamber 108. The pulses have a voltage of about 500-10,000 volts and can be about 0.1 to 100 microseconds long. A noble gas such as argon can be inserted into the plasma chamber 108 to reduce the voltage required to ignite the plasma 132. Also, free charge can be generated in the plasma chamber 108 that provides the first ionization event that ignites the plasma 132 in the plasma chamber 108 using ultraviolet light.

例えば、弁116(例えば、質量流量制御装置)の作動を制御してプラズマ気体源112からプラズマ室108内へのプラズマ気体の流れを調節するため、制御システム(図示せず)を使用することができる。制御システムは、また、弁144(例えば、質量流量制御装置)の作動を制御して下流気体源136から領域164内への下流気体の流れを調節することもできる。また、制御システムを使用してプラズマ発生器184の作動パラメータ(例えば、プラズマ132に、その後、活性化気体134に印加される電力又は気体の流量又は圧力)を改変することもできる。   For example, a control system (not shown) may be used to control the operation of valve 116 (eg, a mass flow controller) to regulate the flow of plasma gas from plasma gas source 112 into plasma chamber 108. it can. The control system can also control the operation of valve 144 (eg, a mass flow controller) to regulate the flow of downstream gas from downstream gas source 136 into region 164. The control system can also be used to modify the operating parameters of the plasma generator 184 (eg, power or gas flow or pressure applied to the plasma 132 and then to the activated gas 134).

幾つかの実施の形態において、システム100は、プロセス室156内にて試料ホルダ160の上に配置された半導体ウェハ上に材料を堆積させることも考えられる。単に一例として、下流気体は、堆積材料(例えば、SiH、TEOS又はWF)を含むことができる。下流気体は、また、例えば、Si、Ge、Ga、In、Sn、As、Sb、Al、Cu、Ta、Ti、Mo、W、Hf、Sr及びZrを保持するその他の堆積前駆体を含むこともできる。活性化した気体134は、下流気体内の堆積材料と相互作用し、試料ホルダ160上に配置されたウェハに堆積させることのできる堆積種を形成する。堆積前駆体をプラズマに露呈させることにより、前駆体分子は気体の表面にて分解する。従って、活性化した気体により前駆体を励起させることは、堆積表面上の前駆体を分解させることが好ましい適用例にて有益であろう。幾つかの実施の形態において、下流気体は、金属又は半導体材料から成る1つ又はより多くの気体を含み又は金属又は半導体材料から成る酸化物又は窒化物を含む。 In some embodiments, the system 100 may contemplate depositing material on a semiconductor wafer disposed on the sample holder 160 in the process chamber 156. By way of example only, the downstream gas can include a deposition material (eg, SiH 4 , TEOS, or WF 6 ). The downstream gas may also contain other deposition precursors that hold, for example, Si, Ge, Ga, In, Sn, As, Sb, Al, Cu, Ta, Ti, Mo, W, Hf, Sr and Zr. You can also. The activated gas 134 interacts with the deposition material in the downstream gas to form a deposition species that can be deposited on a wafer disposed on the sample holder 160. By exposing the deposition precursor to the plasma, the precursor molecules decompose at the surface of the gas. Thus, exciting the precursor with an activated gas would be beneficial in applications where it is desirable to decompose the precursor on the deposition surface. In some embodiments, the downstream gas comprises one or more gases comprised of a metal or semiconductor material or comprises an oxide or nitride comprised of a metal or semiconductor material.

システム100は、鏡、フィルタ又はレンズのような基板上に光学被覆を堆積させるため使用することができる。システム100は、基板の表面性質を改変するため使用することができる。システム100は、表面を生物適合性にし、又はその水吸収性質を変化させるため使用することができる。システム100は、顕微鏡的又はナノスケール粒子又は粉体を発生させるため使用することができる。   System 100 can be used to deposit an optical coating on a substrate such as a mirror, filter or lens. System 100 can be used to modify the surface properties of a substrate. The system 100 can be used to make a surface biocompatible or change its water absorption properties. System 100 can be used to generate microscopic or nanoscale particles or powders.

図2A及び図2Bには、本発明の原理を具体化する噴射源104の1つの実施の形態が示されている。この実施の形態において、噴射源104は、中央領域164を画成するディスク形状の本体200を有している。領域164は、本体200の第一の端部208から本体200の第二の端部212まで伸びている。噴射源104は、また、噴射源104の本体200を貫通して伸びる6つの供給口180a、180b、180c、180d、180e、180f(全体として180)を有する。供給口180の各々は、本体200の外面204の開口部から本体200の領域164の内面214に沿って開口部まで半径方向に伸びている。   2A and 2B illustrate one embodiment of an injection source 104 that embodies the principles of the present invention. In this embodiment, the injection source 104 has a disc-shaped body 200 that defines a central region 164. Region 164 extends from first end 208 of body 200 to second end 212 of body 200. The injection source 104 also has six supply ports 180a, 180b, 180c, 180d, 180e, 180f (180 as a whole) extending through the main body 200 of the injection source 104. Each of the supply ports 180 extends in a radial direction from the opening of the outer surface 204 of the main body 200 to the opening along the inner surface 214 of the region 164 of the main body 200.

1つの実施の形態において、供給口180は、例えば、図1の下流気体源136のような下流気体源と接続されている。下流気体源136は、供給口180を介して領域164まで下流気体の流れを提供する。活性化した気体134は、噴射源104の第一の端部204の噴射源104に入る。活性化した気体134の少なくとも一部分は、下流気体の少なくとも一部分と相互作用して解離した下流気体152を生成する。解離した下流気体152は、噴射源104の本体200の第二の端部212から流れ出て且つ、例えば、解離システム100の通路168に沿って流れる。供給口180の代替的な数、幾何学的形態及び角度向きとすることが考えられる。単に一例として、供給口180は、図2Bの端面図の向きから見たとき、噴射源104の本体200の領域164の中心に対してある角度にて向き決めすることができる。   In one embodiment, the supply port 180 is connected to a downstream gas source, such as the downstream gas source 136 of FIG. The downstream gas source 136 provides a downstream gas flow to the region 164 via the supply port 180. The activated gas 134 enters the injection source 104 at the first end 204 of the injection source 104. At least a portion of the activated gas 134 interacts with at least a portion of the downstream gas to produce a dissociated downstream gas 152. The dissociated downstream gas 152 flows out of the second end 212 of the body 200 of the injection source 104 and flows, for example, along the passage 168 of the dissociation system 100. Alternative numbers, geometries, and angular orientations of the supply ports 180 are contemplated. By way of example only, the supply port 180 can be oriented at an angle with respect to the center of the region 164 of the body 200 of the injection source 104 when viewed from the end view orientation of FIG. 2B.

図3A及び図3Bに示した別の実施の形態において、噴射源104は、領域164を画成するディスク形状本体200を有する。本体200は、第一の端部208と第二の端部212とを有する。噴射源104は、噴射源104の本体200を貫通して伸びる6つの供給口180a、180b、180c、180d、180e、180f(全体として180)を有する。その他の実施の形態にて、代替的な供給口の数を使用することができる。供給口180の各々は、本体200の外面204の開口部から本体200の領域164の内面214に沿って開口部まで角度304にて伸びている。1つの実施の形態において、供給口180は、下流気体源、例えば、図1の下流気体源136と接続されている。下流気体源136は、供給口180を介して下流気体の流れを領域164に提供する。下流気体は、本体200の第一の端部208を介して領域164に入る活性化した気体134により少なくとも部分的に解離される。解離した下流気体152は、本体200の第二の端部212にて領域164から出る。   In another embodiment shown in FIGS. 3A and 3B, the injection source 104 has a disk-shaped body 200 that defines a region 164. The main body 200 has a first end 208 and a second end 212. The injection source 104 has six supply ports 180a, 180b, 180c, 180d, 180e, and 180f (180 as a whole) extending through the main body 200 of the injection source 104. In other embodiments, an alternative number of feed ports can be used. Each of the supply ports 180 extends at an angle 304 from the opening on the outer surface 204 of the main body 200 to the opening along the inner surface 214 of the region 164 of the main body 200. In one embodiment, the supply port 180 is connected to a downstream gas source, such as the downstream gas source 136 of FIG. The downstream gas source 136 provides a downstream gas flow to the region 164 via the supply port 180. The downstream gas is at least partially dissociated by the activated gas 134 that enters the region 164 via the first end 208 of the body 200. The dissociated downstream gas 152 exits the region 164 at the second end 212 of the body 200.

単に一例として、NFを解離させるため1つの実験を行った。図2A及び図2Bの噴射源104を使用してNFを噴射源104の本体200の領域164内に導入した。供給口180の各々に対し、約0.5mmの内径を選んだ。図4には、図1の気体解離システム100のような気体解離システムにて得られたNFの解離結果のグラフ400が示されている。グラフ400のY軸412はNFの解離率である。グラフ400のX軸416は、NF(下流気体)が石英プラズマ室108の出力部172に対して領域164内に噴射される距離148である。 By way of example, was one experiment to dissociate NF 3. NF 3 was introduced into region 164 of body 200 of injection source 104 using injection source 104 of FIGS. 2A and 2B. For each supply port 180, an inner diameter of about 0.5 mm was chosen. FIG. 4 shows a graph 400 of the NF 3 dissociation results obtained with a gas dissociation system such as the gas dissociation system 100 of FIG. The Y-axis 412 of the graph 400 is the NF 3 dissociation rate. The X axis 416 of the graph 400 is the distance 148 at which NF 3 (downstream gas) is injected into the region 164 relative to the output 172 of the quartz plasma chamber 108.

図4には、プラズマ気体(O/N)及び下流気体(NF)の流量が一定であるとき、NFの解離率は気体圧力と共に増大し、また、プラズマ室の出口からの距離と共に減少することが示されている。距離148が増大すると、NFの解離率は、特定のプラズマ気体圧力レベル(266.644Pa(2トル)、399.966Pa(3トル)、533.288Pa(4トル)、666.61Pa(5トル)(曲線408)、799.932Pa(6トル)(曲線404)、933.254Pa(7トル))の場合、減少する。単に一例として、曲線404は、プラズマ気体圧力799.932Pa(6トル)にてプラズマ室108内へのO/Nプラズマ気体流量が4/0.4slmである場合、NFの解離率は、距離148が約1.0cmに等しいときの約92%のNFの解離から距離148が約12.2cmに等しいときの約8%のNFの解離まで減少することを示す。曲線408は、プラズマ気体圧力666.61Pa(5トル)のとき、プラズマ室108内へのO/Nプラズマ気体流量が4/0.4slmの場合、NFの解離率は、距離148が約1.0cmに等しいときの約77%のNFの解離から距離148が約12.2cmに等しいときの約3%のNFの解離まで減少することを示す。 FIG. 4 shows that when the flow rates of the plasma gas (O 2 / N 2 ) and the downstream gas (NF 3 ) are constant, the dissociation rate of NF 3 increases with the gas pressure, and the distance from the outlet of the plasma chamber It has been shown to decrease with time. As the distance 148 increases, the dissociation rate of NF 3 increases with the specific plasma gas pressure level (266.644 Pa (2 Torr), 399.966 Pa (3 Torr), 533.288 Pa (4 Torr), 666.61 Pa (5 Torr). ) (Curve 408), 799.932 Pa (6 torr) (curve 404), 933.254 Pa (7 torr)). By way of example only, curve 404 shows that when the O 2 / N 2 plasma gas flow rate into the plasma chamber 108 is 4 / 0.4 slm at a plasma gas pressure of 799.932 Pa (6 Torr), the dissociation rate of NF 3 is Shows a reduction from about 92% NF 3 dissociation when the distance 148 equals about 1.0 cm to about 8% NF 3 dissociation when the distance 148 equals about 12.2 cm. Curve 408 shows that when the plasma gas pressure is 666.61 Pa (5 torr) and the O 2 / N 2 plasma gas flow rate into the plasma chamber 108 is 4 / 0.4 slm, the dissociation rate of NF 3 is the distance 148 It shows a reduction from about 77% NF 3 dissociation when equal to about 1.0 cm to about 3% NF 3 dissociation when distance 148 is equal to about 12.2 cm.

実験において、本明細書にて上述したニコレット510Pセンサを使用して、石英室108に対する解離した下流気体152の好ましくない影響が最小であることが測定された。ニコレット510Pセンサの検出感度は1sccmのSiFであった。実験において、石英プラズマ室108の出口172に対してNF(下流気体)が領域164内に噴射されるときの色々なプラズマ気体圧力及び距離148について、ニコレットセンサを使用してSiFは測定されなかった。 In experiments, it was determined that the unfavorable impact of the dissociated downstream gas 152 on the quartz chamber 108 was minimal using the Nicolet 510P sensor described hereinabove. The detection sensitivity of the Nicolet 510P sensor was 1 sccm of SiF 4 . In the experiment, SiF 4 is measured using a Nicolet sensor for various plasma gas pressures and distances 148 when NF 3 (downstream gas) is injected into region 164 against outlet 172 of quartz plasma chamber 108. There wasn't.

単に一例として、CFを解離するための実験を行った。図3A及び図3Bの噴射源104は、CFを噴射源104の本体200の領域164内に導入するため使用した。供給口180の各々に対し約0.5mmの内径を選んだ。供給口180の各々に対する角度304として30゜の角度を選んだ。図5には、図1の気体解離システム100のような気体解離システムにて得られたCFの解離結果のグラフ500が示されている。グラフ500のY軸512はCFの解離率である。グラフ500のX軸516は、石英プラズマ室108の出口172に対してCF(下流気体)が通路168の領域164内に噴射されるときの距離148である。 By way of example, an experiment was performed to dissociate CF 4. The injection source 104 of FIGS. 3A and 3B was used to introduce CF 4 into the region 164 of the body 200 of the injection source 104. An inner diameter of about 0.5 mm was chosen for each of the supply ports 180. An angle of 30 ° was chosen as the angle 304 for each of the supply ports 180. FIG. 5 shows a graph 500 of CF 4 dissociation results obtained with a gas dissociation system such as the gas dissociation system 100 of FIG. The Y axis 512 of the graph 500 is the dissociation rate of CF 4 . The X axis 516 of the graph 500 is the distance 148 when CF 4 (downstream gas) is injected into the region 164 of the passage 168 with respect to the outlet 172 of the quartz plasma chamber 108.

図5には、距離148が増大すると、色々なプラズマ気体の型式、流量及び圧力(533.288Pa(4トル)におけるN0.4slmと混合させたO4slm、533.288Pa(4トル)における4slmのO(曲線504)、266.644Pa(2トル)における3slmのN、799.932Pa(6トル)における6slmのAr(曲線508))の場合、CFの解離率は減少することが示されている。単に一例として、曲線504は、プラズマ室108内にて533.288Pa(4トル)の圧力のとき、4slmの流量の場合にてOプラズマ気体がプラズマ気体源112から流れる場合、CFの100sccmの解離率は、距離148が約0.53cmに等しいときの約33%のCFの解離率から距離148が約1.05cmに等しいときの約2%のCFの解離率まで減少することを示す。曲線508は、799.932Pa(6トル)の圧力にてプラズマ室108内へ6slmのArプラズマ気体流量の場合、CFの解離率は、距離148が約0.53cmに等しいときの約24%のCFの解離率から距離148が約1.05cmに等しいときの約1%のCFの解離率まで減少することを示す。 FIG 5, the distance 148 is increased, the type of various plasma gases, flow rate and pressure (533.288Pa (4 torr) O 2 which is a mixture with N 2 0.4 slm in 4slm, 533.288Pa (4 Torr) 4 slm O 2 (curve 504) at 266.644 Pa (2 torr), 3 slm N 2 at 266.644 Pa (6 torr), 6 slm Ar at 799.932 Pa (6 torr) (curve 508)), the dissociation rate of CF 4 decreases It has been shown. By way of example only, the curve 504 is 100 sccm of CF 4 when the O 2 plasma gas flows from the plasma gas source 112 at a flow rate of 4 slm at a pressure of 533.288 Pa (4 Torr) in the plasma chamber 108. The dissociation rate of γ decreases from about 33% CF 4 dissociation rate when distance 148 is equal to about 0.53 cm to about 2% CF 4 dissociation rate when distance 148 is equal to about 1.05 cm. Indicates. Curve 508 shows that for a 6 slm Ar plasma gas flow rate into plasma chamber 108 at a pressure of 799.932 Pa (6 Torr), the CF 4 dissociation rate is about 24% when distance 148 is equal to about 0.53 cm. show that decreasing the dissociation rate of about 1% CF 4 until when the distance 148 from the dissociation rate of the CF 4 is equal to about 1.05 cm.

実験において、本明細書にて上述したニコレット501Pセンサを使用して、石室108に対する解離した下流気体152の好ましくない効果が最小であることが測定された。実験において、色々なプラズマ気体の型式、流量、圧力及びCF(下流気体)が石英プラズマ室108の出力部172に対して領域164内に噴射されるときの距離148の場合、ニコレットセンサを使用してSiFは測定されなかった。 In experiments, it was determined that the undesired effect of dissociated downstream gas 152 on the stone chamber 108 was minimal using the Nicolet 501P sensor described hereinabove. In the experiment, a Nicolet sensor is used in the case of a distance 148 when various plasma gas types, flow rates, pressures, and CF 4 (downstream gas) are injected into the region 164 with respect to the output 172 of the quartz plasma chamber 108. SiF 4 was not measured.

NFを解離させるため別の実験を行った。図2A及び図2Bの噴射源104は、100sccmのNFを噴射源104の本体200の領域164内に導入するために使用した。供給口180の各々に対して約0.5mmの内径を選んだ。下流気体(NF)は、石英プラズマ室108の出口172に対して約1cm(すなわち距離148)にて通路168の領域164内に導入した。図6には、図1の気体解離システム100のような、気体解離システムにて得られたNFの解離結果のグラフ600が示されている。グラフ600のY軸612はNFの解離率である。グラフ600のX軸616は、プラズマ気体源112により室108内に導入されたプラズマ気体(N(曲線604)、10/1の気体流量領域におけるO/N(曲線608)、Ar(曲線610)、H及びHe)の分当たりの標準リットルによる気体の流量である。 Another experiment was performed to dissociate NF 3. The injection source 104 of FIGS. 2A and 2B was used to introduce 100 sccm of NF 3 into the region 164 of the body 200 of the injection source 104. An inner diameter of about 0.5 mm was chosen for each of the supply ports 180. The downstream gas (NF 3 ) was introduced into the region 164 of the passage 168 at about 1 cm (ie, distance 148) relative to the outlet 172 of the quartz plasma chamber 108. FIG. 6 shows a graph 600 of NF 3 dissociation results obtained with a gas dissociation system, such as the gas dissociation system 100 of FIG. The Y axis 612 of the graph 600 is the NF 3 dissociation rate. The X-axis 616 of the graph 600 represents the plasma gas introduced into the chamber 108 by the plasma gas source 112 (N 2 (curve 604), O 2 / N 2 (curve 608), Ar ( curve 610), a flow rate of the gas by standard liters per minute of H 2 and the He).

単に一例として、曲線604は、Nプラズマ気体の場合、100sccmのNFの解離率は、Nプラズマ気体流量が約1.0slmのときの約16%のNFの解離率から約2.3slmのときの約82%のプラズマNFの解離率まで増大することを示す。曲線608は、O/Nプラズマ気体の場合、100sccmのNFの解離率は、2/0.2slmのO/N気体流量における約16%のNFの解離率から約5.5/0.55slmのO/N気体流量における約79%のNFの解離率まで増大することを示す。曲線610は、Arプラズマ気体の場合、100sccmの流量のNFの解離率は、約2.0slmのArプラズマ気体流量における約14%のNFの解離率から約10slmのArプラズマ気体流量における約29%のNFの解離率まで増大することを示す。 By way of example only, curve 604 shows that for a N 2 plasma gas, the dissociation rate of 100 sccm of NF 3 is about 2.% from the dissociation rate of about 16% NF 3 when the N 2 plasma gas flow rate is about 1.0 slm. It shows an increase to about 82% plasma NF 3 dissociation rate at 3 slm. Curve 608 shows that for an O 2 / N 2 plasma gas, the dissociation rate of 100 sccm of NF 3 is about 5% from the dissociation rate of about 16% NF 3 at an O 2 / N 2 gas flow rate of 2 / 0.2 slm. It shows an increase to a dissociation rate of about 79% NF 3 at an O 2 / N 2 gas flow rate of 5 / 0.55 slm. Curve 610 shows that for Ar plasma gas, the dissociation rate of NF 3 at a flow rate of 100 sccm is about 14% NF 3 at an Ar plasma gas flow rate of about 2.0 slm to about 10% at an Ar plasma gas flow rate of about 10 slm. It shows an increase to the NF 3 dissociation rate of 29%.

実験において、本明細書にて上述したニコレット510Pセンサを使用して石英室108に対する解離した下流気体152の好ましくない効果が最小であることが測定された。実験において、色々なプラズマ気体の型式及び流量に対してニコレットセンサを使用してSiFは、測定されなかった。 In experiments, it was determined that the undesired effect of dissociated downstream gas 152 on the quartz chamber 108 was minimal using the Nicolet 510P sensor described hereinabove. In the experiment, SiF 4 was not measured using a Nicolet sensor for various plasma gas types and flow rates.

NFを解離させるため別の実験を行った。図2A及び図2Bの噴射源104を使用して100sccmのNFを噴射源104の本体200の領域164内に導入した。供給口180の各々に対して約0.5mmの内径を選んだ。下流気体(NF)は、プラズマ室108の出口172に対して約1.0cm(すなわち距離148)にて導入する。図7には、図1の気体解離システム100のような、気体解離システムにて得られたNFの解離結果のグラフ700が示されている。グラフ700のY軸712は、NFの解離率である。グラフ700のX軸716は、プラズマ室108内に導入されたプラズマ気体のトルによる気体圧力である。実験の操作条件下にて、Arプラズマ気体(曲線710にて図示)を使用する場合のNFの解離率は、Ar気体圧力に対して比較的敏感でなかった。 Another experiment was performed to dissociate NF 3. 100 sccm of NF 3 was introduced into region 164 of body 200 of injection source 104 using injection source 104 of FIGS. 2A and 2B. An inner diameter of about 0.5 mm was chosen for each of the supply ports 180. The downstream gas (NF 3 ) is introduced at about 1.0 cm (ie, distance 148) with respect to the outlet 172 of the plasma chamber 108. FIG. 7 shows a graph 700 of NF 3 dissociation results obtained with a gas dissociation system, such as the gas dissociation system 100 of FIG. The Y-axis 712 in the graph 700 is the dissociation rate of NF 3 . The X-axis 716 of the graph 700 is the gas pressure due to the plasma gas tor introduced into the plasma chamber 108. Under the experimental operating conditions, the dissociation rate of NF 3 when using Ar plasma gas (shown in curve 710) was relatively insensitive to Ar gas pressure.

単に一例として、曲線704は、1slmのNプラズマ気体流量の場合、100sccmのNFの解離率は、133.322Pa(1トル)のプラズマ気体圧力における約15%のNFの解離率から399.966Pa(3トル)のプラズマ気体圧力における約42%のNFの解離率まで増大することを示す。曲線708は、4/0.4slmのO/Nプラズマ気体流の場合、100sccmのNFの解離率は、133.322Pa(1トル)のプラズマ気体圧力における約10%のNFの解離率から799.932Pa(6トル)のプラズマ気体圧力における約90%のNFの解離率まで増大することを示す。曲線710は、6slmのArプラズマ気体流の場合、100sccmのNFの解離率は、266.644Pa(2トル)のプラズマ気体圧力における約19%、799.932Pa(6トル)のプラズマ気体圧力における22%、1333.22Pa(10トル)のプラズマ気体圧力における約21%であることを示す。 By way of example only, curve 704 shows that for a 1 slm N 2 plasma gas flow rate, the dissociation rate of 100 sccm of NF 3 is 399 from a dissociation rate of approximately 15% NF 3 at a plasma gas pressure of 133.322 Pa (1 Torr). It shows an increase to about 42% NF 3 dissociation rate at a plasma gas pressure of .966 Pa (3 Torr). Curve 708 shows that for a 4 / 0.4 slm O 2 / N 2 plasma gas flow, the dissociation rate of 100 sccm NF 3 is about 10% NF 3 dissociation at a plasma gas pressure of 133.322 Pa (1 Torr). The rate increases to about 90% NF 3 dissociation rate at a plasma gas pressure of 799.932 Pa (6 Torr). Curve 710 shows that for a 6 slm Ar plasma gas flow, the dissociation rate of 100 sccm of NF 3 is approximately 19% at a plasma gas pressure of 266.644 Pa (2 Torr), at a plasma gas pressure of 799.932 Pa (6 Torr). 22%, indicating about 21% at a plasma gas pressure of 1333.22 Pa (10 Torr).

実験において、本明細書にて上述したニコレット510Pセンサを使用して、石英室108に対する解離した下流気体152の好ましくない効果が最小であることが測定された。実験において、色々なプラズマ気体の型式、流量及び圧力に対してニコレットセンサを使用してSiFは、測定されなかった。 In experiments, it was determined that the undesired effect of dissociated downstream gas 152 on the quartz chamber 108 was minimal using the Nicolet 510P sensor described hereinabove. In the experiment, SiF 4 was not measured using a Nicolet sensor for various plasma gas types, flow rates and pressures.

NFを解離させるため別の実験を行った。図2A及び図2Bの噴射源104を使用してNFを噴射源104の本体200の領域164内に導入した。供給口180の各々に対して約0.5mmの内径を選んだ。下流気体(NF)は、プラズマ室108の出口172に対して約1cm(すなわち距離148)にて導入する。図8には、図1の気体解離システム100のような、気体解離システムにて得られたNFの解離結果のグラフ800が示されている。グラフ800のY軸812は、NFの解離率である。グラフ800のX軸816は、sccmで表わした下流NFの流量である。 Another experiment was performed to dissociate NF 3. NF 3 was introduced into region 164 of body 200 of injection source 104 using injection source 104 of FIGS. 2A and 2B. An inner diameter of about 0.5 mm was chosen for each of the supply ports 180. The downstream gas (NF 3 ) is introduced at about 1 cm (ie, distance 148) with respect to the outlet 172 of the plasma chamber 108. FIG. 8 shows a graph 800 of NF 3 dissociation results obtained with a gas dissociation system, such as the gas dissociation system 100 of FIG. The Y-axis 812 of the graph 800 is the NF 3 dissociation rate. The X-axis 816 of the graph 800 is the downstream NF 3 flow rate expressed in sccm.

図8のグラフ800の曲線804は、4/04slmの流量及び666.61Pa(5トル)の圧力におけるO/Nプラズマ気体の場合、NFの解離率は、約25sccmのNFの流量から約200sccmのNFの流量まで約75%のままであることを示す。これらの操作条件下にて、NFの解離率は、NFの解離率が比較的一定であること(曲線804)から証明されるように、NFの流量に対して比較的敏感でないことを示す。図8のグラフ800の曲線806は、約6slmの流量及び799.932Pa(6トル)の圧力におけるArプラズマ気体の場合、NFの解離率は、約50sccmのNFの流量における約40%から約200sccmのNFの流量における約15%まで減少することを示す。 Curve 804 of graph 800 in FIG. 8, 4 / the case of O 2 / N 2 plasma gas at a pressure of flow rate and 666.61Pa (5 torr) of 04Slm, dissociation rate of NF 3 is, the NF 3 of about 25sccm flow 2 to about 200 sccm NF 3 flow rate. At these operating conditions, the dissociation rate of NF 3, as evidenced from the fact (curve 804) the dissociation rate of NF 3 is relatively constant, it is relatively insensitive with respect to the flow rate of NF 3 Indicates. Curve 806 of graph 800 in FIG. 8, when the Ar plasma gas at a pressure of about 6slm flow and 799.932Pa (6 torr), the dissociation rate of NF 3 is about 40% in the NF 3 flow rate of about 50sccm It shows a reduction to about 15% at a flow rate of NF 3 of about 200 sccm.

実験において、本明細書にて上記に説明したニコレット510Pセンサを使用して石英室108に対する解離した下流気体152の好ましくない効果が最小であることが測定された。実験において、色々な気体解離システム100の操作条件に対しニコレットセンサを使用してSiFは、測定されなかった。 In experiments, it was determined that the undesired effect of the dissociated downstream gas 152 on the quartz chamber 108 was minimal using the Nicolet 510P sensor described hereinabove. In the experiment, SiF 4 was not measured using a Nicolet sensor for various gas dissociation system 100 operating conditions.

単に一例として、CFを解離するため別の実験を行った。図3A及び図3Bの噴射源104を使用して100sccmのCFを噴射源104の本体200の領域164内に導入した。供給口180の各々に対して約0.5mmの内径を選んだ。供給口180の各々に対し角度304として30゜の角度を選んだ。下流気体(CF)は、プラズマ室108の出口172に対して約0.5cm(すなわち距離148)にて導入する。図9には、図1の気体解離システム100のような、気体解離システムにて得られたCFの解離結果のグラフ900が示されている。グラフ900のY軸912は、CFの解離率である。グラフ900のX軸916は、プラズマ気体源112により室108内に導入されたプラズマ気体(N(曲線904)、O/N(曲線908)、O、Ar)の分当たりの標準リットルによる気体の流量である。 By way of example, Another experiment was performed to dissociate CF 4. 100 sccm of CF 4 was introduced into region 164 of body 200 of injection source 104 using injection source 104 of FIGS. 3A and 3B. An inner diameter of about 0.5 mm was chosen for each of the supply ports 180. An angle of 30 ° was selected as the angle 304 for each of the supply ports 180. The downstream gas (CF 4 ) is introduced at about 0.5 cm (ie, distance 148) with respect to the outlet 172 of the plasma chamber 108. FIG. 9 shows a graph 900 of CF 4 dissociation results obtained with a gas dissociation system, such as the gas dissociation system 100 of FIG. The Y axis 912 of the graph 900 is the dissociation rate of CF 4 . X-axis 916 of graph 900 is the standard per minute of plasma gases (N 2 (curve 904), O 2 / N 2 (curve 908), O 2 , Ar) introduced into chamber 108 by plasma gas source 112. The gas flow rate in liters.

図9には、下流気体CFの流量が100sccmのとき、CFの解離率は、プラズマ気体流量の増加と共に増大することが示されている。単に一例として、曲線904は、Nプラズマ気体の場合、分当たり100標準立方センチメートル流量のときのCFの解離率は、約1.0slmのNプラズマ気体流量における約10%のCFの解離率から約3slmのNプラズマ気体流量における約32%のCFの解離率まで増大することを示す。曲線908は、O/Nプラズマ気体の場合、CFの100sccm流量のときの解離率は、約2.0/0.2slmのO/Nプラズマ気体流量における約5%のCFの解離率から約5.0/0.5slmのO/Nプラズマ気体流量における約46%のCFの解離率まで増大することを示す。 FIG. 9 shows that when the flow rate of the downstream gas CF 4 is 100 sccm, the dissociation rate of CF 4 increases as the plasma gas flow rate increases. By way of example only, curve 904 shows that for N 2 plasma gas, the dissociation rate of CF 4 at a flow rate of 100 standard cubic centimeters per minute is about 10% CF 4 dissociation at an N 2 plasma gas flow rate of about 1.0 slm. The rate increases to about 32% CF 4 dissociation rate at a N 2 plasma gas flow rate of about 3 slm. Curve 908 shows that for an O 2 / N 2 plasma gas, the dissociation rate for CF 4 at 100 sccm flow rate is about 5% CF 4 at an O 2 / N 2 plasma gas flow rate of about 2.0 / 0.2 slm. From a dissociation rate of about 46% CF 4 at an O 2 / N 2 plasma gas flow rate of about 5.0 / 0.5 slm.

実験において、本明細書にて上述したニコレット510Pセンサを使用して、石英室108に対する解離した下流気体152の好ましくない効果が最小であることが測定された。実験において、色々なプラズマ気体型式及び流量に対してニコレットセンサを使用してSiFは、測定されなかった。 In experiments, it was determined that the undesired effect of dissociated downstream gas 152 on the quartz chamber 108 was minimal using the Nicolet 510P sensor described hereinabove. In the experiment, SiF 4 was not measured using a Nicolet sensor for various plasma gas types and flow rates.

単に一例として、CFを解離するため別の実験を行った。図3A及び図3Bの噴射源104を使用して、100sccmのCFを噴射源104の本体200の領域164内に導入した。供給口180の各々に対し約0.5mmの内径を選んだ。供給口180の各々に対する角度304として30゜の角度を選んだ。下流気体(CF)をプラズマ室108の出口172に対して約0.5cm(すなわち距離148)にて導入する。図10には、図1の気体解離システム100のような、気体解離システムにて得られたCFの解離結果のグラフ1000が示されている。グラフ1000のY軸1012は、CFの解離率である。グラフ1000のX軸1016は、プラズマ気体(1slmのN、4/0.4slmのO/N(曲線1004)、4slmのO及び6slmのAr(曲線1008))のトルによる気体圧力である。 By way of example, Another experiment was performed to dissociate CF 4. Using the injection source 104 of FIGS. 3A and 3B, 100 sccm of CF 4 was introduced into the region 164 of the body 200 of the injection source 104. An inner diameter of about 0.5 mm was chosen for each of the supply ports 180. An angle of 30 ° was chosen as the angle 304 for each of the supply ports 180. Downstream gas (CF 4 ) is introduced at about 0.5 cm (ie, distance 148) into the outlet 172 of the plasma chamber 108. FIG. 10 shows a graph 1000 of CF 4 dissociation results obtained with a gas dissociation system, such as the gas dissociation system 100 of FIG. The Y axis 1012 of the graph 1000 is the dissociation rate of CF 4 . The X-axis 1016 of the graph 1000 is the gas pressure due to the plasma gas (1 slm N 2 , 4 / 0.4 slm O 2 / N 2 (curve 1004), 4 slm O 2 and 6 slm Ar (curve 1008)). It is.

曲線1004は、4/0.4slmのO/Nプラズマ気体流の場合、分当たりの100標準立方センチメートル流量におけるCFの解離率は、133.322Pa(1.0トル)におけるプラズマ気体圧力の約5%のCFの解離率から799.932Pa(6トル)のプラズマ気体圧力における約39%のCFの解離率まで増大することを示す。曲線1008は、6slmのArプラズマ気体流の場合、分当たりの100標準立方センチメートル流量におけるCFの解離率は、266.644Pa(2.0トル)のプラズマ気体圧力における約20%のCFの解離率から1333.22Pa(10トル)のプラズマ気体圧力における約25%のCFの解離率まで増大することを示す。 Curve 1004 shows that for a 4 / 0.4 slm O 2 / N 2 plasma gas flow, the dissociation rate of CF 4 at a flow rate of 100 standard cubic centimeters per minute is that of the plasma gas pressure at 133.322 Pa (1.0 Torr). It shows an increase from about 5% CF 4 dissociation to about 39% CF 4 dissociation at a plasma gas pressure of 799.932 Pa (6 Torr). Curve 1008 shows that for a 6 slm Ar plasma gas flow, the dissociation rate of CF 4 at a flow rate of 100 standard cubic centimeters per minute is approximately 20% CF 4 dissociation at a plasma gas pressure of 266.644 Pa (2.0 Torr). The rate increases to about 25% of the CF 4 dissociation rate at a plasma gas pressure of 1333.22 Pa (10 Torr).

実験において、本明細書にて上述したニコレット510Pセンサを使用して、石英室108に対する解離した下流気体152の好ましくない効果が最小であることが測定された。実験において、色々なプラズマ気体型式、流量及び圧力に対してニコレットセンサを使用してSiFは、測定されなかった。 In experiments, it was determined that the undesired effect of dissociated downstream gas 152 on the quartz chamber 108 was minimal using the Nicolet 510P sensor described hereinabove. In the experiment, SiF 4 was not measured using a Nicolet sensor for various plasma gas types, flow rates and pressures.

単に一例として、CHFを解離するため別の実験を行った。図3A及び図3Bの噴射源104を使用してCHFを噴射源104の本体200の領域164内に導入した。供給口180の各々に対して約0.5mmの内径を選んだ。供給口180の各々に対し角度304として30゜の角度を選んだ。下流気体(CHF)をプラズマ室108の出力部172に対して約0.5cm(すなわち距離148)にて導入する。 By way of example, Another experiment was performed to dissociate CHF 3. The CHF 3 was introduced into the region 164 of the body 200 of the injection source 104 using the injection source 104 of FIGS. 3A and 3B. An inner diameter of about 0.5 mm was chosen for each of the supply ports 180. An angle of 30 ° was selected as the angle 304 for each of the supply ports 180. Downstream gas (CHF 3 ) is introduced into the output portion 172 of the plasma chamber 108 at about 0.5 cm (ie, distance 148).

図11Aには、図1の気体解離システム100のような、気体解離システムにて得られたCHFの解離結果のグラフ1100が示されている。プラズマ気体は、O対Nの比が10:1のO/N混合体である。グラフ1100のY軸1112は、CHFの解離率である。グラフ1100のX軸1116は、プラズマ気体源112により室108内に導入されたプラズマ気体中の分当たりの標準リットルによるOの気体の流量である。図11Aの曲線1104は、199.983Pa(1.5トル)のプラズマ気体圧力及び100sccmの下流CHF流量の場合、1slmから4slmプラズマ気体におけるOの流量においてほぼ100%のCHFの解離率が得られることを示す。 FIG. 11A shows a graph 1100 of CHF 3 dissociation results obtained with a gas dissociation system, such as the gas dissociation system 100 of FIG. The plasma gas is an O 2 / N 2 mixture with an O 2 to N 2 ratio of 10: 1. The Y-axis 1112 of the graph 1100 is the CHF 3 dissociation rate. The X-axis 1116 of the graph 1100 is the flow rate of O 2 gas in standard liters per minute in the plasma gas introduced into the chamber 108 by the plasma gas source 112. Curve 1104 in FIG. 11A shows approximately 100% CHF 3 dissociation rate at a flow rate of O 2 in a 1 slm to 4 slm plasma gas for a plasma gas pressure of 199.983 Pa (1.5 torr) and a downstream CHF 3 flow rate of 100 sccm. Is obtained.

図11Bには、図1の気体解離システム100のような、気体解離システムにて得られたCHFの解離結果のグラフ1102が示されている。グラフ1102のY軸1114は、CHFの解離率である。グラフ1102のX軸1118は、sccmによる下流CHFの流量である。図11Bの曲線1108は、199.983Pa(1.5トル)の圧力にて4slmのO2及び0.4slmのN2のプラズマ気体流量の場合、100sccmから200sccm下流CHF流量においてほぼ100%のCHFの解離率が得られることを示す。 FIG. 11B shows a graph 1102 of CHF 3 dissociation results obtained with a gas dissociation system, such as the gas dissociation system 100 of FIG. The Y axis 1114 of the graph 1102 is the CHF 3 dissociation rate. The X-axis 1118 of the graph 1102 is the downstream CHF 3 flow rate by sccm. Curve 1108 in FIG. 11B shows approximately 100% CHF 3 at 100 sccm to 200 sccm downstream CHF 3 flow rate for 4 slm O 2 and 0.4 slm N 2 plasma gas flow at a pressure of 199.983 Pa (1.5 Torr). It is shown that the dissociation rate is obtained.

実験において、本明細書にて説明したニコレット510Pセンサを使用して、石英室108に対する解離した下流気体152の好ましくない効果が最小であることが測定された。実験において、CHF(下流気体)が石英プラズマ室108の出口172に対して領域164内に噴射される色々なプラズマ気体圧力及び距離148に対してニコレットセンサを使用してSiFは、測定されなかった。 In experiments, it was determined that the undesired effects of the dissociated downstream gas 152 on the quartz chamber 108 were minimal using the Nicolet 510P sensor described herein. In the experiment, SiF 4 is measured using a Nicolet sensor for various plasma gas pressures and distances 148 where CHF 3 (downstream gas) is injected into region 164 against outlet 172 of quartz plasma chamber 108. There wasn't.

図12に示した別の実施の形態において、システム100は、気体管116を介してプラズマ室108と接続されたプラズマ気体源112を含む。プラズマ発生器184は、プラズマ室108内にてプラズマ領域132を発生させる。プラズマ132は、その一部分がプラズマ領域132から流れ出るプラズマ活性化した気体134から成っている。システム100は、噴射源104を含む。この実施の形態において、噴射源104は、噴射源104の気体供給口に結合されたL字形管190を含む。管190は、気体(例えば、活性化した気体134により解離すべき下流気体)をシステム100の領域192内に導入する。領域192(すなわち活性化した気体134が下流気体と相互作用する位置)は、管190の出口196が配置される場所に依存する。管190の出口196は、例えば、プラズマ室108の出口172内にて距離194に配置することができる。これと代替的に、例えば、噴射源104が出口172から離れる方向に向け且つプロセス室156に向けて動く場合、管190の出口196は、室108の出口172の外側の距離に配置してもよい。このようにして、下流気体はプラズマ室108内に又は外側にてシステム100内に導入することができる。   In another embodiment shown in FIG. 12, the system 100 includes a plasma gas source 112 connected to the plasma chamber 108 via a gas tube 116. The plasma generator 184 generates a plasma region 132 in the plasma chamber 108. The plasma 132 consists of a plasma activated gas 134, a portion of which flows out of the plasma region 132. System 100 includes an injection source 104. In this embodiment, the injection source 104 includes an L-shaped tube 190 coupled to the gas supply port of the injection source 104. Tube 190 introduces a gas (eg, a downstream gas to be dissociated by activated gas 134) into region 192 of system 100. The region 192 (ie, the location where the activated gas 134 interacts with the downstream gas) depends on where the outlet 196 of the tube 190 is located. The outlet 196 of the tube 190 can be located, for example, at a distance 194 within the outlet 172 of the plasma chamber 108. Alternatively, for example, if the injection source 104 moves away from the outlet 172 and toward the process chamber 156, the outlet 196 of the tube 190 may be located at a distance outside the outlet 172 of the chamber 108. Good. In this way, the downstream gas can be introduced into the system 100 in the plasma chamber 108 or externally.

単に一例として、NFを解離するため1つの実験を行った。図12の噴射源104を使用して、NFをシステム100の領域192内に導入した。図13には、図12の気体解離システム100のような気体解離システムにて得られたNF解離結果のグラフ1300が示されている。グラフ1300のY軸1312は、NFの解離率である。グラフ1300のX軸1316は、NF(下流気体)が石英プラズマ室108の出口172に対して領域192内に噴射される距離である。この実験において、1回の試験の間、NFは、室108の出口172内にて約0.5cmの距離194にて噴射した。また、追加的な試験の間、室108の出口172の外側にて距離148(約1.0cm、3.8cm、6.6cm、9.4cm、12.2cm)にてNFを噴射した。 By way of example, was one experiment to dissociate NF 3. NF 3 was introduced into region 192 of system 100 using injection source 104 of FIG. FIG. 13 shows a graph 1300 of NF 3 dissociation results obtained with a gas dissociation system such as the gas dissociation system 100 of FIG. The Y-axis 1312 of the graph 1300 is the NF 3 dissociation rate. The X axis 1316 of the graph 1300 is the distance at which NF 3 (downstream gas) is injected into the region 192 relative to the outlet 172 of the quartz plasma chamber 108. In this experiment, NF 3 was injected at a distance 194 of about 0.5 cm in the outlet 172 of the chamber 108 during a single test. Also during the additional test, NF 3 was injected at a distance 148 (about 1.0 cm, 3.8 cm, 6.6 cm, 9.4 cm, 12.2 cm) outside the outlet 172 of the chamber 108.

図13には、色々なプラズマ気体型式、流量及び圧力(533.288Pa(4トル)におけるOの分当たり4標準リットル(slm)(曲線1304)、266.644Pa(2トル)にて3slmのN、1199.9Pa(9トル)にて10slmのAr、799.932Pa(6トル)にて6slmのAr、533.288Pa(4トル)にて0.4slmのNと混合した4slmのO(曲線1308))の場合、NFの解離率が減少することが示されている。単に一例として、曲線1304は、プラズマ室108内にて533.288Pa(4トル)の圧力にて分当たり4標準リットル(slm)の量にてOプラズマ気体流がプラズマ気体源112から流れる場合、NFの分当たり100標準立方センチメートル(sccm)の解離率は、約0.5cmに等しい距離194における約90%のNFの解離率から約12.2cmに等しい距離148における約2%のNFの解離率まで減少することを示す。曲線1308は、533.288Pa(4トル)の圧力におけるプラズマ室108内の4/0.4slmのO/Nプラズマ気体流量の場合、NFの解離率は、約0.5cmに等しい距離194における約81%のNFの解離率から約12.2cmに等しい距離148における約0%のNFの解離率まで減少することを示す。 FIG. 13 shows various plasma gas types, flow rates and pressures (4 standard liters per minute (slm) of O 2 at 533.288 Pa (4 Torr) (curve 1304), 3 slm at 266.644 Pa (2 Torr). N 2 , 10 slm Ar at 1199.9 Pa (9 Torr), 6 slm Ar at 799.932 Pa (6 Torr), 4 slm O mixed with 0.4 slm N 2 at 533.288 Pa (4 Torr) 2 (curve 1308)), it is shown that the dissociation rate of NF 3 decreases. By way of example only, curve 1304 shows that O 2 plasma gas flow from plasma gas source 112 in plasma chamber 108 at a pressure of 533.288 Pa (4 Torr) at an amount of 4 standard liters per minute (slm). , dissociation rate per minute 100 standard cubic centimeters (sccm) of NF 3 is about at a distance 148 equal to the dissociation constant of about 90% of NF 3 at a distance 194 equal to about 0.5cm to about 12.2 cm 2 percent NF It shows a decrease to a dissociation rate of 3 . Curve 1308 shows that for a 4 / 0.4 slm O 2 / N 2 plasma gas flow in plasma chamber 108 at a pressure of 533.288 Pa (4 Torr), the dissociation rate of NF 3 is a distance equal to about 0.5 cm. It shows a decrease from about 81% NF 3 dissociation rate at 194 to about 0% NF 3 dissociation rate at a distance 148 equal to about 12.2 cm.

実験において、本明細書にて上述したニコレット510Pセンサを使用して、石英室108に対する解離した下流気体152の好ましくない効果が最小であることが測定された。実験において、色々なプラズマ気体圧力及びNF(下流気体)が石英プラズマ室108の出力部172に対して領域192内に噴射される距離194、148にてニコレットセンサを使用してSiFは、測定されなかった。 In experiments, it was determined that the undesired effect of dissociated downstream gas 152 on the quartz chamber 108 was minimal using the Nicolet 510P sensor described hereinabove. In the experiment, various plasma gas pressures and NF 3 (downstream gas) are injected into the region 192 relative to the output 172 of the quartz plasma chamber 108 at a distance 194, 148 using a Nicolet sensor, and SiF 4 is Not measured.

図14は、本明細書を具体化する解離した気体を発生させるときに使用される噴射源104を含む気体解離システム(例えば、図1のシステム100)の一部分を示す概略断面図である。噴射源104の本体200は、プラズマ室108(図面の明確化の目的のため、室108の一部分のみを図示)の出口172と接続されている。噴射源104は、噴射源104の本体200を貫通して伸びる6つの供給口180a、180b、180c、180d、180e、180f(全体として180)を有している。図面の明確化の目的のため、供給口180b、180c、180e、180fは、図示されていない。供給口180の各々は、本体200の外面204の開口部から本体200の領域164の内面214に沿った開口部まで角度304にて伸びている。供給口180は、下流気体源(例えば、図1の下流気体源136)と接続されて供給口180を介して領域164に下流気体の流れを提供する。   FIG. 14 is a schematic cross-sectional view illustrating a portion of a gas dissociation system (eg, system 100 of FIG. 1) that includes an injection source 104 used in generating dissociated gas embodying the present specification. The main body 200 of the injection source 104 is connected to the outlet 172 of the plasma chamber 108 (only a portion of the chamber 108 is shown for clarity of illustration). The injection source 104 has six supply ports 180a, 180b, 180c, 180d, 180e, and 180f (180 as a whole) extending through the main body 200 of the injection source 104. For the purpose of clarifying the drawing, the supply ports 180b, 180c, 180e, 180f are not shown. Each supply port 180 extends at an angle 304 from an opening on the outer surface 204 of the main body 200 to an opening along the inner surface 214 of the region 164 of the main body 200. Supply port 180 is connected to a downstream gas source (eg, downstream gas source 136 of FIG. 1) to provide downstream gas flow to region 164 via supply port 180.

プラズマ活性化した気体134は、プラズマ室108の出口172を通って領域164に入る。2つの気体流が混合したとき、下流気体とプラズマ活性化した気体134との反応が生じる。気体の混合を向上させると、下流気体の解離が向上する。幾つかの実施の形態において、気体の混合は、プラズマ室の出口172付近にて生ずることが有益である。このようにして、混合は、解離した気体が例えば、プロセス室に入るとき、解離した気体に与える効果を最小にすることができる。   Plasma activated gas 134 enters region 164 through outlet 172 of plasma chamber 108. When the two gas streams are mixed, a reaction between the downstream gas and the plasma activated gas 134 occurs. Increasing gas mixing improves downstream gas dissociation. In some embodiments, it is beneficial that the gas mixing occurs near the outlet 172 of the plasma chamber. In this way, mixing can minimize the effect the dissociated gas has on the dissociated gas, for example when entering the process chamber.

幾つかの実施の形態において、システムは、気体混合装置を含む。ヘリカルミキサ、ブレード、スタック型シリンダミキサのような色々なスタティックフローミキサを使用して解離気体とプラズマ活性化した気体134とを混合させることができる。図14を参照すると、この実施の形態において、領域164の直径1404は、プラズマ室の出口172の直径1408よりも大きい。出口1408の直径1408が領域164の直径1404に移行することに起因して、流路の直径が突然、拡張した場合、活性化した気体流134が発生する領域164内にて乱流及び気体の再循環が生ずる。乱流及び再循環に起因する混合の増大は、下流気体の解離を向上させることになる。   In some embodiments, the system includes a gas mixing device. Various static flow mixers such as helical mixers, blades, stacked cylinder mixers can be used to mix the dissociated gas with the plasma activated gas 134. Referring to FIG. 14, in this embodiment, the diameter 1404 of the region 164 is larger than the diameter 1408 of the plasma chamber outlet 172. If the diameter of the flow path suddenly expands due to the transition of the diameter 1408 of the outlet 1408 to the diameter 1404 of the region 164, turbulence and gas flow in the region 164 where the activated gas flow 134 is generated. Recirculation occurs. Increased mixing due to turbulence and recirculation will improve the dissociation of the downstream gas.

図15A及び図15Bは、本発明を具体化する解離した気体を発生させるときに使用される噴射源104を含む、気体解離源(例えば、気体を解離させるため下流過程を利用しない図1の源又は別の源)を示す断面図である。噴射源104の本体200は、プラズマ室108(図面の明確化の目的のため室108の一部分のみを図示)の出口172と接続されている。プラズマ室108は、フランジ1516を有する。Oリング1504(又はその他の適宜な密封機構)は、フランジ1516と気体解離源の一部分1500との間にシール(例えば、真空シール)を提供する。幾つかの実施の形態において、フランジ1516は本体200と当接する。しかし、幾つかの実施の形態において、フランジ1516は本体200と当接しない。図15Bは、図15Aに示した気体解離源の一部分を示す拡大図である。   15A and 15B illustrate a gas dissociation source (eg, the source of FIG. 1 that does not utilize a downstream process to dissociate the gas), including an injection source 104 used in generating a dissociated gas embodying the present invention. Or another source). The main body 200 of the injection source 104 is connected to the outlet 172 of the plasma chamber 108 (only a portion of the chamber 108 is shown for clarity of illustration). The plasma chamber 108 has a flange 1516. O-ring 1504 (or other suitable sealing mechanism) provides a seal (eg, a vacuum seal) between flange 1516 and portion 1500 of the gas dissociation source. In some embodiments, the flange 1516 abuts the body 200. However, in some embodiments, the flange 1516 does not abut the body 200. FIG. 15B is an enlarged view showing a part of the gas dissociation source shown in FIG. 15A.

この実施の形態において、システムは、フランジ1516と噴射源104の本体200との間に空隙1508を形成する特徴部1512を含む。空隙1508は、噴射源104の本体200内に配置された励起した気体をOリング1504まで搬送する量を減少させる(例えば、最小にし又は阻止する)。この実施の形態において、空隙1508は、長く、狭小な空隙1508である。この実施の形態において、フランジ1516は、石英材料を含み、特徴部1512は、アルミニウムフランジ1512である。アルミニウム特徴部1512は、石英フランジ1516を噴射源104の本体200内に配置されたフッ素を含有する気体から保護する。この実施の形態において、特徴部1512は、また、フランジ1516と本体200との間の摩擦を制限する。このようにして、フランジ1516は、本体200と直接摩擦接触しないため、粒子の発生量は減少する。更に、システム(例えば、Oリング1504及びフランジ1516)の寿命が引き伸ばされる。   In this embodiment, the system includes a feature 1512 that forms a gap 1508 between the flange 1516 and the body 200 of the injection source 104. The air gap 1508 reduces (eg, minimizes or prevents) the amount of excited gas disposed within the body 200 of the injection source 104 from being conveyed to the O-ring 1504. In this embodiment, the gap 1508 is a long and narrow gap 1508. In this embodiment, the flange 1516 includes a quartz material and the feature 1512 is an aluminum flange 1512. Aluminum feature 1512 protects quartz flange 1516 from a fluorine-containing gas disposed within body 200 of injection source 104. In this embodiment, feature 1512 also limits friction between flange 1516 and body 200. In this way, since the flange 1516 is not in direct frictional contact with the main body 200, the amount of generated particles is reduced. In addition, the life of the system (eg, O-ring 1504 and flange 1516) is extended.

上述したように、空隙1508は、長く且つ狭小な空隙である。一部の実施の形態において、空隙の長さは、少なくとも2.54mm(1/10インチ)である。その他の実施の形態において、空隙の長さは、約5.08mm(2/10インチ)から50.8mm(2インチ)である。更に、空隙の幅(Y軸線に沿った距離)は、汚染を制限し得るよう狭小である。幾つかの実施の形態において、空隙は、約0.0025mm(1/10ミル)から1.524mm(60ミル)の範囲の幅を有する。その他の実施の形態において、空隙は、約0.025mm(1ミル)から0.508mm(20ミル)の範囲の幅を有する。   As described above, the gap 1508 is a long and narrow gap. In some embodiments, the length of the air gap is at least 1/10 inches. In other embodiments, the length of the air gap is about 2/10 inches to 20.8 inches. Furthermore, the width of the air gap (distance along the Y axis) is narrow so that contamination can be limited. In some embodiments, the air gap has a width in the range of about 0.0025 mm (1/10 mil) to 1.524 mm (60 mil). In other embodiments, the air gap has a width in the range of about 0.025 mm (1 mil) to 0.508 mm (20 mils).

特徴部1512としてばねシールを使用することを含む、本発明の代替的な実施の形態とすることが考えられる。1つの実施の形態において、特徴部1512は、非汚染性材料(例えば、アルミニウムのような、噴射源104の本体200内にて反応性気体と実質的に反応しない材料)から成るばねシールである。ばねシールは、その弾性的変形範囲内にて圧縮され、また、フランジ1516(例えば、石英フランジ)の引掻き傷を最小にし得るよう穏やかに圧縮し且つ滑らかな境界面を有する。   It is contemplated that this may be an alternative embodiment of the present invention including using a spring seal as the feature 1512. In one embodiment, the feature 1512 is a spring seal made of a non-contaminating material (eg, a material that does not substantially react with reactive gases within the body 200 of the injection source 104, such as aluminum). . The spring seal is compressed within its elastic deformation range and has a softly compressed and smooth interface to minimize scratching of the flange 1516 (eg, quartz flange).

フランジ1516及び特徴部1512を製造するときに代替的な材料(例えば、サファイア、窒化物)を使用することを含む、本発明の代替的な実施の形態とすることが考えられる。幾つかの実施の形態において、フランジ1516と気体解離源の部分1500との間のシールを提供するため、代替的な密封機構又は構成要素を使用することができる。フランジ1516と気体噴射源の部分1500との間に、ばねシールを使用してもよい。幾つかの実施の形態において、システムの代替的な部分(例えば、室108の異なる部分と気体解離システムの相応する位置との間)の間にシールが提供される。   It is envisaged that alternative embodiments of the present invention may be included, including using alternative materials (eg, sapphire, nitride) when manufacturing the flange 1516 and the feature 1512. In some embodiments, alternative sealing mechanisms or components can be used to provide a seal between the flange 1516 and the gas dissociation source portion 1500. A spring seal may be used between the flange 1516 and the gas injection source portion 1500. In some embodiments, a seal is provided between alternative portions of the system (eg, between different portions of chamber 108 and corresponding locations in the gas dissociation system).

幾つかの実施の形態において、気体解離源は、Oリング1504と空隙1508又は特徴部1512との間にパージ気体の供給口(図示せず)を含む。パージ気体は、パージ気体の供給口を通って流れて、Oリング1504を更に保護することができる。   In some embodiments, the gas dissociation source includes a purge gas supply (not shown) between the O-ring 1504 and the gap 1508 or feature 1512. The purge gas can flow through the purge gas supply port to further protect the O-ring 1504.

図16は、図15A及び図15Bに示した気体解離源のような、気体解離源の一部分を示す等角断面図である。この実施の形態において、特徴部1512は、フランジ1516と噴射源104の本体200との間に配設された環状の形状をした構造体である。   FIG. 16 is an isometric cross-sectional view showing a portion of a gas dissociation source, such as the gas dissociation source shown in FIGS. 15A and 15B. In this embodiment, the feature 1512 is a ring-shaped structure disposed between the flange 1516 and the main body 200 of the injection source 104.

図17は、本発明の原理を含む気体解離源100の一部分を示す概略図である。気体解離源100は室108を含む。この実施の形態において、室108は円環状の形状をした室である。気体解離源100は、噴射源104も含む。噴射源104の本体200は、長く、狭小な空隙(図面の明確化の目的のため図示せず)により室108のフランジ1516から分離されている。空隙は、本明細書にて上述したものとと同様に、フランジ1516と噴射源の本体200との間に特徴部1512を配置することにより形成される。   FIG. 17 is a schematic diagram illustrating a portion of a gas dissociation source 100 that includes the principles of the present invention. The gas dissociation source 100 includes a chamber 108. In this embodiment, the chamber 108 is an annular chamber. The gas dissociation source 100 also includes an injection source 104. The body 200 of the injection source 104 is separated from the flange 1516 of the chamber 108 by a long, narrow gap (not shown for purposes of clarity of the drawing). The air gap is formed by placing a feature 1512 between the flange 1516 and the main body 200 of the injection source, similar to that described hereinabove.

幾つかの実施の形態において、図15から図17に関して説明した着想は、気体の下流解離を実現するプラズマシステム内にて利用することができる。その他の実施の形態において、これらの着想は、下流技術を使用せずに、気体の解離を実行するプラズマシステム内にて使用することができる。   In some embodiments, the concepts described with respect to FIGS. 15-17 can be utilized in a plasma system that provides downstream dissociation of gases. In other embodiments, these ideas can be used in a plasma system that performs gas dissociation without using downstream techniques.

図18A及び図18Bは、本発明を具体化する気体解離源を示す断面図である。源は、解離した気体を生成するときに使用される噴射源104を含む。噴射源104の本体200は、プラズマ室108(図面の明確化のため、室108の一部分のみが図示)の出口172と接続されている。プラズマ室108はフランジ1816を有する。Oリング1804(又はその他の適宜な密封機構)は、フランジ1816と気体解離源の1つ又はより多くの部分との間にシールを提供する。   18A and 18B are cross-sectional views illustrating gas dissociation sources embodying the present invention. The source includes an injection source 104 that is used when producing dissociated gas. The main body 200 of the injection source 104 is connected to the outlet 172 of the plasma chamber 108 (only a portion of the chamber 108 is shown for clarity). The plasma chamber 108 has a flange 1816. An O-ring 1804 (or other suitable sealing mechanism) provides a seal between the flange 1816 and one or more portions of the gas dissociation source.

この実施の形態において、Oリング1804は、噴射源104のリング1800、フランジ1816のリング1818、噴射源104の一部分との間にシールを提供する。この実施の形態において、フランジ1816は、リング1818及びスロット1820を有する。噴射源104は、リング1800と、遮蔽壁1822と、溝1824とを有する。溝1824は、リング1800と遮蔽壁1822(X軸線に沿った)との間に配置されている。図18Bは、図18Aに示した気体解離源の一部分を示す拡大図である。図18Bに示したように、フランジ1816のリング1818は、噴射源104の溝1824内に配置されている。噴射源104の遮蔽壁1822は、フランジ1816のスロット1820内に配置されている。   In this embodiment, O-ring 1804 provides a seal between ring 1800 of injection source 104, ring 1818 of flange 1816, and a portion of injection source 104. In this embodiment, the flange 1816 has a ring 1818 and a slot 1820. The injection source 104 includes a ring 1800, a shielding wall 1822, and a groove 1824. The groove 1824 is disposed between the ring 1800 and the shielding wall 1822 (along the X axis). FIG. 18B is an enlarged view showing a part of the gas dissociation source shown in FIG. 18A. As shown in FIG. 18B, the ring 1818 of the flange 1816 is disposed in the groove 1824 of the injection source 104. The shielding wall 1822 of the injection source 104 is disposed in the slot 1820 of the flange 1816.

この実施の形態において、システムは、フランジ1816と、噴射源104の本体200との間に特徴部1812を含む。遮蔽壁1822、スロット1820、リング1818、溝1824、リング1800は組み合わさって、室108の出口172の位置とOリング1804との間に、全体として巡回経路を規定する空隙1808を画成する。空隙1808及び巡回経路が存在することは、噴射源104の本体200内に配置された励起した気体をOリング1804まで輸送する量を減少させる(例えば、最小にし又は阻止する)ことになる。   In this embodiment, the system includes a feature 1812 between the flange 1816 and the body 200 of the injection source 104. The shielding wall 1822, slot 1820, ring 1818, groove 1824, and ring 1800 combine to define a void 1808 that generally defines a circuit path between the location of the outlet 172 of the chamber 108 and the O-ring 1804. The presence of the air gap 1808 and the circulation path reduces (eg, minimizes or prevents) the amount of excited gas disposed within the body 200 of the injection source 104 from being transported to the O-ring 1804.

図18Cは、本発明の1つの実施の形態に対する一例としての寸法を示す、図18Aに示した気体解離源の一部分を示す拡大図である。この実施の形態において、出口172の位置からOリング1804まで巡回経路に沿った経路長さは、約22.86mm(0.9インチ)である。この実施の形態において、距離a、b、cは各々、約0.381mm(0.015インチ)である。距離dは約4.98mm(0.9インチ)である。距離eは約4.32mm(0.17インチ)である。距離fは、約3.76mm(0.148インチ)である。距離gは約8.20mm(0.323インチ)である。距離hは約2.72mm(0.107インチ)である。距離i、j、kは、各々約0.508mm(0.02インチ)である。本発明の代替的な実施の形態は、代替的な幾何学的形態、形状、特徴及び寸法を有することができ、また、例えば、噴射源104の本体200内に配置された気体をOリングまで輸送する量を最小にし又は阻止し且つ、システムの組み立て過程を簡略化することができる。   18C is an enlarged view of a portion of the gas dissociation source shown in FIG. 18A, showing exemplary dimensions for one embodiment of the present invention. In this embodiment, the path length along the circular path from the location of the outlet 172 to the O-ring 1804 is approximately 0.9 inches. In this embodiment, the distances a, b, and c are each about 0.015 inches. The distance d is approximately 0.98 inches (4.98 mm). The distance e is about 4.32 mm (0.17 inch). The distance f is about 3.76 mm (0.148 inch). The distance g is about 8.20 mm (0.323 inches). The distance h is about 2.72 mm (0.107 inches). The distances i, j, and k are each approximately 0.008 inches. Alternative embodiments of the present invention may have alternative geometries, shapes, features, and dimensions, and may include, for example, gas disposed within the body 200 of the injection source 104 up to the O-ring. The amount transported can be minimized or prevented and the assembly process of the system can be simplified.

図19は、図18A、図18B、図18Cの噴射源104の本体200の一部分を示す3次元的斜視図である。噴射源104は、外側リング1800、遮蔽壁1822、溝1824を含む。溝1824は、リング1800と遮蔽壁1822との間に配置されている。図20A、図20Bは、円環状の形状のプラズマ室、例えば、図18A、図18B、図18Cのプラズマ室108を示す概略図である。プラズマ室108は、リング1818と、フランジ186と、スロット1820とを有する。   FIG. 19 is a three-dimensional perspective view showing a part of the main body 200 of the injection source 104 of FIGS. 18A, 18B, and 18C. The injection source 104 includes an outer ring 1800, a shielding wall 1822, and a groove 1824. The groove 1824 is disposed between the ring 1800 and the shielding wall 1822. 20A and 20B are schematic views showing an annular shaped plasma chamber, for example, the plasma chamber 108 of FIGS. 18A, 18B, and 18C. Plasma chamber 108 includes a ring 1818, a flange 186, and a slot 1820.

特許請求の範囲に記載した本発明の精神及び範囲から逸脱せずに、本明細書にて説明したものの変更例、改変例及びその他の実施例は、当該技術の当業者に案出されるであろう。従って、本発明は、上述の一例としての説明ではなくて、特許請求の範囲の精神及び範囲によって判断されるべきである。   Modifications, alterations, and other embodiments of what has been described herein will be devised by those skilled in the art without departing from the spirit and scope of the invention as set forth in the claims. Let's go. Accordingly, the invention should be determined by the spirit and scope of the appended claims rather than by way of example only as described above.

本発明を具体化する解離した気体を生成するプラズマ源の部分概略図である。1 is a partial schematic view of a plasma source that generates dissociated gas embodying the invention. 2Aは本発明の一例としての実施の形態に従った、気体噴射源の断面図である。2A is a cross-sectional view of a gas injection source according to an exemplary embodiment of the present invention.

2Bは、図2Aの気体噴射源の端面図である。
3Aは本発明の一例としての実施の形態に従った、気体噴射源の断面図である。
2B is an end view of the gas injection source of FIG. 2A.
3A is a cross-sectional view of a gas injection source according to an exemplary embodiment of the present invention.

3Bは、図3Aの気体噴射源の端面図である。
本発明に従った気体解離システムを使用して、NFがプラズマ源内に噴射される、石英プラズマ室の出口からの距離の関数としてのNFの解離率を示すグラフである。 本発明に従った気体解離システムを使用して、CFがプラズマ源内に噴射される、石英プラズマ室の出口からの距離の関数としてのCFの解離率を示すグラフである。 本発明に従った気体解離システムを使用して、プラズマ気体流量の関数としてNFの解離率を示すグラフである。 本発明に従った気体解離システムを使用して、プラズマ気体圧力の関数としてNFの解離率を示すグラフである。 本発明に従った気体解離システムを使用して、下流NFの流量の関数としてNFの解離率を示すグラフである。 本発明に従った気体解離システムを使用して、プラズマ気体流量の関数としてCFの解離率を示すグラフである。 本発明に従った気体解離システムを使用して、プラズマ気体圧力の関数としてCFの解離率を示すグラフである。 11Aは、本発明に従った気体解離システムを使用して、プラズマ気体流量の関数としてCHFの解離率を示すグラフである。
3B is an end view of the gas injection source of FIG. 3A.
6 is a graph showing the dissociation rate of NF 3 as a function of distance from the exit of the quartz plasma chamber where NF 3 is injected into the plasma source using a gas dissociation system according to the present invention. 6 is a graph showing the dissociation rate of CF 4 as a function of distance from the exit of the quartz plasma chamber where CF 4 is injected into the plasma source using a gas dissociation system according to the present invention. 4 is a graph showing the dissociation rate of NF 3 as a function of plasma gas flow rate using a gas dissociation system according to the present invention. 4 is a graph showing the dissociation rate of NF 3 as a function of plasma gas pressure using a gas dissociation system according to the present invention. FIG. 6 is a graph showing the NF 3 dissociation rate as a function of downstream NF 3 flow rate using a gas dissociation system according to the present invention. 6 is a graph showing CF 4 dissociation rate as a function of plasma gas flow rate using a gas dissociation system according to the present invention. 6 is a graph showing the dissociation rate of CF 4 as a function of plasma gas pressure using a gas dissociation system according to the present invention. 11A is a graph showing the dissociation rate of CHF 3 as a function of plasma gas flow rate using a gas dissociation system according to the present invention.

11Bは、本発明に従った気体解離システムを使用して、下流CHFの流量の関数としてCHFの解離率を示すグラフである。
本発明を具体化する解離した気体を生成するプラズマ源の部分概略図である。 本発明に従った気体解離システムを使用して、NFがプラズマ源内に噴射される、石英プラズマ室の出口からの距離の関数としてのNFの解離率を示すグラフである。 本発明の一例としての実施の形態に従った、気体噴射源の一部分の断面図である。 本発明を具体化するプラズマ源の一部分の断面図である。 図15Aの一部分の断面図である。 本発明の原理を具体化する気体解離源の一部分の等角断面図である。 本発明の原理を具体化する、気体解離源の一部分の概略図である。 本発明を具体化するプラズマ源の一部分の断面図である。 図18Aの一部分の拡大図である。 図18Aの一部分の拡大図である。 本発明の一例としての実施の形態に従った、噴射源の一部分の概略図である。 本発明の一例としての実施の形態に従った、円環状の形状のプラズマ室の概略図である。 図20Aの円環状の形状のプラズマ室の一部分の拡大図である。
11B is a graph showing the CHF 3 dissociation rate as a function of downstream CHF 3 flow rate using a gas dissociation system according to the present invention.
1 is a partial schematic view of a plasma source that generates dissociated gas embodying the present invention. FIG. 6 is a graph showing the dissociation rate of NF 3 as a function of distance from the exit of the quartz plasma chamber where NF 3 is injected into the plasma source using a gas dissociation system according to the present invention. 1 is a cross-sectional view of a portion of a gas injection source, according to an example embodiment of the present invention. 1 is a cross-sectional view of a portion of a plasma source embodying the present invention. FIG. 15B is a cross-sectional view of a portion of FIG. 15A. 1 is an isometric cross-sectional view of a portion of a gas dissociation source that embodies the principles of the present invention. 1 is a schematic view of a portion of a gas dissociation source embodying the principles of the present invention. 1 is a cross-sectional view of a portion of a plasma source embodying the present invention. FIG. 18B is an enlarged view of a part of FIG. 18A. FIG. 18B is an enlarged view of a part of FIG. 18A. 1 is a schematic view of a portion of an injection source, according to an example embodiment of the invention. FIG. 1 is a schematic view of an annular shaped plasma chamber according to an exemplary embodiment of the present invention. FIG. FIG. 20B is an enlarged view of a portion of the annular-shaped plasma chamber of FIG. 20A.

Claims (42)

気体を励起するシステムにおいて、
室内にてプラズマ領域を発生させる遠隔プラズマ源であって、プラズマは活性化した気体を発生させる、前記遠隔プラズマ源と、
下流気体を導入してプラズマ領域外にて活性化した気体と相互作用するようにし、活性化した気体は、下流気体の励起を促進し、励起された下流気体が室の内面と実質的に相互作用しないようにする噴射源と、
室の出口フランジと噴射源との間に空隙を提供する特徴部とを備える、気体を励起するシステム。
In a system for exciting a gas,
A remote plasma source for generating a plasma region in a room, wherein the plasma generates an activated gas; and
A downstream gas is introduced to interact with the activated gas outside the plasma region, the activated gas facilitates excitation of the downstream gas, and the excited downstream gas substantially interacts with the inner surface of the chamber. An injection source to prevent it from acting,
A system for exciting a gas comprising a feature that provides a gap between an outlet flange of a chamber and an injection source.
請求項1に記載のシステムにおいて、空隙は、励起された気体が室の出口フランジとシステムの一部分との間に配置されたシールまで輸送される量を減少させる長く、狭小な空隙である、システム。   The system of claim 1, wherein the air gap is a long, narrow air gap that reduces the amount of excited gas transported to a seal disposed between the outlet flange of the chamber and a portion of the system. . 請求項1に記載のシステムにおいて、空隙は、少なくとも2.54mmの長さを有する、システム。   The system of claim 1, wherein the air gap has a length of at least 2.54 mm. 請求項1に記載のシステムにおいて、空隙は、約5.08mmから50.8mmの長さを有する、システム。   The system of claim 1, wherein the air gap has a length of about 5.08 mm to 50.8 mm. 請求項1に記載のシステムにおいて、空隙は、約0.0025mmから1.524mmの幅を有する、システム。   The system of claim 1, wherein the air gap has a width of about 0.0025 mm to 1.524 mm. 請求項1に記載のシステムにおいて、空隙は、約0.025mmから0.508mmの幅を有する、システム。   The system of claim 1, wherein the air gap has a width of about 0.025 mm to 0.508 mm. 請求項1に記載のシステムにおいて、空隙は、長さ対幅の比が約1.66である、システム。   The system of claim 1, wherein the air gap has a length to width ratio of about 1.66. 請求項1に記載のシステムにおいて、空隙は、長さ対幅の比が約3.33である、システム。   The system of claim 1, wherein the air gap has a length to width ratio of about 3.33. 請求項1に記載のシステムにおいて、空隙の長さは、約2.54mmから約50.8mmであり、空隙の幅は、約0.0025mmから約1.524mmである、システム。   The system of claim 1, wherein the length of the gap is from about 2.54 mm to about 50.8 mm and the width of the gap is from about 0.0025 mm to about 1.524 mm. 請求項1に記載のシステムにおいて、特徴部は環状の形状をしている、システム。   The system of claim 1, wherein the feature has an annular shape. 請求項1に記載のシステムにおいて、特徴部はフランジである、システム。   The system of claim 1, wherein the feature is a flange. 請求項1に記載のシステムにおいて、特徴部はばねシールである、システム。   The system of claim 1, wherein the feature is a spring seal. 請求項1に記載のシステムにおいて、特徴部は、その弾性的変形範囲内にて圧縮し且つ引き伸ばすことができる、システム。   The system of claim 1, wherein the feature can be compressed and stretched within its elastic deformation range. 請求項1に記載のシステムにおいて、特徴部は、アルミニウム、サファイア又は窒化物を含む、システム。   The system of claim 1, wherein the feature comprises aluminum, sapphire or nitride. 請求項1に記載のシステムにおいて、特徴部は、室の出口フランジを噴射源の本体から分離する、システム。   The system of claim 1, wherein the feature separates the outlet flange of the chamber from the body of the injection source. 請求項1に記載のシステムにおいて、特徴部は、出口フランジと噴射源の本体との間の摩擦を制限する、システム。   The system of claim 1, wherein the feature limits friction between the outlet flange and the body of the injection source. 請求項1に記載のシステムにおいて、出口フランジと噴射源との間に密封機構を備える、システム。   The system of claim 1, comprising a sealing mechanism between the outlet flange and the injection source. 請求項1に記載のシステムにおいて、密封機構はOリングである、システム。   The system of claim 1, wherein the sealing mechanism is an O-ring. 請求項1に記載のシステムにおいて、密封機構はばねシールである、システム。   The system of claim 1, wherein the sealing mechanism is a spring seal. 気体を励起する方法において、
室内にてプラズマにより活性化した気体を発生させるステップと、
活性化した気体が気体の供給口により導入された下流気体の解離を促進することを可能にし得るよう下流気体の供給口を室の出口に対して配置し、解離した下流気体が室の内面と実質的に相互作用しないようにするステップと、
室の出口フランジと下流気体の供給口を含む本体との間に空隙を提供するよう特徴部を配置するステップとを備える、方法。
In a method of exciting a gas,
Generating a gas activated by plasma in the room;
A downstream gas supply port is positioned relative to the chamber outlet so that the activated gas can facilitate dissociation of the downstream gas introduced by the gas supply port, and the dissociated downstream gas is in contact with the inner surface of the chamber. Steps to substantially avoid interaction;
Positioning the feature to provide a gap between the outlet flange of the chamber and the body including the downstream gas supply.
請求項20に記載の方法において、空隙は、励起された気体が室の出口フランジとシステムの一部分との間に配置されたシールまで輸送される量を減少させる長く、狭小な空隙である、方法。   21. The method of claim 20, wherein the air gap is a long, narrow air gap that reduces the amount of excited gas transported to a seal disposed between the outlet flange of the chamber and a portion of the system. . 請求項20に記載の方法において、特徴部は環状の形状をしている、方法。   21. The method of claim 20, wherein the feature has an annular shape. 請求項20に記載の方法において、特徴部はフランジである、方法。   21. The method of claim 20, wherein the feature is a flange. 請求項20に記載の方法において、特徴部はばねシールである、方法。   21. The method of claim 20, wherein the feature is a spring seal. 請求項20に記載の方法において、特徴部は、その弾性的変形範囲内にて圧縮し且つ引き伸ばすことができる、方法。   21. The method of claim 20, wherein the feature can be compressed and stretched within its elastic deformation range. 請求項20に記載の方法において、特徴部は、室の出口フランジを噴射源の本体から分離する、方法。   21. A method according to claim 20, wherein the feature separates the outlet flange of the chamber from the body of the injection source. 請求項20に記載の方法において、特徴部は、出口フランジと噴射源の本体との間の摩擦を制限する、方法。   21. The method of claim 20, wherein the feature limits friction between the outlet flange and the body of the injection source. 請求項20に記載の方法において、出口フランジと噴射源との間に真空シールを形成するステップを備える、方法。   21. The method of claim 20, comprising forming a vacuum seal between the outlet flange and the injection source. 気体を解離する方法において、
室内にてプラズマにより活性化した気体を発生させるステップと、
活性化した気体が気体の供給口により導入された下流気体の解離を促進することを可能にし得るよう下流気体の供給口を室の出口に対して配置し、解離した下流気体が室の内面と実質的に相互作用しないようにするステップと、
室の出口フランジと下流気体の供給口を含む本体との間に空隙を提供するよう特徴部を配置するステップとを備える、方法。
In a method for dissociating a gas,
Generating a gas activated by plasma in the room;
A downstream gas supply port is positioned relative to the chamber outlet so that the activated gas can facilitate dissociation of the downstream gas introduced by the gas supply port, and the dissociated downstream gas is in contact with the inner surface of the chamber. Steps to substantially avoid interaction;
Positioning the feature to provide a gap between the outlet flange of the chamber and the body including the downstream gas supply.
気体を励起するシステムにおいて、
室内にてプラズマ領域を発生させる遠隔プラズマ源であって、プラズマは活性化した気体を発生させる、前記遠隔プラズマ源と、
下流気体を導入してプラズマ領域の外側にて活性化した気体と相互作用させ、活性化した気体は下流気体の励起を促進し、また、励起された下流気体が室の内面と実質的に相互作用しないようにする噴射源と、を備えるシステム。
In a system for exciting a gas,
A remote plasma source for generating a plasma region in a room, wherein the plasma generates an activated gas; and
A downstream gas is introduced to interact with the activated gas outside the plasma region, the activated gas promotes excitation of the downstream gas, and the excited downstream gas substantially interacts with the inner surface of the chamber. An injection source for preventing the operation.
請求項30に記載のシステムにおいて、下流気体の励起は、下流気体の解離するステップを含む、システム。   32. The system of claim 30, wherein the excitation of the downstream gas comprises dissociating the downstream gas. 請求項30に記載のシステムにおいて、浸食又は室への堆積を少なくするよう室の出口に配置された障壁を備える、システム。   32. The system of claim 30, comprising a barrier disposed at the outlet of the chamber to reduce erosion or deposition in the chamber. 請求項32に記載のシステムにおいて、障壁は、少なくとも部分的に室内に配置される、システム。   33. The system of claim 32, wherein the barrier is at least partially disposed within the room. 請求項32に記載のシステムにおいて、障壁は、少なくとも部分的に室の出口通路内に配置される、システム。   34. The system of claim 32, wherein the barrier is disposed at least partially within the outlet passage of the chamber. 請求項30に記載のシステムにおいて、室の出口通路に配置された障壁を備える、システム。   32. The system of claim 30, comprising a barrier disposed in the exit passage of the chamber. 請求項30に記載のシステムにおいて、室は石英からなる、システム。   32. The system of claim 30, wherein the chamber is made of quartz. 請求項36に記載のシステムにおいて、室は、円環状の形状の室である、システム。   38. The system of claim 36, wherein the chamber is an annular shaped chamber. 請求項30に記載のシステムにおいて、プラズマ源は、円環状のプラズマ源である   32. The system of claim 30, wherein the plasma source is an annular plasma source. 請求項30に記載のシステムにおいて、下流気体及び活性化した気体を混合させるミキサを備える、システム。   32. The system of claim 30, comprising a mixer that mixes the downstream gas and the activated gas. 請求項39に記載のシステムにおいて、ミキサは、スタティックフローミキサ、ヘリカルミキサ、ブレード又はスタック型シリンダミキサである、システム。   40. The system of claim 39, wherein the mixer is a static flow mixer, a helical mixer, a blade or a stacked cylinder mixer. 請求項30に記載のシステムにおいて、パージ気体の供給口を備える、システム。   32. The system of claim 30, comprising a purge gas supply. 請求項41に記載のシステムにおいて、パージ気体の供給口は、室の出口と注射源の供給口との間に配置される、システム。   42. The system of claim 41, wherein the purge gas supply is disposed between the chamber outlet and the injection source supply.
JP2008547370A 2005-12-23 2006-12-15 Method and apparatus for dissociating gas downstream Pending JP2009521783A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US75378805P 2005-12-23 2005-12-23
PCT/US2006/048120 WO2007075509A2 (en) 2005-12-23 2006-12-15 Methods and apparatus for downstream dissociation of gases

Publications (1)

Publication Number Publication Date
JP2009521783A true JP2009521783A (en) 2009-06-04

Family

ID=38038700

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008547370A Pending JP2009521783A (en) 2005-12-23 2006-12-15 Method and apparatus for dissociating gas downstream

Country Status (6)

Country Link
JP (1) JP2009521783A (en)
KR (1) KR20080077642A (en)
CN (1) CN101461029B (en)
DE (1) DE112006003519T5 (en)
GB (1) GB2447381B (en)
WO (1) WO2007075509A2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011098313A (en) * 2009-11-09 2011-05-19 Fuji Mach Mfg Co Ltd Plasma treatment apparatus and plasma treatment method
KR20150036567A (en) * 2012-07-12 2015-04-07 어플라이드 머티어리얼스, 인코포레이티드 Gas mixing apparatus
JP2017509107A (en) * 2014-01-15 2017-03-30 ガリウム エンタープライジズ ピーティーワイ リミテッド Apparatus and method for reducing impurities in a film

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103258729B (en) 2007-12-21 2016-07-06 朗姆研究公司 The manufacture of silicon structure and the deep silicon etch with morphology control
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8043434B2 (en) * 2008-10-23 2011-10-25 Lam Research Corporation Method and apparatus for removing photoresist
JP2011077378A (en) * 2009-09-30 2011-04-14 Ulvac Japan Ltd Method and apparatus for processing substrate
US8282906B2 (en) 2009-12-23 2012-10-09 3M Innovative Properties Company Remote plasma synthesis of metal oxide nanoparticles
KR20230170130A (en) 2018-12-20 2023-12-18 어플라이드 머티어리얼스, 인코포레이티드 Method and apparatus for supplying improved gas flow to a processing volume of a processing chamber
CN111188027B (en) * 2020-02-12 2021-08-03 南京大学 Chemical vapor deposition equipment and film forming method

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5236747A (en) * 1990-07-06 1993-08-17 Plasmametal Process for metallizing a surface
US5423942A (en) * 1994-06-20 1995-06-13 Texas Instruments Incorporated Method and apparatus for reducing etching erosion in a plasma containment tube
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
JP2001284340A (en) * 2000-03-30 2001-10-12 Hitachi Kokusai Electric Inc Apparatus and method for manufacturing semiconductor device
JP2002158261A (en) * 2000-11-20 2002-05-31 Asuriito Fa Kk Gas supply method and box using it
US20020072244A1 (en) * 2000-12-07 2002-06-13 Agarwal Vishnu K. Photo-assisted remote plasma apparatus and method
JP2003218044A (en) * 2002-01-22 2003-07-31 Crystage Co Ltd Remote plasma treatment apparatus

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4870030A (en) * 1987-09-24 1989-09-26 Research Triangle Institute, Inc. Remote plasma enhanced CVD method for growing an epitaxial semiconductor layer
US20060118240A1 (en) * 2004-12-03 2006-06-08 Applied Science And Technology, Inc. Methods and apparatus for downstream dissociation of gases

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5236747A (en) * 1990-07-06 1993-08-17 Plasmametal Process for metallizing a surface
US5423942A (en) * 1994-06-20 1995-06-13 Texas Instruments Incorporated Method and apparatus for reducing etching erosion in a plasma containment tube
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
JP2001284340A (en) * 2000-03-30 2001-10-12 Hitachi Kokusai Electric Inc Apparatus and method for manufacturing semiconductor device
JP2002158261A (en) * 2000-11-20 2002-05-31 Asuriito Fa Kk Gas supply method and box using it
US20020072244A1 (en) * 2000-12-07 2002-06-13 Agarwal Vishnu K. Photo-assisted remote plasma apparatus and method
JP2003218044A (en) * 2002-01-22 2003-07-31 Crystage Co Ltd Remote plasma treatment apparatus

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011098313A (en) * 2009-11-09 2011-05-19 Fuji Mach Mfg Co Ltd Plasma treatment apparatus and plasma treatment method
KR20150036567A (en) * 2012-07-12 2015-04-07 어플라이드 머티어리얼스, 인코포레이티드 Gas mixing apparatus
JP2015528060A (en) * 2012-07-12 2015-09-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Gas mixing equipment
KR102125764B1 (en) * 2012-07-12 2020-06-23 어플라이드 머티어리얼스, 인코포레이티드 Gas mixing apparatus
JP2017509107A (en) * 2014-01-15 2017-03-30 ガリウム エンタープライジズ ピーティーワイ リミテッド Apparatus and method for reducing impurities in a film

Also Published As

Publication number Publication date
CN101461029A (en) 2009-06-17
GB0811517D0 (en) 2008-07-30
WO2007075509A3 (en) 2007-09-20
GB2447381B (en) 2010-02-24
GB2447381A (en) 2008-09-10
WO2007075509A2 (en) 2007-07-05
CN101461029B (en) 2011-03-30
DE112006003519T5 (en) 2009-01-02
KR20080077642A (en) 2008-08-25

Similar Documents

Publication Publication Date Title
US20060137612A1 (en) Methods and apparatus for downstream dissociation of gases
JP2009521783A (en) Method and apparatus for dissociating gas downstream
US20210343510A1 (en) Quartz component with protective coating
US9790595B2 (en) Method and system to reduce outgassing in a reaction chamber
US10361088B2 (en) Techniques and systems for continuous-flow plasma enhanced atomic layer deposition (PEALD)
US10192717B2 (en) Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
US6872323B1 (en) In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
US8883270B2 (en) Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
KR101177333B1 (en) Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
Moravej et al. Atmospheric plasma deposition of coatings using a capacitive discharge source
US20070272299A1 (en) Methods and apparatus for downstream dissociation of gases
KR100855597B1 (en) Sulfur hexafluoride remote plasma source clean
US20040086434A1 (en) Apparatus and method for treating objects with radicals generated from plasma
US20040129212A1 (en) Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
JP6759004B2 (en) How to process the object to be processed
US20010008803A1 (en) Plasma surface treatment method and resulting device
US20110275166A1 (en) Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20030010354A1 (en) Fluorine process for cleaning semiconductor process chamber
US11087959B2 (en) Techniques for a hybrid design for efficient and economical plasma enhanced atomic layer deposition (PEALD) and plasma enhanced chemical vapor deposition (PECVD)
JP2022547127A (en) Method for forming protective coatings on process chamber surfaces or components
CN116324031A (en) Method for in-situ ceramic coating deposition
CN112899617B (en) Method, device, component and plasma processing device for forming plasma-resistant coating
CN117980535A (en) Gas flow director design for plasma suppression
Moravej Chemistry and physics of atmospheric pressure argon plasmas

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20091127

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120626

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120926

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121003

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121026

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130417

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130913