JP2009518854A - Medium pressure plasma system for surface layer removal without substrate loss - Google Patents

Medium pressure plasma system for surface layer removal without substrate loss Download PDF

Info

Publication number
JP2009518854A
JP2009518854A JP2008544307A JP2008544307A JP2009518854A JP 2009518854 A JP2009518854 A JP 2009518854A JP 2008544307 A JP2008544307 A JP 2008544307A JP 2008544307 A JP2008544307 A JP 2008544307A JP 2009518854 A JP2009518854 A JP 2009518854A
Authority
JP
Japan
Prior art keywords
wafer
plasma
chuck
gas
workpiece
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008544307A
Other languages
Japanese (ja)
Inventor
ジョン ヴォルフェ
アシーム スリヴァスタバ
イバン ベリー
パラニ サクスィーヴェル
Original Assignee
アクセリス テクノロジーズ インコーポレーテッド
ユニバーシティー オブ ヒューストン
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アクセリス テクノロジーズ インコーポレーテッド, ユニバーシティー オブ ヒューストン filed Critical アクセリス テクノロジーズ インコーポレーテッド
Publication of JP2009518854A publication Critical patent/JP2009518854A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32752Means for moving the material to be treated for moving the material across the discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3346Selectivity

Abstract

フォトレジスト又は他の有機化合物を半導体ウエハから取り除くためのシステム及び方法が提供される。非フッ素化反応ガス(O2,H2,H2O,N2等)は、中圧表面波発射によって水晶管内で活性化される。プラズマジェットが基板上に作用するので、蒸発反応製品(H2O,COまたは低分子量の炭化水素)を基板表面からフォトレジストを選択的に取り除く。また、この中圧力によっては、ウエハ上の反応領域内に効果的な熱源を与え、そして、エッチング速度を高め、さらに、イオン注入されたフォトレジストを取り除く実際の手段を与える高いガス温度を得ることができる。Systems and methods are provided for removing photoresist or other organic compounds from a semiconductor wafer. Non-fluorinated reaction gases (O 2 , H 2 , H 2 O, N 2, etc.) are activated in the quartz tube by medium pressure surface wave emission. Since the plasma jet acts on the substrate, the vaporization reaction product (H 2 O, CO or low molecular weight hydrocarbon) selectively removes the photoresist from the substrate surface. Also, this medium pressure provides an effective heat source in the reaction area on the wafer, and increases the etch rate and also provides a high gas temperature that provides the actual means of removing the ion implanted photoresist. Can do.

Description

(関連出願の相互参照)
本発明は、合衆国法典第35巻の119条(e)項に基づいて、2004年6月12日に出願した米国仮出願第60/633,673号の優先権を主張する。
(Cross-reference of related applications)
This invention claims the priority of US Provisional Application No. 60 / 633,673, filed June 12, 2004, based on 35 USC 119 (e).

本発明は、半導体の処理に関し、より詳細には、例えば、集積回路の製造において、半導体ウエハ等の加工物から表面層を選択的に除去する半導体処理に関する。以下の記載は、半導体製造処理に向けられており、本発明は、種々の製造処理及び装置に適用できるので、半導体製造に限定されるものではないことが理解できるであろう。   The present invention relates to semiconductor processing, and more particularly to semiconductor processing for selectively removing a surface layer from a workpiece such as a semiconductor wafer in the manufacture of integrated circuits, for example. It will be understood that the following description is directed to semiconductor manufacturing processes and that the present invention is not limited to semiconductor manufacturing as it can be applied to various manufacturing processes and apparatus.

フォトレジストマスクは、分離、P型またはN型ウエルのドーピング、スレッショルド電圧調整、及びソースドレイン接点のためのイオン注入でのウエハプロセスの前半部(front-end-of-line[FEOL])から,プラズマエッチング、又は金属プレーティング、層間誘電体のためのウエハプロセスの後半部(back-end-of-line[BEOL])において、集積回路(IC)の各層を形成する。これらのコーティングは、半導体素子における各レベルが形成された後、効果的にかつ完全に除去しなければならない。
このような状況において、レジストの除去は、レジストアッシング、ストリッピング、又はエッチングの工程として、種々記載されてきた。
From the front-end-of-line [FEOL] of the wafer process with ion implantation for isolation, P-type or N-type well doping, threshold voltage adjustment, and source-drain contact, Each layer of an integrated circuit (IC) is formed in the back-end-of-line (BEOL) of the wafer process for plasma etching, metal plating, or interlayer dielectric. These coatings must be effectively and completely removed after each level in the semiconductor device is formed.
Under such circumstances, resist removal has been variously described as a resist ashing, stripping, or etching step.

ここでの議論は、エッチングに関連させて論じることになるが、本発明に関連して、用語「エッチング」は、一般的に、アッシング、ストリッピング、又はエッチング、あるいは、表面層の除去を含んでいる適当な他の種々の処理に言及していることが理解されるであろう。現在、ダウンストリーム型プラズマ発生装置の利用は、産業界でレジスト除去の標準となっている。このアプローチでは、酸素等の通常の非反応性ガスが、マイクロ波放電又は無線周波放電を介して流れて、励起した分子、ラジカル、イオン、及び電子の混合物として形成されるプラズマに変換される。プラズマ内で荷電されたイオン種は、それらが、ダウンストリームの分配システムを介して流れるとき、再結合される。しかし、多くのラジカルは、ウエハに到達するのに十分なライフタイムがある。流れるガスとして、酸素を用いる例では、一重項シグマ準安定(singlet sigma metastable)酸素分子は、ウエハ表面に存在し、究極的に相互に作用する(ジェー.ティ.ジェオング(J.T.Jeong)他、サイエンス テクノロジー7号-282−285頁1998年 )。高エネルギーのイオン衝撃は、半導体装置の構成要素又はウエハ基板自体に不要な損傷を生じさせる。ダウンストリーム型アッシングツールにおいて、荷電粒子がないことにより、集積回路への電気的な損傷を防止することができる。   While the discussion herein will be discussed in the context of etching, in the context of the present invention, the term “etching” generally includes ashing, stripping, or etching, or removal of a surface layer. It will be understood that reference is made to various other suitable processes. Currently, the use of downstream plasma generators has become the standard for resist removal in industry. In this approach, a normal non-reactive gas such as oxygen flows through a microwave or radio frequency discharge and is converted to a plasma that is formed as a mixture of excited molecules, radicals, ions, and electrons. Ion species charged in the plasma are recombined as they flow through the downstream distribution system. However, many radicals have a lifetime sufficient to reach the wafer. In the example of using oxygen as the flowing gas, singlet sigma metastable oxygen molecules exist on the wafer surface and ultimately interact (JTJeong et al., Science) Technology 7-282-285, 1998). High energy ion bombardment causes unnecessary damage to semiconductor device components or the wafer substrate itself. In the downstream ashing tool, the absence of charged particles can prevent electrical damage to the integrated circuit.

以下の記述では、半導体製造工程においてこれまで用いられたことがなかった、表面導波管の放電技術に基づいた、新規なプラズマ源が提供される。プラズマシステムの従来の実施では、モイソン(Moisson)他によって開発されたサーファガイド(Surfaguide)装置等のプラズマガスを活性化させる電磁力供給源を用いてきた(モイソン他、IEEE 会報 プラズマ化学 PS-12 203−214頁1984年)。しかし、この装置の制限された冷却効果は、結果として生まれるプラズマの出力密度に制限される。従来、オイル冷却されたプラズマ源が、一般的に用いられた。しかし、高エネルギーでプラズマを作動させることは、非常に高温度になることを含んでいる。このような条件の下で、冷却オイルが分解し、導波管内のプラズマ放電管の外壁に炭化した層が堆積する。作動が一旦開始されると、増加するマイクロ波の露光により、オイルベースの炭素層が急速に成長し、最後には、壊滅的なアーク放電が導波管内に起こり、プラズマ放電管を破壊する。   In the following description, a novel plasma source is provided based on a surface waveguide discharge technique that has not been used in the semiconductor manufacturing process. Conventional implementations of plasma systems have used electromagnetic force sources to activate plasma gases such as the Surfaguide device developed by Moisson et al. (Moisson et al., IEEE Bulletin Plasma Chemistry PS-12) 203-214, 1984). However, the limited cooling effect of this device is limited to the resulting plasma power density. In the past, oil cooled plasma sources have been commonly used. However, operating the plasma with high energy involves becoming very hot. Under such conditions, the cooling oil is decomposed and a carbonized layer is deposited on the outer wall of the plasma discharge tube in the waveguide. Once in operation, the increasing microwave exposure causes an oil-based carbon layer to grow rapidly and eventually a catastrophic arc discharge occurs in the waveguide, destroying the plasma discharge tube.

このようなことから、オイル冷却されたシステムは、高エネルギープラズマ放電に対しては、不適当である。エア冷却された高出力のプラズマシステムが報告されているが、これらの作動は、大気圧に制限されており、例えば、高圧力下では、その結果生じるプラズマは、フォトレジスト等の有機表面層の選択的除去に対して必要な反応性種を含んでいない(ワイ.オカモト(Okamoto)「水溶液内のハロゲン決定のために、大気圧で、ヘリウムを誘導した高出力マイクロ波プラズマ」ジャパンジャーナル 応用物理 38、L338.1999年)。   For this reason, oil cooled systems are unsuitable for high energy plasma discharges. Air-cooled, high-power plasma systems have been reported, but their operation is limited to atmospheric pressure, for example, under high pressure, the resulting plasma can be absorbed into organic surface layers such as photoresist. Does not contain the reactive species necessary for selective removal (Okamoto “High-power microwave plasma induced by helium at atmospheric pressure for determination of halogen in aqueous solution” Japan Journal 38, L338. 1999).

一般的に、ウエハは、ダウンストリーム型プラズマアッシング中の反応速度を高めるために加熱される。従来の処理での適用時間は、酸素ベースのプラズマ化学に対して270℃でできる限り15秒間よりも少ない時間とすることができる。レジスト層が、一旦イオン注入に曝されると、中間IC製造段階で必要とされるように、プラズマを用いる反応性機構が、より複雑になる。イオン注入処理は、極端に低い真性エッチング速度を示す金属イオンと混合した炭素化した外層を生じるので、イオン注入されたレジストは、未注入のレジストよりも除去するのが困難である(ジー.ケー.ヴィノグラドバ他(G.K.Vinogradova)J。Vac.科学技術 B,17,1,1999年 1月/2月;エス.フジムラ他(S.Fujimura)Nucl.Nucl. Instrum. Methods B39,1989年;ケー.ジェー.オルベク他(K.J. Orvek)Nucl.Nucl. Instrum. Methods B7/8,1985,P501;ティー.バウサム他(T.Bausum)「300mm生産用高ドーズ量注入されたレジストの除去」半導体インターナショナル 06/01/2003;ジェー.アール.ワッソン他(J.R.Wasson)「イオンビームリソグラフィーのためのイオン吸収ステンシルマスク被膜」J.Vac.科学技術 B,15,2214,1997年)。   In general, the wafer is heated to increase the reaction rate during downstream plasma ashing. The application time in conventional processing can be as little as 15 seconds at 270 ° C. as possible for oxygen-based plasma chemistry. Once the resist layer is exposed to ion implantation, the reactive mechanism using plasma becomes more complex, as required in the intermediate IC manufacturing stage. Since the ion implantation process produces a carbonized outer layer mixed with metal ions that exhibit extremely low intrinsic etch rates, the ion implanted resist is more difficult to remove than the unimplanted resist. . Vinogradova et al. (G. K. Vinogradova) J. Vac. Science and Technology B, 17, 1, January / February 1999; S. Fujimura et al. (S. Fujimura) Nucl. Nucl. Instrum. Methods B39, 1989 K.J. Orvek, Nucl. Nucl. Instrum. Methods B7 / 8, 1985, P501; T. Bausum, et al., “High dose dose-implanted resist for 300 mm production. "Removal" Semiconductor International 06/01/2003; JRWasson et al. "Ion Absorbing Stencil Mask Coating for Ion Beam Lithography" J. Vac. Science and Technology B, 15, 2214, 1997).

微粒子の放出を防止するために、ウエハ温度を約120℃以下に保たなければならないので、さらに、処理能力が低下する。この微粒子の放出は、ガス、主にNH3の圧力の下で硬い表面がはがれるときに起こり、ハードベイク温度以上に加熱されるのに応じて放出される。この現象は、ポッピングとして知られている(ディー.フレミング他(D.Fleming)「最適化されたプレ注入UV/ベーク処理を介して実現された製造方法の改良」フューチャー ファブ インターナショナル 4,1,1977,P177)。   In order to prevent the release of fine particles, the wafer temperature must be kept below about 120 ° C., further reducing the processing capability. This release of fine particles occurs when the hard surface peels off under the pressure of gas, mainly NH3, and is released in response to heating above the hard bake temperature. This phenomenon is known as popping (D. Fleming et al. “Improved manufacturing methods achieved through optimized pre-injection UV / baking” Future Fab International 4,1,1977. , P177).

グラファイト又はフォトレジストと異なるイオン注入されたレジストフィルムは、本質的に不活性なので、大気中の酸素、窒素、又は水蒸気を吸収しない。原子状酸素と反応するイオン注入されたレジストフィルムのための活性エネルギーは、イオン注入されていないレジストが0.17eVであるのに対して、2.4eVであることが報告されている(エイ.ジョシ他(A.Joshi)J.Vac.科学技術A,8,3,5/6月1990年、pp2137)。   Ion-implanted resist films, which are different from graphite or photoresist, are essentially inert and do not absorb atmospheric oxygen, nitrogen, or water vapor. The active energy for ion-implanted resist films that react with atomic oxygen is reported to be 2.4 eV, compared to 0.17 eV for non-ion-implanted resists (A. Josi et al. (A.Joshi) J.Vac. Science and Technology A, 8,3,5 / June 1990, pp2137).

この付加的な活性エネルギーにより、何故、イオン注入されたレジストフィルムが、通常のダウンストリーム型プラズマにおいて、本質的にエッチングできないかを説明している。さらに、RF−バイアス及びフッ素化学が、イオン注入されたフィルムに対するエッチング速度を高めるのに用いられてきた(ケー.ジェー.オルベク及びシー.フフマン(K.J. Orvek and C.Huffman)Nucl.Nucl. Instrum. Methods B7/8,1985,P501;ジェイアイ.マコンバー他(JI.McOmber) Nucl.Nucl. Instrum. Methods B74(1993)pp266-270;ケイ.ラインハルト他(K.Reinhardt)IBM 技術シンポジウム、フランス10月1999年)。   This additional activation energy explains why the ion implanted resist film cannot essentially be etched in a normal downstream plasma. In addition, RF-bias and fluorine chemistry has been used to increase the etch rate for ion implanted films (K.J. Orvek and C. Huffman Nucl.Nucl). Instrum. Methods B7 / 8, 1985, P501; JI McConmber et al. (JI. McOmber) Nucl. Nucl. Instrum. Methods B74 (1993) pp266-270; Kay Reinhardt et al. (K. Reinhardt) IBM Technology Symposium, France October 1999).

しかし、これらのより過激な除去方法は、保護されない表面の腐食を生じさせる。ますます、このようなウエハ表面の損失は、ゲート酸化物及び接点の厚さとして経済的に受入れがたくなり、集積回路の新しい生成を縮小させることになる。
この結果、新しいエッチングの枠組みが重要であり、この枠組みにより、二酸化珪素、シリコン、または他の薄い誘電体フィルム上の実質的に完全にイオン注入されたフォトレジスト層を、選択的に取り除き、そして、フッ素化学から全体として独立させることができる。また、イオン注入されたまたはイオン注入されない表面層を含んでいる、無機材料または有機材料で被膜された材料上に、加えることができるような、低い温度に基板を維持しながら、商業的に実行可能な除去速度を与える新しい技術が要望されている。
However, these more radical removal methods result in unprotected surface corrosion. Increasingly, such wafer surface losses become economically unacceptable as gate oxide and contact thickness, reducing the new generation of integrated circuits.
As a result, a new etching framework is important, which selectively removes substantially completely ion-implanted photoresist layers on silicon dioxide, silicon, or other thin dielectric films, and Can be made independent of fluorine chemistry as a whole. Also, commercially practiced while maintaining the substrate at a low temperature such that it can be applied over materials coated with inorganic or organic materials, including surface layers that are ion implanted or not ion implanted. There is a need for new technologies that provide possible removal rates.

本発明は、表面層を半導体ウエハから除去するための新しいアプローチを提供することによって、上記要望に対処する。本発明は、反応性ガスが中圧力表面波放電によって活性化される方法を提供する。さらに、この方法は、ウエハの表面からフォトレジストを取り除くプラズマガス内の揮発性反応体の形成を含む。このプラズマガスは、基板上に衝突する反応性プラズマジェットを形成し、基板の表面層が、選択的に、そして、安全に、効率よくエッチングされる。この方法は、プラズマジェットの前面で走査することにより、大きなウエハから塗布された材料を取り除くために、商業的に実行可能な方法で実施される。   The present invention addresses the above needs by providing a new approach for removing surface layers from a semiconductor wafer. The present invention provides a method in which a reactive gas is activated by a medium pressure surface wave discharge. In addition, the method includes the formation of volatile reactants in the plasma gas that removes the photoresist from the surface of the wafer. The plasma gas forms a reactive plasma jet that impinges on the substrate, and the surface layer of the substrate is selectively, safely and efficiently etched. This method is performed in a commercially viable manner to remove the applied material from a large wafer by scanning in front of the plasma jet.

特に、本発明は、製造工程において、加工物から表面層を選択的に除去するための装置として一般的に特徴づけられる。この装置は、処理室、プラズマアプリケータ、及び冷却システムを含んでいる。処理室は、表面層が取り除かれるように処理すべき加工物を受け入れる副大気環境[subatomospheric](大気圧より低い圧力)を形成する。プラズマアプリケータは、プラズマを発生し、そして、反応性処理ガスの加圧供給源、この加圧供給源に流体連通したプラズマ放出管、このプラズマ放出管に電磁力を作用させて、この放出管内にプラズマを発生させるための電磁力供給源、前記プラズマガスを加工物の方向に向けて前記処理室内に放射するために、前記プラズマ放出管の一端部に配置したノズル開口を含む。最後に、冷却システムが、ガス状冷却剤を循環させるために前記プラズマ放出管の回りに配置され、前記プラズマ放出管の回りに冷却通路を形成する導管を有する。   In particular, the present invention is generally characterized as an apparatus for selectively removing a surface layer from a workpiece in a manufacturing process. The apparatus includes a processing chamber, a plasma applicator, and a cooling system. The processing chamber creates a subatomospheric (pressure below atmospheric pressure) that receives the workpiece to be processed so that the surface layer is removed. The plasma applicator generates a plasma and applies a pressurized source of reactive process gas, a plasma emission tube in fluid communication with the pressurized supply source, and an electromagnetic force acting on the plasma emission tube, An electromagnetic force supply source for generating plasma, and a nozzle opening disposed at one end of the plasma emission tube for radiating the plasma gas toward the workpiece into the processing chamber. Finally, a cooling system has a conduit disposed around the plasma discharge tube for circulating gaseous coolant and forming a cooling passage around the plasma discharge tube.

本発明の実施形態では、中圧力(約10トル〜約500トルの間)のプラズマで半導体ウエハ上の物質の除去を実施するための装置として提供される。この装置は、O2,H2,H2O,N2、等の反応性処理ガスが、石英、サファイア、または他の電磁気的に影響を受けない材料から作られた狭い放電管を介して流れる。そして、マイクロ波又はRF電源が適用できる電磁力供給源によって表面波を活性化させる。さらに、ガス状冷却剤を用いる放電管のための冷却システムが提供され、このシステムは、放電管上に統合された冷却フランジを含み、冷却通路に取り付けられる。   Embodiments of the present invention are provided as an apparatus for performing material removal on a semiconductor wafer with a medium pressure (between about 10 Torr and about 500 Torr) plasma. In this device, a reactive process gas such as O2, H2, H2O, N2, etc. flows through a narrow discharge tube made of quartz, sapphire, or other electromagnetically unaffected material. And a surface wave is activated by the electromagnetic force supply source which can apply a microwave or RF power supply. In addition, a cooling system for a discharge tube using a gaseous coolant is provided that includes a cooling flange integrated on the discharge tube and is attached to the cooling passage.

本装置は、さらに、放電管ノズルを含み、このノズルから放電管からのガスが出現して基板に衝突する。その結果、H2O、CO2または低分子量の炭化水素等の揮発性反応製品がウエハ基板の表面層を選択的に除去する材料となる。この装置は、さらに、ウエハチャックを支持するための位置決めシステムを含み、この位置決めシステムは、ウエハを加熱しかつ位置決め、そして、プラズマ源を用いてウエハの高速スキャンを与える。
表面波放電を使うことにより、この放電をガイドする類のない利点を有し、励起出力がウエハに対して印加される。また、表面波放電を与える方法は、電磁力システムにかなり多くの電荷を与えることなく広い圧力範囲で実行することができる。
The apparatus further includes a discharge tube nozzle from which gas from the discharge tube appears and collides with the substrate. As a result, volatile reaction products such as H 2 O, CO 2 or low molecular weight hydrocarbons are materials that selectively remove the surface layer of the wafer substrate. The apparatus further includes a positioning system for supporting the wafer chuck, which heats and positions the wafer and provides a fast scan of the wafer using a plasma source.
Using a surface wave discharge has the unique advantage of guiding this discharge, and an excitation power is applied to the wafer. Also, the method of providing a surface wave discharge can be performed over a wide pressure range without applying a significant amount of charge to the electromagnetic force system.

本発明の理想的な動作圧力範囲は、中圧力型(約10トル以上で約500トル以下)である。中圧力プラズマは、電子―イオンの再結合の高比率と、活性粒子の熱中性子化が低圧力のプラズマにおいて出現する高エネルギー電荷種を除去する利点を有する。これらの高エネルギー種を除去することにより、基板電流を電位的に損傷させる可能性及びスプリッタ腐食をなくす。さらに、中圧力型でのプラズマガス温度は、低圧力型プラズマと比較すると非常に高い。より高いプラズマガス温度は、ウエハ上の反応性ゾーン内で付加的な熱源を提供し、特に、ここでは必要とされる。この集中された熱エネルギーは、有機材料の反応の早い除去に対して貢献する。   The ideal operating pressure range of the present invention is a medium pressure type (about 10 torr or more and about 500 torr or less). Medium pressure plasma has the advantage of a high rate of electron-ion recombination and the thermal neutronization of the active particles removes the high energy charge species that appear in the low pressure plasma. Removing these high energy species eliminates the potential for potential damage to the substrate current and splitter erosion. Furthermore, the plasma gas temperature in the medium pressure type is very high compared to the low pressure type plasma. A higher plasma gas temperature provides an additional heat source in the reactive zone on the wafer, and is specifically required here. This concentrated thermal energy contributes to the rapid removal of organic material reactions.

材料除去の反応速度が増加すればするほど、処理速度(及び商業的な実施可能性)が増加する。対照的に、プラズマジェットシステムに対する低圧力型の使用(約10より小さい)は、好ましいものではなく、圧力が減少すると、プラズマジェットの形状は、広がり、これにより、スポットサイズを制御することができなくなる。高圧力型(500トルよりも大きい)では、有利ではなく、表面除去のために必要とされる反応種が、ウエハに到達する前に再結合してしまい、その結果、選択的な除去のためのプラズマの効率を減少させる。しかし、広い圧力範囲にわたって本発明の動作が行われると、プラズマ源がまだ動作状態にある間に、大気中のウエハ交換が可能になる。プラズマの点火は、通常低圧力(1Tに近い)が必要なので、ウエハ交換中、出力源が約760トル(大気圧)に維持できる場合、処理圧力の循環を避けることができる。これは、プラズマ点火に対して、真空ポンプを低圧力に下げないようにしなければならない。そして、各半導体ウエハを処理するために中間圧力にするために再加圧し、これにより、産業的な設定で実行可能な処理時間を短くすることができる。   As the reaction rate of material removal increases, the processing speed (and commercial feasibility) increases. In contrast, the use of a low pressure type for plasma jet systems (less than about 10) is not preferred, and as the pressure decreases, the shape of the plasma jet expands, thereby allowing control of the spot size. Disappear. The high pressure type (greater than 500 Torr) is not advantageous and the reactive species required for surface removal recombine before reaching the wafer, resulting in selective removal. Reduce plasma efficiency. However, when the operation of the present invention is performed over a wide pressure range, wafer exchange in the atmosphere is possible while the plasma source is still in operation. Since plasma ignition typically requires low pressure (close to 1T), circulation of process pressure can be avoided if the output source can be maintained at about 760 Torr (atmospheric pressure) during wafer replacement. This should prevent the vacuum pump from dropping to a low pressure for plasma ignition. Then, in order to process each semiconductor wafer, re-pressurization is performed to obtain an intermediate pressure, thereby shortening a processing time that can be performed in an industrial setting.

より理解しやすくするために、本発明の特徴及び技術的利点を本発明の詳細な記載により、これまで、説明してきた。本発明の請求項の主要部を形成する本発明の付加的な特徴及び利点は、以下に記載する。   For the sake of better understanding, the features and technical advantages of the present invention have been described above in the detailed description of the present invention. Additional features and advantages of the invention will be described hereinafter that form the subject of the claims of the invention.

本発明のより完全な理解のために、本発明の利点は、添付の図面とともに、以下に記載により参照される。
以下の記載において、本発明の完全な理解を与えるために、特定の処理値またはパラメータ等の種々の具体的な細部について説明する。しかし、本発明は、当業者であれば、このような具体的な説明なしで、実施できることが明らかである。他の実例において、本発明を不明瞭としないために、公知の構成要素をブロック図の形式で示している。大部分について、特定の半導体製品の利用及び同等物に関する詳細は、本発明の完全な理解を得るために必要でない、また、関連技術において通常の知識を有する者の技術範囲にある限りは、省略されている。
For a more complete understanding of the present invention, advantages of the present invention will be referred to by the following description in conjunction with the accompanying drawings.
In the following description, numerous specific details are set forth, such as specific process values or parameters, in order to provide a thorough understanding of the present invention. However, it will be apparent to those skilled in the art that the present invention may be practiced without such specific details. In other instances, well-known components are shown in block diagram form in order to avoid obscuring the present invention. For the most part, details regarding the use of specific semiconductor products and equivalents are not necessary to obtain a thorough understanding of the present invention and are to the extent that they are within the skill of a person with ordinary skill in the relevant art. Has been.

図面に関して、図示された構成要素は、必ずしも寸法通りではないが、同一のまたは同等の構成要素は、いくつかの図面において、同一の参照符号を付記している。
図1は、プラズマアプリケータ101、処理室102、及び高速ウエハ走査ステージ103の概略図を示す。プラズマアプリケータ101は、半導体処理ツールの室壁104上に取り付けることができ、副大気環境を形成する処理室102を含む。この室では、ウエハまたは他の加工物を処理し、その表面層の除去がなされる。電磁力供給源は、導波管111の高さを減少した部分に設けた薄い壁のカップリング開口110を介して、プラズマ放電管106に出力105を供給する。1つの実施形態では、2.45GHzのマイクロ波出力が、6mm径の石英プラズマ放電管に印加される。表面波は、プラズマ放電管106とプラズマ108との間の境界に沿って両方向に進むので、処理ガス114の流れ112は、導波管111の上流側で電荷を抑制する。ダウンストリームの表面波に接するこの同一の流れ112は、プラズマ放電管106に取り付けられたベースフランジ118におけるノズル開口119から現れるプラズマジェット108を発生させる。この状況において、プラズマジェットは、プラズマアプリケータ101から現れる加圧されたプラズマガスの流れとなる。一例では、プラズマジェットは、半導体ウエハ上に衝突するために活性化した処理ガスから2mm離れさせる。他の例では、ウエハは、プラズマジェットから約20mm程度離れている。
Referring to the drawings, the illustrated components are not necessarily to scale, but identical or equivalent components are provided with the same reference signs in the several drawings.
FIG. 1 shows a schematic diagram of a plasma applicator 101, a processing chamber 102, and a high-speed wafer scanning stage 103. The plasma applicator 101 can be mounted on a chamber wall 104 of a semiconductor processing tool and includes a processing chamber 102 that forms a secondary atmospheric environment. In this chamber, the wafer or other workpiece is processed and the surface layer is removed. The electromagnetic force supply source supplies an output 105 to the plasma discharge tube 106 through a thin-walled coupling opening 110 provided in a portion where the height of the waveguide 111 is reduced. In one embodiment, a microwave output of 2.45 GHz is applied to a 6 mm diameter quartz plasma discharge tube. Since the surface wave travels in both directions along the boundary between the plasma discharge tube 106 and the plasma 108, the flow 112 of the process gas 114 suppresses charges upstream of the waveguide 111. This same flow 112 in contact with the downstream surface wave generates a plasma jet 108 that emerges from a nozzle opening 119 in a base flange 118 attached to the plasma discharge tube 106. In this situation, the plasma jet becomes a flow of pressurized plasma gas that emerges from the plasma applicator 101. In one example, the plasma jet is 2 mm away from the activated process gas for impinging on the semiconductor wafer. In another example, the wafer is about 20 mm away from the plasma jet.

ウエハの高速走査ステージは、ウエハをクランプするウエハ保持体を有するチャック130を含む。このウエハ保持体は、真空、室圧力、又は静電気的な力を作動させることができる。ウエハ保持体は、ウエハに対して所望の接触熱伝導の程度に従って、ウエハを熱伝導性または絶縁性の材料に接触させることができる。一例では、絶縁材料層は、接触熱伝導を減少させるために、ウエハとウエハ保持体との間に導かれ、その結果、熱の発散を抑えることによって、ウエハ温度を低下させる。逆に、ある例では、導電材料層は、接触熱伝導率を増加させるために、ウエハとウエハ保持体との間に導かれ、その結果、熱の発散を増大させることによって、ウエハ温度を上昇させる。さらに、チャック130は、ウエハを加熱するために、カップリング133を介して電源に接続することができ、また、ウエハを冷却するために、カップリング133を介して水等の冷却供給源に接続することもできる。また、このチャックは、チャック温度を監視するために、カップリング135を介してサーモカップルセンサまたは他の温度センサを備えることができる。   The high speed wafer scanning stage includes a chuck 130 having a wafer holder for clamping the wafer. The wafer holder can be actuated by vacuum, chamber pressure, or electrostatic force. The wafer holder can bring the wafer into contact with a thermally conductive or insulating material according to the desired degree of contact heat conduction to the wafer. In one example, the insulating material layer is directed between the wafer and the wafer holder to reduce contact heat conduction, thereby reducing the wafer temperature by reducing heat dissipation. Conversely, in one example, the conductive material layer is guided between the wafer and the wafer holder to increase contact thermal conductivity, thereby increasing the wafer temperature by increasing heat dissipation. Let Further, the chuck 130 can be connected to a power source via the coupling 133 to heat the wafer, and can be connected to a cooling supply source such as water via the coupling 133 to cool the wafer. You can also The chuck can also include a thermocouple sensor or other temperature sensor through the coupling 135 to monitor the chuck temperature.

チャック及びウエハ保持体は、ウエハを走査するための機械的な位置決めシステム上に取り付けられる。これに関して、ウエハの走査は、ウエハの領域をプラズマ処理に曝すように、プラズマジェットによって衝突される間、ウエハを動的に位置決めることに対応する。走査動作によるプラズマの放射は、ウエハの全領域にわたって均一にされ、または、プラズマに曝すレベルを異にするために、選択的にウエハの処理部分を含むことができる。   The chuck and wafer holder are mounted on a mechanical positioning system for scanning the wafer. In this regard, scanning the wafer corresponds to dynamically positioning the wafer while it is struck by the plasma jet to expose the area of the wafer to plasma processing. The plasma emission from the scanning operation can be made uniform over the entire area of the wafer, or can optionally include a processing portion of the wafer to vary the level of exposure to the plasma.

図1において、二重軸直交位置決めシステムは、x軸リニアドライブ136とy軸リニアドライブ134とを含み、例示的な実施形態が図示されている。本発明では、ラジアルリニアドライブ上に取付られた、回転軸を有する極座標装置等の機械的な位置決め用の他の構造体を用いることもできる。一例としては、機械的な位置決めシステムは、モータ駆動の2つの直交する搬送ステージを含み、この搬送ステージは、重力加速度の2.5倍を越える加速度を有し、かつ100cm/sより大きな速度で走査することができる。印刷一つの実例では、本発明は、コンピュータ制御に基づいて走査パターンを実行することができ、その結果、ウエハの各点はプラズマジェットの範囲内を通過し、この範囲は、あるエッチングされた行路のプラズマジェットにおける横方向幅の最大値の約半分に等しい径を有する。特定の例では、本発明は、コンピュータ制御の下に、ウエハのエッジ上で低い走査速度を与える走査パターンを実行して、ウエハ温度を増加させ、かつエッジ効果により減少したエッチング率を補償する。   In FIG. 1, the dual-axis orthogonal positioning system includes an x-axis linear drive 136 and a y-axis linear drive 134, and an exemplary embodiment is illustrated. In the present invention, other structures for mechanical positioning, such as a polar coordinate device having a rotation axis, mounted on a radial linear drive may be used. As an example, the mechanical positioning system includes two orthogonally driven stages driven by motors, which have an acceleration exceeding 2.5 times the gravitational acceleration and at a speed greater than 100 cm / s. Can be scanned. In one printing example, the present invention can perform a scan pattern based on computer control so that each point on the wafer passes within the plasma jet, which is an etched path. Having a diameter equal to about half of the maximum transverse width of the plasma jet. In a particular example, the present invention performs a scan pattern that provides a low scan speed on the edge of the wafer under computer control to increase the wafer temperature and compensate for the reduced etch rate due to edge effects.

本発明は、ガス状の冷却剤を用いる冷却システムを用いる。プラズマガス114に対向する方向113に流れる高速ガスが、プラズマ放出管を冷却するために使用される。これにより、より高い電力損失でプラズマアプリケータ101の動作が可能になる。一例では、同軸外管116によって閉じ込められた乾燥空気又は窒素冷却ガスは、プラズマ放出管106を冷却する。   The present invention uses a cooling system that uses a gaseous coolant. A high speed gas flowing in the direction 113 facing the plasma gas 114 is used to cool the plasma discharge tube. Thereby, the operation of the plasma applicator 101 becomes possible with higher power loss. In one example, dry air or nitrogen cooling gas confined by the coaxial outer tube 116 cools the plasma emission tube 106.

図1に示すように、プラズマ放出管106は、アプリケータ本体に取り付けることを容易にするため、一体ベースフランジ118を含んでいる。このベースフランジ118の重要な機能は、プラズマ放出管106が極端に熱くなるプラズマ放出管106のごく近くからOリングシール140を離すことである。このOリングシール140は、比較的低い融点を有し、過渡の温度負荷によって容易に破壊される。プラズマ放出管106の下流側に間接的に接触するOリング140は、必ず溶けるであろう。本発明の冷却システムの構造及び設計は、ベースフランジ118にわたって十分な温度勾配を有している。その結果、ベースフランジ118の中央ノズル119から現れるホットプラズマによって、ベースフランジ118のエッジ上でOリングシール140の変質が生じることがない。   As shown in FIG. 1, the plasma emission tube 106 includes an integral base flange 118 to facilitate attachment to the applicator body. An important function of this base flange 118 is to separate the O-ring seal 140 from the immediate vicinity of the plasma emission tube 106 where the plasma emission tube 106 becomes extremely hot. The O-ring seal 140 has a relatively low melting point and is easily broken by transient temperature loads. The O-ring 140 that indirectly contacts the downstream side of the plasma discharge tube 106 will surely melt. The structure and design of the cooling system of the present invention has a sufficient temperature gradient across the base flange 118. As a result, the hot plasma that emerges from the central nozzle 119 of the base flange 118 does not cause alteration of the O-ring seal 140 on the edge of the base flange 118.

一例では、アルミニウムのスペーサ142が、プラズマ放出管のフランジ118と、冷却外管116上の対応する冷却フランジ117とを分離する。図1に示す本発明の実施形態では、冷却システムは、同心、円形の同軸断面形状となっている。冷却導管によって取り囲まれたプラズマ放出管の他の断面形状は、例えば、本発明の範囲内で、矩形、四角形、楕円、又は偏心構造が可能である。種々の冷却システムに使用する液体またはガス状冷却剤は、本発明の電力体系において実施できるように、同一の冷却性能を与えており、また、本発明の実施形態に包含されるものである。   In one example, an aluminum spacer 142 separates the plasma discharge tube flange 118 and the corresponding cooling flange 117 on the cooling outer tube 116. In the embodiment of the present invention shown in FIG. 1, the cooling system has a concentric, circular coaxial cross-sectional shape. Other cross-sectional shapes of the plasma emission tube surrounded by the cooling conduit can be, for example, rectangular, square, elliptical, or eccentric structures within the scope of the present invention. The liquid or gaseous coolant used in the various cooling systems provides the same cooling performance so that it can be implemented in the power system of the present invention and is included in the embodiments of the present invention.

本発明は、さらに、処理室内の電磁気力の漏れをなくすために、内管のフランジの下側に組み込まれたトラップ120を含む。一例では、マイクロ波トラップに基づく(1/4)λ変圧器が用いられる。ガス状冷却剤は、プラズマ放出管106に向けて、トラップ120の下側表面に設けた通路を介して半径方向内側に流れ、そして、プラズマ放出管106プラズマ放出管と冷却外管116との間の狭い空間105に入る。冷却ガスの速度は、この領域に入ると、流れの断面積が減少するので、実質的に増加する。その結果、プラズマ放出管106の冷却が高められ、特に、導波管110内のホットゾーンで極端に高くなる。1つの実施形態では、プラズマ放出管106と冷却導管との間の1mmのギャップ幅は、マッハ1に近い冷却ガス速度となる。これにより、2.5kW近くの高いマイクロ波出力レベルが、連続して維持される。オイルベースの冷却システムに対比して、本発明の空気冷却は、プラズマ放出管上にとどまらないし、高出力レベルでのプラズマジェットを連続して続けた後でも、プラズマ放出管に損傷を与えることがない。   The present invention further includes a trap 120 incorporated under the flange of the inner tube to eliminate electromagnetic force leakage in the process chamber. In one example, a (1/4) λ transformer based on a microwave trap is used. The gaseous coolant flows radially inward toward the plasma discharge tube 106 via a passage provided on the lower surface of the trap 120 and between the plasma discharge tube 106 and the cooling outer tube 116. Enter the narrow space 105. As the cooling gas velocity enters this region, it substantially increases as the flow cross-sectional area decreases. As a result, the cooling of the plasma emission tube 106 is enhanced and becomes extremely high particularly in the hot zone in the waveguide 110. In one embodiment, a 1 mm gap width between the plasma discharge tube 106 and the cooling conduit results in a cooling gas velocity close to Mach 1. Thereby, a high microwave output level close to 2.5 kW is continuously maintained. In contrast to oil-based cooling systems, the air cooling of the present invention does not stay on the plasma emission tube, and can damage the plasma emission tube even after continuing the plasma jet at a high power level continuously. Absent.

図2は、本発明の実施形態におけるプラズマ除去システムの動作示す写真である。目に見えるプラズマジェットは、約20cmの長さで、光っている。図2に図示する例示に用いられる処理ガスは、反応性の酸素対窒素の比が約9:1の混合物であり、約80トルの圧力が約2slpm [(リットル)/min]の流速で供給された。電磁放射出力は、約1kWである。   FIG. 2 is a photograph showing the operation of the plasma removal system in the embodiment of the present invention. The visible plasma jet is about 20 cm long and glows. The process gas used in the example illustrated in FIG. 2 is a mixture having a reactive oxygen to nitrogen ratio of about 9: 1 and a pressure of about 80 Torr is supplied at a flow rate of about 2 slpm [(liter) / min]. It was done. The electromagnetic radiation output is about 1 kW.

プラズマジェットの熱出力は、局所的にウエハを加熱する能力を与え、その結果、有機表面層のエッチング反応を促進する反応種を同時に供給しながら、反応速度を増加させることによってエッチング反応を増大させる。衝突するプラズマジェットから基板に配給される全体の熱出力Pは、以下の式によってプラズマジェットの下で配置された、熱的に絶縁されたアルミニウムブロックの時間t、dT/dtに対する温度Tの上昇率を測定することによって決定される。   The thermal power of the plasma jet provides the ability to locally heat the wafer, thereby increasing the etching reaction by increasing the reaction rate while simultaneously supplying reactive species that accelerate the organic surface layer etching reaction. . The total heat output P delivered to the substrate from the impinging plasma jet is the rise in temperature T with respect to time t, dT / dt of a thermally insulated aluminum block placed under the plasma jet according to the following equation: Determined by measuring rate.

P=CρV(dT/dt)
ここで、熱容量は、C=0.9J/K.g、密度は、ρ=2.7g/cm3、容積は、V=104.04cm3である。これらの測定結果は、図3のデータ点に示されており、図3は、熱的に絶縁されたアルミニウムブロックに対して時間に対する温度の変化を示す。この例では、ブロックは、酸素対窒素の比が約9:1の反応性ガスによって加熱される。この反応性ガスは、アルミニウムブロックから3cmの距離で衝突するプラズマジェットを作り出すために加えられるマイクロ波出力1.8kWの下で、圧力80トル、流速3slpmで供給される。そして、アルミニウムブロックは、25℃の初期温度を示した。図3のグラフは、温度と時間の間の曲線関係を示し、ここで、dT/dtは、ラインの傾斜である。この例では、全体の熱出力は、P=312W である。この測定値は、本発明の熱的性能が向上したことを確かめるために、異なるマイクロ波出力、ガス組成物、および基板距離で繰り返し測定される。
P = CρV (dT / dt)
Here, heat capacity, C = 0.9J / K.g, density, ρ = 2.7g / cm 3, the volume is V = 104.04cm 3. These measurement results are shown in the data points of FIG. 3, which shows the change in temperature over time for a thermally isolated aluminum block. In this example, the block is heated by a reactive gas having an oxygen to nitrogen ratio of about 9: 1. This reactive gas is supplied at a pressure of 80 torr and a flow rate of 3 slpm under a microwave power of 1.8 kW applied to create a plasma jet impinging at a distance of 3 cm from the aluminum block. The aluminum block exhibited an initial temperature of 25 ° C. The graph of FIG. 3 shows the curve relationship between temperature and time, where dT / dt is the slope of the line. In this example, the overall heat output is P = 312W. This measurement is repeated at different microwave powers, gas compositions, and substrate distances to confirm that the thermal performance of the present invention has been improved.

図4は、図3に示す例に対する別の同一処理条件の下で、負荷されたマイクロ波出力に対するプラズマジェット出力の測定データ点を示している。図4に示すように、プラズマジェット出力は、マイクロ波出力に対してリニアである。この例では、目標物(半導体ウエハ)が、ノズル開口119から測定して、それぞれプラズマ源から0.9cm及び2.9cm離れているとき、変換効率は、測定データ点の線形補間の傾斜によって測定されるように、約19%及び21%である。   FIG. 4 shows measurement data points for plasma jet power versus loaded microwave power under another identical processing condition for the example shown in FIG. As shown in FIG. 4, the plasma jet output is linear with respect to the microwave output. In this example, when the target (semiconductor wafer) is measured from the nozzle opening 119 and is 0.9 cm and 2.9 cm away from the plasma source, respectively, the conversion efficiency is measured by the slope of the linear interpolation of the measurement data points. As such, it is about 19% and 21%.

図5は、図3に示す例に対する別の同一処理条件の下で、プラズマ源からの距離に対するジェット出力の測定データ点を示している。図5に示すように、プラズマ源からの距離が約1cmから約5cmに増加するに従って、プラズマジェット出力は減少する。これは、プラズマジェットが処理室102内の大気温度ガスと混合することによって冷却されるからである。   FIG. 5 shows the measured data points of the jet power versus the distance from the plasma source under another identical processing condition for the example shown in FIG. As shown in FIG. 5, as the distance from the plasma source increases from about 1 cm to about 5 cm, the plasma jet power decreases. This is because the plasma jet is cooled by mixing with the atmospheric temperature gas in the processing chamber 102.

図6は、図3に示す例に対する別の同一処理条件の下で、プラズマ源から目標物が2.9のとき、O2濃度に対するプラズマジェット出力の測定データ点を示している。図6は、O2濃度が約20%から約90%mまでに対して、プラズマジェット出力が比較的一定であることを示している。この結果は、プラズマジェット出力が、酸素ガス/窒素ガス混合物に本質的に無関係であることを示している。   FIG. 6 shows measurement data points for plasma jet output versus O2 concentration when the target from the plasma source is 2.9 under the same processing conditions for the example shown in FIG. FIG. 6 shows that the plasma jet output is relatively constant for O2 concentrations from about 20% to about 90% m. This result indicates that the plasma jet power is essentially independent of the oxygen gas / nitrogen gas mixture.

図7は、ウエハとチャックとの間の空間に対する接触熱伝導率の測定データ点を示している。プラズマジェットによって走査される間の動的条件下で、ウエハ温度を制御するための能力は、アッシング処理を成功させることができるかを決定する。ウエハ温度を制御することは、ウエハとチャックとの間の熱接触伝導率(k)によって抑制される。kに関する値は、一定の温度を有するチャックに取付けられたアルミニウムブロックの定常状態温度を決定することによって、ウエハとチャックとの間の種々のギャップに対して測定された。ブロックとチャックとの間の空間は、薄いマイカ製スペーサを用いて維持される。このkは、次式によって与えられる。   FIG. 7 shows measured data points for contact thermal conductivity for the space between the wafer and the chuck. Under dynamic conditions while being scanned by the plasma jet, the ability to control the wafer temperature determines whether the ashing process can be successful. Controlling the wafer temperature is suppressed by the thermal contact conductivity (k) between the wafer and the chuck. The value for k was measured for various gaps between the wafer and the chuck by determining the steady state temperature of the aluminum block attached to the chuck having a constant temperature. The space between the block and the chuck is maintained using thin mica spacers. This k is given by the following equation.

k=A(T−To)/P
ここで、Aは、ブロックとチャックとの間の接触面積、Toは、チャック温度、Pは、出力である。熱伝導率に対する測定値は、チャックとブロックが密着し、他の得られた測定値と十分一致しているとき、k=55mW/cm2Kであることが分かった。図8に示されるように、ウエハとチャックとの間のギャップが増加するに従って、熱伝導率が減少する。チャックとウエハとの間の熱伝導に対する時定数τは、次式で与えられる。
k = A (T-To) / P
Here, A is the contact area between the block and the chuck, To is the chuck temperature, and P is the output. The measured value for thermal conductivity was found to be k = 55 mW / cm 2 K when the chuck and block were in close contact and were in good agreement with the other measured values. As shown in FIG. 8, the thermal conductivity decreases as the gap between the wafer and the chuck increases. A time constant τ for heat conduction between the chuck and the wafer is given by the following equation.

τ=C/k
ここで、Cは、単位面積あたりのウエハの熱容量である。この時定数は、300mmシリコンウエハがチャックと密接する場合において、約2秒であり、0.01インチのギャップに対して約10秒だけ増加する。接触熱伝導率の変動及びそれに伴う時定数は、正確なギャップ制御を必要とし、チャック上のウエハの静電的又は真空クランプの必要を伴う。こうして、本発明の他の利点は、従来の低圧力システムを越える中程度の圧力範囲で作動可能であり、静電クランプを必要とする代わりにチャック上にウエハの真空クランプを用いることができる。
τ = C / k
Here, C is the heat capacity of the wafer per unit area. This time constant is about 2 seconds when the 300 mm silicon wafer is in intimate contact with the chuck and increases by about 10 seconds for a 0.01 inch gap. Variations in contact thermal conductivity and associated time constants require precise gap control, necessitating the need for electrostatic or vacuum clamping of the wafer on the chuck. Thus, another advantage of the present invention is that it can operate at moderate pressure ranges over conventional low pressure systems, and a wafer vacuum clamp on the chuck can be used instead of requiring an electrostatic clamp.

レジストを完全に取り除くために、図8に示すように、ウエハは、蛇行性ラスターパターン1014で走査される。図8において、x軸1010に沿うライン走査1014は、半導体ウエハ1016に対して、短い変位の間に、即ち、y軸1012に沿うトラック間隔で、両方向に行ったり来たりする。このようなパターンのトラック間隔は、プラズマジェットの径よりも小さく、ウエハを横切る均一なエッチングプロフィールを与える。本発明の1つの実施形態では、トラック間隔は、0.7cmに設定された。0.7cmのトラック間隔を用いて、トラック間のエッチング深さの変動は、トラック間の中心及び中間点で、処理のレジスト厚さの2%より小さい。   To completely remove the resist, the wafer is scanned with a serpentine raster pattern 1014 as shown in FIG. In FIG. 8, the line scan 1014 along the x-axis 1010 moves back and forth in both directions with respect to the semiconductor wafer 1016 during a short displacement, that is, with a track interval along the y-axis 1012. The track spacing of such a pattern is smaller than the diameter of the plasma jet and provides a uniform etching profile across the wafer. In one embodiment of the invention, the track spacing was set to 0.7 cm. With a track spacing of 0.7 cm, the variation in etch depth between tracks is less than 2% of the resist thickness of the process at the center and midpoint between tracks.

本発明の実施形態におけるプラズマジェット1521の走査を用いて、フォトレジストを除去することを含む熱処理は、図9に示されている。このプラズマジェット1521が、半導体ウエハ基板1530上で走査され、有機レジスト1531の表面層上に被膜される。ウエハが高速度でx軸方向1511に走査されると、プラズマジェット1521が、ウエハ1530の方向1523に、中圧力下で、高エネルギーのプラズマ源から出現し、熱せられたトラック1522を作り出す。このトラックは、熱伝導を介して側面から冷却され、かつ、ウエハホルダ、すなわち、チャックに対して接触熱伝導を介して垂直に冷却される。関連した熱流束F横方向1524とF縦方向1526は、横方向の熱の流れと垂直方向の熱伝導のそれぞれに対応する。 A heat treatment that includes removing the photoresist using scanning of the plasma jet 1521 in an embodiment of the present invention is shown in FIG. The plasma jet 1521 is scanned on the semiconductor wafer substrate 1530 and coated on the surface layer of the organic resist 1531. As the wafer is scanned in the x-axis direction 1511 at high speed, a plasma jet 1521 emerges from the high energy plasma source in the direction 1523 of the wafer 1530 under medium pressure to create a heated track 1522. The track is cooled from the side via heat conduction and is cooled perpendicularly via contact heat conduction to the wafer holder, i.e. chuck. The associated heat flux F transverse direction 1524 and F longitudinal direction 1526 correspond to lateral heat flow and vertical heat conduction, respectively.

チャックは、ウエハ上のレジストエッチング速度を増加するために加熱される。また、チャックは、プラズマジェットによって与えられた過渡の熱量を消散することができる。プラズマジェットの熱は、ウエハを介して急速に拡散され、その拡散長さは、プラズマジェットのドウエル時間に対応し、最も高速の走査速度に対するウエハの厚さよりも大きくなる。本発明の1つの例では、加熱されたゾーンの幅を約50%だけ増加させながら、横方向の拡散長さは、約0.2〜0.4秒のトラック走査時間中に、わずか0.5cmである。この結果、第1の概算では、高速走査は、ラインヒータの熱力学的平衡として理解でき、このラインヒータは、高速走査方向に対して垂直なy方向1510に、ウエハを横切って移動する。1つの例では、垂直方向の熱の流れは、シリコン基板に対して2〜10秒の時定数でゆっくりと処理され、単一トラックを走査するために必要な時間内では、無視してもよい。しかし、垂直方向の熱の流れは、いくつかのトラックが走査された後、重要な温度要因となる。   The chuck is heated to increase the resist etch rate on the wafer. Also, the chuck can dissipate transient heat given by the plasma jet. The heat of the plasma jet is rapidly diffused through the wafer, and the diffusion length corresponds to the dwell time of the plasma jet and is greater than the wafer thickness for the fastest scanning speed. In one example of the present invention, while increasing the width of the heated zone by about 50%, the lateral diffusion length is only 0. 0 during a track scan time of about 0.2-0.4 seconds. 5 cm. As a result, in a first approximation, fast scan can be understood as a thermodynamic equilibrium of the line heater, which moves across the wafer in the y direction 1510 perpendicular to the fast scan direction. In one example, the vertical heat flow is slowly processed with a time constant of 2-10 seconds relative to the silicon substrate and may be ignored within the time required to scan a single track. . However, the vertical heat flow becomes an important temperature factor after several tracks are scanned.

ジェット出力、操作速度、及び垂直方向の熱の流れの間のバランスにより、特定のアッシング処理の効率が決定される。処理能力を最大化するために、本発明の実施形態は、プラズマジェットを活動させる高レベルの電磁気力を用いて作動させ、より高速のエッチング速度に変換させる。また、出力を増加させて、プラズマ内の反応性ガスの発生を最大にして、レジストとエッチングガスとの間のアッシング反応を活発にするための熱量を与える。   The balance between jet power, operating speed, and vertical heat flow determines the efficiency of a particular ashing process. In order to maximize throughput, embodiments of the present invention are operated with a high level of electromagnetic force that activates the plasma jet and translates to a higher etch rate. In addition, the output is increased to maximize the generation of reactive gas in the plasma and to provide heat for activating the ashing reaction between the resist and the etching gas.

イオン注入されたレジストの場合、初期のチャック温度は、レジストのハードベイク温度以下に設定される。1つの例では、初期のチャック温度は、レジストのハードベイク温度の約125℃より約10度低く設定される。このレジストは、この温度で安定し、ポッピングは生じない。ウエハとチャックとの間の接触熱伝導は、最大化され、例えば、ヘリウムの背面冷却により、所定の入力密度に対してウエハ温度を最小化させる。最後に、走査速度が増加されて、ウエハ内の効果的な電力密度を減少させ、ウエハが走査される場所において、ウエハをポッピングなしで走査させることができる。必要とされる速度は、1m/sよりもかなり大きい。走査手順が進むと、ウエハ温度は、次第に上昇し、そして、走査するプラズマジェットは、ベースレジストから開放されたガスが透過する表面を作りながら、注入されたフォトレジストの硬い表面に小さい孔を形成する。一旦、透過性が達成されると、走査速度を減少させること、またはウエハホルダーとチャックとの間の接触熱伝導を減少させることによって、温度を上昇させることができる。これにより、ウエハホルダーを介して消散される熱量を減少させる。フォトレジスト表面を通過するための前走査処理の結果、第2の走査動作中にウエハ表面からレジストを急速に取り除くことができる。   In the case of an ion-implanted resist, the initial chuck temperature is set below the hard baking temperature of the resist. In one example, the initial chuck temperature is set about 10 degrees below the resist hard bake temperature of about 125 ° C. The resist is stable at this temperature and no popping occurs. Contact heat transfer between the wafer and the chuck is maximized, for example, helium backside cooling minimizes the wafer temperature for a given input density. Finally, the scanning speed can be increased to reduce the effective power density in the wafer and allow the wafer to be scanned without popping where the wafer is scanned. The required speed is much greater than 1 m / s. As the scanning procedure progresses, the wafer temperature gradually increases, and the scanning plasma jet creates small holes in the hard surface of the implanted photoresist, creating a surface through which the gas released from the base resist is transmitted. To do. Once transparency is achieved, the temperature can be increased by reducing the scanning speed or by reducing the contact heat transfer between the wafer holder and the chuck. This reduces the amount of heat dissipated through the wafer holder. As a result of the pre-scan process for passing through the photoresist surface, the resist can be rapidly removed from the wafer surface during the second scanning operation.

注入されないレジストをエッチングすることは、より少ない熱的制限を含む。すなわち、初期のチャック温度は、一例では200〜350℃程度高くなり、接触熱伝導率及び走査速度は、より低く設定することができ、より高いウエハ温度及びより高いエッチング速度を導く。注入されないレジストの場合、接触熱伝導は、かなり減じることができる。一例では、ウエハは、1インチの数万分の1だけ、チャックから持ち上げられる。   Etching unimplanted resist involves fewer thermal limitations. That is, the initial chuck temperature is increased by about 200 to 350 ° C. in one example, and the contact thermal conductivity and scanning speed can be set lower, leading to higher wafer temperature and higher etching rate. For resists that are not implanted, contact thermal conduction can be significantly reduced. In one example, the wafer is lifted from the chuck by a few tenths of an inch.

上記の結果、高ドーズ量でイオン注入されたフォトレジストのアッシングは、2段階処理として起こり、この処理では、レジスト表面が低い温度での前処理によって透過性となり、続いて、高い温度でのレジスト除去処理が行われる。この前処理は、レジストのベイク(bake)温度より低いチャック温度、例えば、120℃で行われる。この比較的低い温度は、レジスト表面がレジストの熱分解によって生まれたガスにより破壊されるとき、微粒子の放出を妨げるために必要とされる。この場合、炭化した表面は、除去/破裂されない。また、この処理は、ポッピング(popping)として知られている。本発明の前処理走査によって、フォトレジスト表面が、ガスに対して透過性を有するようになると、ウエハの温度は、レジスト除去の比率を高めるように、安全に上昇する。測定値は、シリコンウエハからの、注入された(P、40Kev、5×1015/cm2)Iラインのフォトレジストの走査されたプラズマジェットアッシングにおいて、パラメータの条件及びレジスト除去を確定する。 As a result of the above, ashing of photoresist ion-implanted at a high dose occurs as a two-step process in which the resist surface is rendered permeable by pre-treatment at a low temperature, followed by a resist at a high temperature. A removal process is performed. This pretreatment is performed at a chuck temperature lower than the resist bake temperature, for example, 120 ° C. This relatively low temperature is required to prevent the release of particulates when the resist surface is destroyed by a gas generated by the thermal decomposition of the resist. In this case, the carbonized surface is not removed / ruptured. This process is also known as popping. When the pre-process scan of the present invention makes the photoresist surface permeable to gas, the wafer temperature is safely increased to increase the resist removal rate. The measurements establish parameter conditions and resist removal in a scanned plasma jet ashing of implanted (P, 40 Kev, 5 × 10 15 / cm 2 ) I-line photoresist from a silicon wafer.

図10〜17は、試料の半導体ウエハの写真であり、これは、本発明の実施形態によって処理されたフォトレジストの表面層を示している。図10は、1KWかつ15cm/sのプラズマジェットの熱によって形成されたガス充填されたブリスターのネットワークを示す。図11は、基板が切断されたときの破砕されたブリスターが示され、この充填されたガスの圧力により、下に横たわる注入されないレジストベースから硬い表面が薄い層に裂ける。この例では、ブリスターの高さは、元のレジスト厚さの3〜4倍である。このプリスト効果は、ポッピング動作に明瞭ではないが関係しており、これにより、表面の大きいプレートが表面から吹き飛ばされる。しかし、ブリスター形成は、この場合受け入れられるものであり、これにより、微粒子の破片は発生しない。一旦、ブリスターが形成されると、表面から基板への熱伝導が劇的に減少し、この温度は、基板の温度より数100℃以上、上昇する。層間剥離により局所化した高温度は、図12に示すように、表面のエッチングを加速する。これらの開口が表面1901に現れると、ベースレジストは、プラズマジェットに曝され、横方向エッチング1902、図13に示すように、が表面の下側に起こる。図14は、その後のエッチング段階を示し、ここで、表面1211の大部分は、取り除かれ、そしてブリスター2010が統合される。   10-17 are photographs of a sample semiconductor wafer, which shows the surface layer of the photoresist processed according to an embodiment of the present invention. FIG. 10 shows a network of gas filled blisters formed by the heat of a plasma jet of 1 KW and 15 cm / s. FIG. 11 shows a crushed blister when the substrate is cut, and the pressure of this filled gas splits the hard surface from the underlying unimplanted resist base into a thin layer. In this example, the blister height is 3-4 times the original resist thickness. This presto effect is related to the popping operation, although it is not obvious, so that a plate with a large surface is blown off the surface. However, blister formation is acceptable in this case, so that no fine particle fragments are generated. Once the blister is formed, the heat transfer from the surface to the substrate is dramatically reduced, and this temperature rises several hundred degrees Celsius or more above the temperature of the substrate. The high temperature localized by delamination accelerates surface etching, as shown in FIG. When these openings appear on the surface 1901, the base resist is exposed to a plasma jet and lateral etching 1902, as shown in FIG. 13, occurs below the surface. FIG. 14 shows the subsequent etching step, where most of the surface 1211 is removed and the blister 2010 is integrated.

本発明の実施形態を用いて、材料除去の処理能力を最大化するために、プラズマジェットは、印加される最大可能な電磁力で作動される。前処理段階でポッピングを防止するために、過渡の温度上昇を防止するのに十分な速さでプラズマジェットを走査する必要がある。1つの例示では、多重の前処理走査が、レジスト除去ステップ中にポッピングを防止するために、十分透過性を達成することを必要とする。本発明の1つの実施形態では、レジストは、基板の温度の変化なしで、50〜100cm/s程度の速度で単一の走査により完全に除去することができる。処理パラメータに対する他の設定値は、異なるが関連した、本発明の実施形態において、同様の結果を達成するのに用いることができる。   Using embodiments of the present invention, the plasma jet is operated with the maximum possible electromagnetic force applied to maximize the material removal throughput. In order to prevent popping in the pre-processing stage, it is necessary to scan the plasma jet fast enough to prevent transient temperature increases. In one illustration, multiple pre-processing scans need to achieve sufficient transparency to prevent popping during the resist removal step. In one embodiment of the present invention, the resist can be completely removed by a single scan at a rate on the order of 50-100 cm / s without changes in the temperature of the substrate. Other settings for process parameters can be used to achieve similar results in different but related embodiments of the invention.

ブリスター形成に加えて他の処理が、イオン注入されたフォトレジストにおける表面の透過性を導く。図15は、例えば、パラメータ処理の初期段階中に発展する網状のレジスト表面を示している。この表面は、前処理ステップの最終段階において、図16に示すように、透過性を有する。   In addition to blister formation, other processes lead to surface transparency in the ion implanted photoresist. FIG. 15 shows, for example, a reticulated resist surface that develops during the initial stages of parameter processing. This surface is permeable as shown in FIG. 16 in the final stage of the pretreatment step.

本発明を実施するための例示的な実施形態において、多重の前処理走査(マイクロ波出力=2.15KW、基板温度=100℃、走査速度=105cm/s、O2:N2=9:1、流量=3slpm、圧力80T)を用いて、注入されたIラインフォトレジスト(1.2ミクロンのIラインベースレジスト、120℃のハードベイク処理、そして、40keVのエネルギーでリンを注入した、また、5×1015/cm2の高い注入密度)に対して、最適な処理が加えられた。この前処理は、次に、2.5KW、40cm/sで、レジスト除去走査が行われ、(一方、他の条件が前処理と同様に維持された。)全ての表面及びベースのレジストは、ウエハから取り除かれ、また、図17から明らかなように、走査用電子マイクロスコープで見るように、残留物がない。 In an exemplary embodiment for practicing the invention, multiple pre-processing scans (microwave power = 2.15 KW, substrate temperature = 100 ° C., scan rate = 105 cm / s, O 2: N 2 = 9: 1, flow rate. = 3 slpm, pressure 80T), implanted I-line photoresist (1.2 micron I-line base resist, 120 ° C. hard bake, and phosphorus implanted at 40 keV energy, 5 × Optimal treatment was applied for a high injection density of 10 15 / cm 2 . This pretreatment was then followed by a resist removal scan at 2.5 KW, 40 cm / s (while other conditions were maintained as in the pretreatment). All surface and base resists were: It is removed from the wafer and, as is apparent from FIG. 17, there is no residue as seen with a scanning electronic microscope.

図18は、フローチャート形式において、本発明を実施するための方法2401を示している。この方法は、図1に図示するように、ウエハをプラズマアプリケータ装置を備えた処理室に導くステップ2402から開始する。低温度で、プラズマが予め点火されている場合、プラズマが周囲圧力で作動しながら、ステップ2402が実行される。   FIG. 18 illustrates, in flowchart form, a method 2401 for implementing the present invention. The method begins at step 2402, which leads the wafer to a processing chamber equipped with a plasma applicator device, as illustrated in FIG. If the plasma is pre-ignited at low temperature, step 2402 is performed while the plasma is operating at ambient pressure.

ステップ2404では、ウエハとウエハホルダーとの間のクランプインターフェースが、高または低い熱伝導のいずれかで、所望の熱伝導に対して、調整される。ステップ2406において、大気、または真空力、又は電気的な手段を用いて半導体ウエハは、チャックにクランプされる。上述したように、ステップ2408において、プラズマ放出管を始動させるための冷却システムの作動が開始される。次に、反応性処理ガスの活性化が、ステップ2410で開始される。プラズマの活性化の後、ステップ2412において、プラズマジェットによってウエハ表面が処理される。方法2401は、本発明の1つの例示的な実施形態であり、処理及び設備要件に従って、あるステップを省略し、あるいは、所定の順序とは異なる構成を用いて、例示した処理ステップの種々の組合せで、同様に実行することができる。例えば、方法2401の1つの実施形態では、イオン注入されたレジストの前処理が、レジスト表面をガスが透過できるようにさせるために実行される。方法2401の別の実施形態では、注入されない又は前処理でイオン注入されたレジストが、フォトレジスト層のみを選択的にアッシング及び除去するために処理される。   In step 2404, the clamp interface between the wafer and the wafer holder is adjusted for the desired heat transfer, either high or low heat transfer. In step 2406, the semiconductor wafer is clamped to the chuck using atmospheric, or vacuum, or electrical means. As described above, in step 2408, operation of the cooling system to start the plasma emission tube is initiated. Next, activation of the reactive process gas begins at step 2410. After plasma activation, in step 2412 the wafer surface is treated with a plasma jet. Method 2401 is one exemplary embodiment of the present invention, and various combinations of the illustrated processing steps may be used, omitting certain steps or using a different configuration than the predetermined order, according to processing and equipment requirements. The same can be done. For example, in one embodiment of method 2401, pretreatment of ion implanted resist is performed to allow gas to permeate the resist surface. In another embodiment of method 2401, unimplanted or pre-implanted resist is processed to selectively ash and remove only the photoresist layer.

図19は、図18に示す方法ステップ2410を実行するための1つの例示的な方法2501を示している。第1に、上述したように電磁気力が、ステップ2502において、活性化される。この電磁放射は、ステップ2504において、プラズマ放出管に導波管を介して伝達される。ステップ2506において、電磁力は、制御されない放射からウエハを保護するためのトラップに包含される。
本発明及びその利点を詳細に記載してきたが、種々の変更、代替物、及び修正は、添付の請求項によって規定するように、本発明の精神及び範囲から逸脱しないで作ることができる。
FIG. 19 shows one exemplary method 2501 for performing method step 2410 shown in FIG. First, as described above, electromagnetic force is activated in step 2502. This electromagnetic radiation is transmitted in step 2504 to the plasma emission tube via the waveguide. In step 2506, electromagnetic force is included in a trap to protect the wafer from uncontrolled radiation.
Although the invention and its advantages have been described in detail, various changes, alternatives, and modifications can be made without departing from the spirit and scope of the invention as defined by the appended claims.

図1は、本発明の実施形態に係るプラズマ除去システムの断面図を示す。FIG. 1 shows a cross-sectional view of a plasma removal system according to an embodiment of the present invention. 図2は、本発明の実施形態に係るプラズマ除去システムの動作を示す写真である。FIG. 2 is a photograph showing the operation of the plasma removal system according to the embodiment of the present invention. 図3は、本発明の実施形態において、集められた経験上のデータを示す図である。FIG. 3 is a diagram illustrating empirical data collected in an embodiment of the present invention. 図4は、本発明の実施形態において、集められた経験上のデータを示す図である。FIG. 4 is a diagram illustrating empirical data collected in an embodiment of the present invention. 図5は、本発明の実施形態において、集められた経験上のデータを示す図である。FIG. 5 is a diagram illustrating empirical data collected in an embodiment of the present invention. 図6は、本発明の実施形態において、集められた経験上のデータを示す図である。FIG. 6 is a diagram illustrating empirical data collected in an embodiment of the present invention. 図7は、本発明の実施形態において、集められた経験上のデータを示す図である。FIG. 7 is a diagram illustrating empirical data collected in an embodiment of the present invention. 図3は、本発明の実施形態における走査パターンを概略的に示す図である。FIG. 3 is a diagram schematically showing a scanning pattern in the embodiment of the present invention. 図9は、本発明の実施形態における熱の流れを概略的に示す図である。FIG. 9 is a diagram schematically showing a heat flow in the embodiment of the present invention. 図10は、本発明の実施形態を用いて処理された試料の写真である。FIG. 10 is a photograph of a sample processed using an embodiment of the present invention. 図11は、本発明の実施形態を用いて処理された試料の写真である。FIG. 11 is a photograph of a sample processed using an embodiment of the present invention. 図12は、本発明の実施形態を用いて処理された試料の写真である。FIG. 12 is a photograph of a sample processed using an embodiment of the present invention. 図13は、本発明の実施形態を用いて処理された試料の写真である。FIG. 13 is a photograph of a sample processed using an embodiment of the present invention. 図14は、本発明の実施形態を用いて処理された試料の写真である。FIG. 14 is a photograph of a sample processed using an embodiment of the present invention. 図15は、本発明の実施形態を用いて処理された試料の写真である。FIG. 15 is a photograph of a sample processed using an embodiment of the present invention. 図16は、本発明の実施形態を用いて処理された試料の写真である。FIG. 16 is a photograph of a sample processed using an embodiment of the present invention. 図17は、本発明の実施形態を用いて処理された試料の写真である。FIG. 17 is a photograph of a sample processed using an embodiment of the present invention. 図18は、本発明の実施形態のフローチャート形式における方法ステップを示す図である。FIG. 18 is a diagram illustrating method steps in a flowchart format according to an embodiment of the present invention. 図19は、本発明の実施形態のフローチャート形式における方法ステップを示す図である。FIG. 19 is a diagram illustrating method steps in a flowchart format according to an embodiment of the present invention.

Claims (33)

製造処理工程中に加工物から表面層を選択的に除去するための装置であって、
副大気環境に大気を形成し、この環境内に前記加工物を受け入れるための処理室と、
プラズマを発生させるためのプラズマアプリケータと、
ガス状冷却剤を循環させるために単に前記プラズマ放出管の回りに配置され、前記プラズマ放出管の回りに冷却通路を形成する導管を有する冷却システムとを含み、
前記プラズマアプリケータは、
反応性処理ガスの加圧供給源、
この加圧供給源に流体連通したプラズマ放出管、
このプラズマ放出管に電磁力を作用させて、この放出管内にプラズマを発生させるための電磁力供給源、及び、
前記プラズマガスを加工物の方向に向けて前記処理室内に放射するために、前記プラズマ放出管の一端部に配置したノズル開口を有していることを特徴とする装置。
An apparatus for selectively removing a surface layer from a workpiece during a manufacturing process,
A processing chamber for forming an atmosphere in the sub-atmosphere environment and receiving the workpiece in the environment;
A plasma applicator for generating plasma;
A cooling system having a conduit disposed around the plasma discharge tube for circulating a gaseous coolant and forming a cooling passage around the plasma discharge tube;
The plasma applicator is
A pressurized source of reactive processing gas,
A plasma discharge tube in fluid communication with the pressurized source;
An electromagnetic force supply source for causing an electromagnetic force to act on the plasma emission tube to generate plasma in the emission tube; and
An apparatus having a nozzle opening disposed at one end of the plasma emission tube for emitting the plasma gas toward the workpiece into the processing chamber.
前記プラズマ放出管に電磁力を伝達するための導波管をさらに含むことを特徴とする請求項1記載の装置。   The apparatus of claim 1, further comprising a waveguide for transmitting electromagnetic force to the plasma emission tube. 前記プラズマアプリケータ内に電磁力を含むためのマイクロ波トラップをさらに含むことを特徴とする請求項2記載の装置。   The apparatus of claim 2, further comprising a microwave trap for containing electromagnetic force in the plasma applicator. 前記反応性処理ガスは、O2,H2,H2O,N2、またはその組合せからなることを特徴とする請求項1記載の装置。 The reactive process gas, O 2, H 2, H 2 O, apparatus according to claim 1, characterized in that it consists of N 2 or a combination thereof. 前記反応性処理ガスは、O2,H2,H2O,N2、またはその組合せからなり、前記反応性処理ガスは、フッ素を含んでいないことを特徴とする請求項1記載の装置。 The apparatus according to claim 1, wherein the reactive processing gas is made of O 2 , H 2 , H 2 O, N 2 , or a combination thereof, and the reactive processing gas does not contain fluorine. 前記プラズマ放出管は、石英または他の電磁気的に影響を受けないセラミック材料から作られることを特徴とする請求項1記載の装置。   The apparatus of claim 1, wherein the plasma emission tube is made from quartz or other electromagnetically unaffected ceramic material. 前記冷却システムは、前記電磁力供給源が、少なくとも2.5kWの電力損失または少なくとも1.5kW/cm3の電力密度で動作する熱力学的な性能を有することを特徴とする請求項1記載の装置。 The cooling system of claim 1, wherein the electromagnetic power source has a thermodynamic capability of operating at a power loss of at least 2.5 kW or a power density of at least 1.5 kW / cm 3 . apparatus. 前記電磁力供給源は、約100Hz〜2.45GHzの範囲の周波数で動作することを特徴とする請求項1記載の装置。   The apparatus of claim 1, wherein the electromagnetic force source operates at a frequency in the range of about 100 Hz to 2.45 GHz. 前記加工物の表面層が前記プラズマに曝されるように前記ノズルに対して加工物を走査させるために、前記加工物を受け入れて保持するためのチャックを含んでいる機械的な位置決めシステムをさらに含むことを特徴とする請求項1記載の装置。   And a mechanical positioning system including a chuck for receiving and holding the workpiece to cause the nozzle to scan the workpiece such that a surface layer of the workpiece is exposed to the plasma. The apparatus of claim 1, comprising: 前記機械的な位置決めシステムは、前記加工物の表面を走査するための複数のメカトロニクス並進ステージを含み、さらに、前記チャックが重力加速度の2.5倍以上で加速されかつ約100cm/s以上の直線速度で位置決めされるように、動作可能であることを特徴とする請求項9記載の装置。   The mechanical positioning system includes a plurality of mechatronic translation stages for scanning the surface of the workpiece, and the chuck is accelerated by more than 2.5 times gravitational acceleration and is a straight line of about 100 cm / s or more. The apparatus of claim 9, wherein the apparatus is operable to be positioned at a speed. 前記ノズルと加工物との間の距離は、約2mm以上で、約20mm未満であることを特徴とする請求項1記載の装置。   The apparatus of claim 1, wherein the distance between the nozzle and the workpiece is greater than or equal to about 2 mm and less than about 20 mm. 前記メカトロニクス並進ステージは、デカルト座標または極座標に従って位置決めるために配置されていることを特徴とする請求項9記載の装置。   The apparatus of claim 9, wherein the mechatronic translation stage is arranged for positioning according to Cartesian or polar coordinates. 前記チャックは、さらに、その表面上に断熱材の層を含み、この断熱材の層は、前記チャックと加工物との間の接触熱伝導率を修正するために、熱的に絶縁性または伝導性の特性を有することを特徴とする請求項9記載の装置。   The chuck further includes a layer of thermal insulation on its surface, the thermal insulation layer being thermally insulative or conductive to modify the contact thermal conductivity between the chuck and the workpiece. 10. The device according to claim 9, wherein the device has the property of gender. 前記プラズマアプリケータの動作を維持しながら、前記処理室内の圧力を大気圧よりも高い圧力に保って、加工物を前記チャック上に搬入・排出するための手段をさらに含み、
前記処理室内で処理されるべき各加工物に対してプラズマを消滅及び発生させる必要をなくすことを特徴とする請求項9記載の装置。
Further comprising means for carrying in and out of the workpiece on the chuck while maintaining the operation of the plasma applicator while maintaining the pressure in the processing chamber at a pressure higher than atmospheric pressure;
The apparatus of claim 9, wherein there is no need to extinguish and generate plasma for each workpiece to be processed in the processing chamber.
処理用大気圧又は真空により供給される力、または静電気力によって、加工物を前記チャック上にクランプするための手段をさらに含むことを特徴とする請求項9記載の装置。   10. The apparatus of claim 9, further comprising means for clamping a workpiece onto the chuck by a force supplied by processing atmospheric pressure or vacuum, or electrostatic force. 半導体製造処理において、ウエハから表面層を選択的に除去するための方法であって、
副大気処理環境に大気を形成する処理室内にウエハを導入する段階、
前記放出管を通って流れる活性化した反応性ガスを発生させるために、電磁力供給源によって与えられる表面波放射に、前記放出管内に流れる反応性ガスを曝す段階、及び、
前記ウエハの表面層が、基板材料を実質的に損失させることなく選択的に除去されるように、前記処理室内にかつウエハの表面上に前記活性化した反応性ガスを放射する段階を含んでいることを特徴とする方法。
A method for selectively removing a surface layer from a wafer in a semiconductor manufacturing process,
Introducing a wafer into a processing chamber that creates air in a sub-atmospheric processing environment;
Exposing the reactive gas flowing in the discharge tube to surface wave radiation provided by an electromagnetic force source to generate an activated reactive gas flowing through the discharge tube; and
Radiating the activated reactive gas into the processing chamber and onto the surface of the wafer such that the surface layer of the wafer is selectively removed without substantial loss of substrate material. A method characterized by being.
前記プラズマ放出管の回りに冷却通路を形成し、そして、前記冷却通路を通るガス状冷却剤を循環させることによって、前記プラズマ放出管を冷却する段階をさらに含んでいることを特徴とする請求項16記載の方法。   The method of claim 1, further comprising cooling the plasma discharge tube by forming a cooling passage around the plasma discharge tube and circulating a gaseous coolant through the cooling passage. 16. The method according to 16. 前記反応性処理ガスは、O2,H2,H2O,N2、またはその組合せからなることを特徴とする請求項16記載の方法。 The reactive process gas, O 2, H 2, H 2 O, N 2 or method of claim 16, wherein the formed of a combination thereof. 前記表面層は、未注入のフォトレジストまたは他の有機材料あるいは無機材料からなることを特徴とする請求項16記載の方法。   The method of claim 16, wherein the surface layer comprises unimplanted photoresist or other organic or inorganic material. 前記表面層は、イオン注入されたフォトレジスト材料又は他の有機材料あるいは無機材料からなることを特徴とする請求項16記載の方法。   The method of claim 16, wherein the surface layer comprises an ion implanted photoresist material or other organic or inorganic material. 第1速度で放射された反応性ガスに対してウエハを相対的に移動させてウエハを走査する段階をさらに含み、これにより、注入されたフォトレジスト外層が、ガスに浸透されることを特徴とする請求項16記載の方法。   The method further comprises scanning the wafer by moving the wafer relative to the reactive gas emitted at the first velocity, whereby the implanted outer photoresist layer is infiltrated with the gas. The method of claim 16. 第2速度で放射された反応性ガスに対してウエハを相対的に移動させてウエハを走査する段階をさらに含み、これにより、フォトレジストおよび/またはその外層が、ウエハから除去されることを特徴とする請求項21記載の方法。   The method further includes scanning the wafer by moving the wafer relative to the reactive gas emitted at the second velocity, whereby the photoresist and / or its outer layer is removed from the wafer. The method of claim 21. 第1出力レベルで放射された表面波に反応性ガスを曝す段階をさらに含み、これにより、注入されたフォトレジスト外層が、ガスに浸透されることを特徴とする請求項16記載の方法。   The method of claim 16, further comprising exposing the reactive gas to a surface wave emitted at a first power level, whereby the implanted outer photoresist layer is infiltrated with the gas. 第2出力レベルで放射された表面波に反応性ガスを曝す段階をさらに含み、これにより、注入されたフォトレジストおよび/またはその外層が、ウエハから除去されることを特徴とする請求項23記載の方法。   24. The method of claim 23, further comprising exposing the reactive gas to the surface wave emitted at the second power level, whereby the implanted photoresist and / or its outer layer is removed from the wafer. the method of. 第1温度で放射された反応性ガスに対してウエハを相対的に移動させてウエハを走査する段階をさらに含み、これにより、注入されたフォトレジスト外層が、ガスに浸透されることを特徴とする請求項16記載の方法。   The method further includes scanning the wafer by moving the wafer relative to the reactive gas emitted at the first temperature, whereby the implanted outer photoresist layer is infiltrated with the gas. The method of claim 16. 第2温度で放射された反応性ガスに対してウエハを相対的に移動させてウエハを走査する段階をさらに含み、これにより、フォトレジストおよび/またはその外層が、ウエハから除去されることを特徴とする請求項25記載の方法。   The method further includes scanning the wafer by moving the wafer relative to the reactive gas emitted at the second temperature, whereby the photoresist and / or its outer layer is removed from the wafer. 26. The method of claim 25. 前記活性化した反応性ガスを放射する段階は、
前記プラズマ放出管に表面波を発生させるために、電磁力供給源を励起し、
前記プラズマ放出管に係合した導波管を通って、前記プラズマ放出管に電磁力を伝達し、
前記プラズマアプリケータ内にトラップを用いる電磁放射を含む、各ステップを有することを特徴とする請求項16記載の方法。
Emitting the activated reactive gas comprises:
In order to generate surface waves in the plasma emission tube, an electromagnetic force source is excited,
Transmitting electromagnetic force to the plasma emission tube through a waveguide engaged with the plasma emission tube;
The method of claim 16, comprising each step comprising electromagnetic radiation using a trap in the plasma applicator.
前記電磁力供給源は、約100kHz〜2.45GHzの範囲の周波数で動作することを特徴とする請求項16記載の方法。   The method of claim 16, wherein the electromagnetic force source operates at a frequency in the range of about 100 kHz to 2.45 GHz. ウエハをチャック上に配置する段階と、
前記チャックに取り付けた機械的な位置決めシステムを介して放射された反応性ガスに対して前記チャックを走査する段階とをさらに含み、これにより、
ウエハの表面層が放射した反応性ガスに曝されるように、ウエハが配置された前記チャックが位置決めされることを特徴とする請求項16記載の方法。
Placing the wafer on the chuck;
Scanning the chuck for reactive gas emitted through a mechanical positioning system attached to the chuck, thereby
The method of claim 16, wherein the chuck on which the wafer is placed is positioned such that the surface layer of the wafer is exposed to the emitted reactive gas.
前記機械的な位置決めシステムは、前記チャックが重力加速度の約2.5倍以上で加速されかつ約100cm/s以上の直線速度で位置決めされるように、動作可能であることを特徴とする請求項29記載の方法。   The mechanical positioning system is operable such that the chuck is accelerated at about 2.5 times gravitational acceleration and positioned at a linear velocity of about 100 cm / s or more. 29. The method according to 29. ウエハと前記チャック上に取り付けられたウエハ保持体との間に配置された、耐熱性の絶縁材料又は導電性材料の層を介して、ウエハの温度を変える段階をさらに含み、これにより、前記ウエハ保持体とウエハとの間の接触熱伝導率が修正されることを特徴とする請求項29記載の方法。   Further comprising changing the temperature of the wafer through a layer of heat resistant insulating or conductive material disposed between the wafer and a wafer holder mounted on the chuck, whereby the wafer 30. The method of claim 29, wherein the contact thermal conductivity between the holder and the wafer is modified. 前記処理室内の圧力が大気圧であるとき、前記チャック上にウエハを搬入・排出する段階をさらに含み、これにより、前記処理室内で処理される各ウエハに対して、活性化した反応性ガスを放射する必要をなくすことを特徴とする請求項29記載の方法。   When the pressure in the processing chamber is atmospheric pressure, the method further includes a step of loading / unloading the wafer onto / from the chuck, whereby an activated reactive gas is supplied to each wafer processed in the processing chamber. 30. The method of claim 29, wherein there is no need to radiate. 処理用の大気圧または真空によって供給される力、あるいは電磁力によって、前記チャック上に半導体ウエハをクランプする段階をさらに含むことを特徴とする請求項31記載の方法。   32. The method of claim 31, further comprising clamping the semiconductor wafer onto the chuck by a force supplied by atmospheric pressure or vacuum for processing, or electromagnetic force.
JP2008544307A 2005-12-07 2005-12-07 Medium pressure plasma system for surface layer removal without substrate loss Pending JP2009518854A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2005/044367 WO2007067177A1 (en) 2005-12-07 2005-12-07 Medium pressure plasma system for removal of surface layers without substrate loss

Publications (1)

Publication Number Publication Date
JP2009518854A true JP2009518854A (en) 2009-05-07

Family

ID=35966034

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008544307A Pending JP2009518854A (en) 2005-12-07 2005-12-07 Medium pressure plasma system for surface layer removal without substrate loss

Country Status (4)

Country Link
EP (1) EP1958232A1 (en)
JP (1) JP2009518854A (en)
CN (1) CN101326613B (en)
WO (1) WO2007067177A1 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102637633B (en) * 2011-06-17 2015-08-12 京东方科技集团股份有限公司 A kind of manufacturing method of array base plate and system
WO2013103594A1 (en) 2012-01-06 2013-07-11 Novellus Systems, Inc. Adaptive heat transfer methods and systems for uniform heat transfer
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11251304A (en) * 1997-12-03 1999-09-17 Matsushita Electric Works Ltd Plasma treating system and method thereof
JP2000036488A (en) * 1998-07-21 2000-02-02 Speedfam-Ipec Co Ltd Wafer flattening method and system therefor
WO2005069344A2 (en) * 2004-01-12 2005-07-28 Axcelis Technologies, Inc. Gas distribution plate assembly for plasma reactors
JP2005236012A (en) * 2004-02-19 2005-09-02 Shibaura Mechatronics Corp Method and system for ashing

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ATE160055T1 (en) * 1990-09-07 1997-11-15 Sulzer Metco Ag APPARATUS FOR PLASMA THERMAL PROCESSING OF WORKPIECE SURFACES
KR100263902B1 (en) * 1998-04-20 2000-09-01 윤종용 Surface wave coupled etching apparatus
JP2000124189A (en) * 1998-10-21 2000-04-28 Speedfam-Ipec Co Ltd Local etching device and local etching method
US6429142B1 (en) * 1999-02-23 2002-08-06 Taiwan Semiconductor Manufacturing Company In-situ photoresist removal by an attachable chamber with light source
JP4294816B2 (en) * 1999-11-11 2009-07-15 スピードファム株式会社 Silicon wafer surface treatment method, odorless silicon wafer production method, silicon wafer oxide film formation method, silicon oxide wafer production method, oxygen active species atmosphere formation apparatus, and planarization treatment system
US6534921B1 (en) * 2000-11-09 2003-03-18 Samsung Electronics Co., Ltd. Method for removing residual metal-containing polymer material and ion implanted photoresist in atmospheric downstream plasma jet system
JP2003109941A (en) * 2001-09-28 2003-04-11 Canon Inc Plasma treatment device and surface treatment method
US7183514B2 (en) * 2003-01-30 2007-02-27 Axcelis Technologies, Inc. Helix coupled remote plasma source
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11251304A (en) * 1997-12-03 1999-09-17 Matsushita Electric Works Ltd Plasma treating system and method thereof
JP2000036488A (en) * 1998-07-21 2000-02-02 Speedfam-Ipec Co Ltd Wafer flattening method and system therefor
WO2005069344A2 (en) * 2004-01-12 2005-07-28 Axcelis Technologies, Inc. Gas distribution plate assembly for plasma reactors
JP2005236012A (en) * 2004-02-19 2005-09-02 Shibaura Mechatronics Corp Method and system for ashing

Also Published As

Publication number Publication date
CN101326613B (en) 2010-12-08
EP1958232A1 (en) 2008-08-20
CN101326613A (en) 2008-12-17
WO2007067177A1 (en) 2007-06-14

Similar Documents

Publication Publication Date Title
US20070228008A1 (en) Medium pressure plasma system for removal of surface layers without substrate loss
US9443701B2 (en) Etching method
JP5086083B2 (en) Method for processing a substrate
KR101098141B1 (en) Surface wave plasma processing system and method of using
KR100971559B1 (en) Method and apparatus for micro-jet enabled, low energy ion generation and transport in plasma processing
JP5115798B2 (en) Apparatus and plasma ashing method for increasing photoresist removal rate
JP4861329B2 (en) Plasma processing system for processing substrates
US4699689A (en) Method and apparatus for dry processing of substrates
TWI404142B (en) Methods of removing resist from substrates in resist stripping chambers
KR101335120B1 (en) Apparatus for the optimization of atmospheric plasma in a plasma processing system
US5593539A (en) Plasma source for etching
US20170356084A1 (en) Processing method of silicon nitride film and forming method of silicon nitride film
KR20120080544A (en) Focus ring and substrate processing apparatus having same
US5462635A (en) Surface processing method and an apparatus for carrying out the same
TW201833976A (en) Dual-frequency surface wave plasma source
WO1998014036A9 (en) Fluorine assisted stripping and residue removal in sapphire downstream plasma asher
JP2009518854A (en) Medium pressure plasma system for surface layer removal without substrate loss
KR102387278B1 (en) Apparatus for treating substrate
JP3782647B2 (en) Material film etching method on semiconductor wafer using surface wave plasma etching apparatus
KR20090012305A (en) Medium pressure plasma system for removal of surface layers without substrate loss
JPH04314330A (en) Heat annealing for semiconductor device
TW201119518A (en) Plasma generating apparatus and plasma processing apparatus
JPH09321030A (en) Microwave plasma treatment apparatus
JP2000068247A (en) Method and apparatus for ashing resist
JP2007081342A (en) Plasma processor

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110728

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110824

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120208