JP2009299101A - Method of manufacturing semiconductor device and substrate processing apparatus - Google Patents

Method of manufacturing semiconductor device and substrate processing apparatus Download PDF

Info

Publication number
JP2009299101A
JP2009299101A JP2008151991A JP2008151991A JP2009299101A JP 2009299101 A JP2009299101 A JP 2009299101A JP 2008151991 A JP2008151991 A JP 2008151991A JP 2008151991 A JP2008151991 A JP 2008151991A JP 2009299101 A JP2009299101 A JP 2009299101A
Authority
JP
Japan
Prior art keywords
gas
substrate
processing chamber
film
reaction gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008151991A
Other languages
Japanese (ja)
Inventor
Kazuhiro Harada
和宏 原田
Sadayoshi Horii
貞義 堀井
Hideji Itaya
秀治 板谷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Priority to JP2008151991A priority Critical patent/JP2009299101A/en
Publication of JP2009299101A publication Critical patent/JP2009299101A/en
Pending legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To provide a method of manufacturing a semiconductor device, in which a film having a high dielectric constant is formed at a high film deposition rate, and to provide a substrate processing apparatus. <P>SOLUTION: When a thin film having a desired film thickness is formed on a substrate by repeating a cycle N-times, wherein the cycle includes a DER flow step of supplying a raw material gas formed by vaporizing DER into a processing chamber, a step of purging the raw material gas, a step of supplying NH<SB>3</SB>gas into the processing chamber, and a step of purging the NH<SB>3</SB>gas, in the step of supplying the raw material gas, the raw material gas is supplied to the substrate after being activated by a plasma, and in the step of supplying the NH<SB>3</SB>gas, the NH<SB>3</SB>gas is supplied to the substrate after being activated by a plasma. <P>COPYRIGHT: (C)2010,JPO&INPIT

Description

本発明は、半導体装置の製造方法および基板処理装置に関するものであり、例えば、DRAM(Dynamic Random Access Memory)の製造方法およびPEALD( Plasma Enhanced Atomic Layer Deposition)装置に利用して有効なものに関する。   The present invention relates to a method for manufacturing a semiconductor device and a substrate processing apparatus, for example, a method effective for use in a method for manufacturing a DRAM (Dynamic Random Access Memory) and a PEALD (Plasma Enhanced Atomic Layer Deposition) device.

DRAMのキャパシタは微細化に伴う蓄積電荷容量を確保するために、容量絶縁膜の高誘電率化、下部電極または上部電極の金属化の研究が活発化している。
これらの材料としては高い誘電率を持つAl23 ,ZrO2 ,HfO2 ,Y23 ,La23 ,STO( SrTiO3 ),Ta25 、BST((Ba、Sr)TiO3 )、PZT((Pb、Zr)TiO3 )等々が容量絶縁膜として候補となっており、Ti, Hf, Zr, Al,Ru, Pt, Irの金属、SRO( SrRuO3 ),RuO2 等の酸化物、あるいはTiN, HfN, ZrN等の窒化物が電極としての候補となっている。
電極形状は高アスペクト比のシリンダ型が主流となっており、場合によってはバリアメタル膜であるTiN、TaN等を含めた上記の全ての膜が段差被覆性(ステップカバレッジ。step coverage )に優れている必要がある。
膜の形成方法としては従来のスパッタリング法から段差被覆性に優れているCVD法へと移行しており、有機金属液体原料と酸素の反応が多く利用されている。
このような容量絶縁膜の高誘電率化を図るDRAM構造として、Ru下部電極の下にバリアメタルとしてTiN膜を形成するものが提案されており、このRu下部電極を酸素を使用したRu−ALD法によって形成する方法が提案されている。
In order to secure the accumulated charge capacity associated with the miniaturization of DRAM capacitors, research on increasing the dielectric constant of the capacitor insulating film and metallization of the lower electrode or the upper electrode has been active.
For Al 2 O 3 These materials having a high dielectric constant, ZrO 2, HfO 2, Y 2 O 3, La 2 O 3, STO (SrTiO 3), Ta 2 O 5, BST ((Ba, Sr) TiO 3 ), PZT ((Pb, Zr) TiO 3 ), etc. are candidates for capacitive insulating films, such as Ti, Hf, Zr, Al, Ru, Pt, Ir metals, SRO (SrRuO 3 ), RuO 2, etc. These oxides or nitrides such as TiN, HfN, and ZrN are candidates for electrodes.
High-aspect ratio cylinder type is the mainstream electrode shape. In some cases, all of the above films including TiN, TaN, etc., which are barrier metal films, have excellent step coverage. Need to be.
As a film forming method, the conventional sputtering method is shifted to a CVD method having excellent step coverage, and a reaction between an organic metal liquid raw material and oxygen is often used.
As a DRAM structure for increasing the dielectric constant of such a capacitive insulating film, a structure in which a TiN film is formed as a barrier metal under a Ru lower electrode has been proposed. Ru-ALD using oxygen as the Ru lower electrode. A method of forming by a method has been proposed.

しかしながら、DRAMキャパシタ構造のRu下部電極においては、その下にTiN膜が存在するため、酸素を使用したRu−ALD法では、成膜プロセス中の酸素と熱の影響でTiN膜の表面を酸化してしまい、抵抗の高いTiO2 に変化してしまう。このため、Ru電極とTiN(バリアメタル)のコンタクト抵抗値が悪化し、キャパシタ性能が劣化してしまうという問題が生じてしまう。
また、反応剤として還元剤(例えばアンモニア、水素)を使用してもRu膜は成膜しづらいことと、プラズマを使用したPEALD法においても、成膜レートが低いことから、Ru膜の低温・高成膜レートでの形成法は確立していないのが現状である。
However, since the TiN film exists under the Ru lower electrode of the DRAM capacitor structure, the Ru-ALD method using oxygen oxidizes the surface of the TiN film due to the influence of oxygen and heat during the film formation process. Therefore, it changes to TiO 2 having high resistance. For this reason, the contact resistance value between the Ru electrode and TiN (barrier metal) deteriorates, resulting in a problem that the capacitor performance deteriorates.
Further, even if a reducing agent (for example, ammonia or hydrogen) is used as a reactant, it is difficult to form a Ru film, and the PEALD method using plasma also has a low film formation rate. At present, the formation method at a high film formation rate has not been established.

本発明の目的は、高誘電率膜を高い成膜レートをもって形成することができる半導体装置の製造方法および基板処理装置を提供することにある。   An object of the present invention is to provide a semiconductor device manufacturing method and a substrate processing apparatus capable of forming a high dielectric constant film at a high film formation rate.

前記した課題を解決するための手段のうち代表的なものは、次の通りである。
(1)処理室内に基板を搬入するステップと、
前記処理室内に液体原料を気化した原料ガスを供給するステップと、前記処理室内に反応ガスを供給するステップと、を1サイクルとしてこのサイクルを複数回繰り返し、基板上に所望膜厚の薄膜を形成するステップと、
所望膜厚の薄膜形成後の基板を前記処理室内から搬出するステップと、を有し、
前記原料ガスを供給するステップでは、前記原料ガスをプラズマで活性化して基板に供給し、前記反応ガスを供給するステップでは、前記反応ガスをプラズマで活性化して基板に供給することを特徴とする半導体装置の製造方法。
(2)基板を処理する処理室と、
前記処理室内に液体原料を気化した原料ガスを供給する原料ガス供給系と、
前記処理室内に反応ガスを供給する反応ガス供給系と、
前記処理室内でプラズマを発生させるプラズマ源と、
前記処理室内を排気する排気ラインと、
前記処理室内への前記原料ガスの供給と、前記処理室内への前記反応ガスの供給と、を複数回繰り返すように前記原料ガス供給系と前記反応ガス供給系とを制御すると共に、前記原料ガスの供給時には前記処理室内でプラズマを発生させ、前記反応ガスの供給時には前記処理室内でプラズマを発生させるように前記プラズマ源を制御するコントローラと、
を有することを特徴とする基板処理装置。
(3)前記(1)において、前記薄膜を形成するステップでは、基板上に導電性の金属膜を形成する半導体装置の製造方法。
(4)前記(1)において、前記薄膜を形成するステップでは、基板上に電極膜またはバリアメタル膜を形成する半導体装置の製造方法。
(5)前記(1)において、前記薄膜を形成するステップでは、基板上にRu膜、RuO2 膜、Pt膜、Ir膜、IrO2 膜、TiN膜またはTaN膜を形成する半導体装置の製造方法。
Typical means for solving the above-described problems are as follows.
(1) carrying a substrate into the processing chamber;
Forming a thin film with a desired film thickness on the substrate is repeated a plurality of times, with the step of supplying the source gas vaporizing the liquid source into the processing chamber and the step of supplying the reaction gas into the processing chamber. And steps to
Unloading the substrate after forming a thin film having a desired film thickness from the processing chamber,
In the step of supplying the source gas, the source gas is activated by plasma and supplied to the substrate, and in the step of supplying the reaction gas, the reaction gas is activated by plasma and supplied to the substrate. A method for manufacturing a semiconductor device.
(2) a processing chamber for processing a substrate;
A source gas supply system for supplying a source gas obtained by vaporizing a liquid source into the processing chamber;
A reaction gas supply system for supplying a reaction gas into the processing chamber;
A plasma source for generating plasma in the processing chamber;
An exhaust line for exhausting the processing chamber;
The source gas supply system and the reaction gas supply system are controlled to repeat the supply of the source gas into the process chamber and the supply of the reaction gas into the process chamber a plurality of times, and the source gas A controller for controlling the plasma source so as to generate plasma in the processing chamber at the time of supply and to generate plasma in the processing chamber at the time of supplying the reaction gas;
A substrate processing apparatus comprising:
(3) In the method (1), in the step of forming the thin film, a method of manufacturing a semiconductor device, wherein a conductive metal film is formed on a substrate.
(4) The method for manufacturing a semiconductor device according to (1), wherein in the step of forming the thin film, an electrode film or a barrier metal film is formed on the substrate.
(5) In the step (1), in the step of forming the thin film, a Ru device, a RuO 2 film, a Pt film, an Ir film, an IrO 2 film, a TiN film, or a TaN film is formed on the substrate. .

前記した(1)(2)によれば、高誘電率膜を高いレートをもって形成することができる。   According to the above (1) and (2), the high dielectric constant film can be formed at a high rate.

以下、図面を参照しつつ本発明を実施するための最良の形態を説明する。   The best mode for carrying out the present invention will be described below with reference to the drawings.

図1を用いて、本発明が実施される基板処理装置の一例を説明する。
図1は本発明に係る基板処理装置の一実施の形態である枚葉式処理装置を示す概略図である。
An example of a substrate processing apparatus in which the present invention is implemented will be described with reference to FIG.
FIG. 1 is a schematic view showing a single wafer processing apparatus as an embodiment of a substrate processing apparatus according to the present invention.

図1に示すように、処理室1には支持台20が設けられる。支持台20は被成膜対象であるシリコンウエハやガラス基板等の基板2を支持する。
支持台20の上部には支持板としてのサセプタ21が設けられる。サセプタ21は支持台20の一部を構成しており、サセプタ21の上に基板が載置される。
支持台20の内部には、加熱手段としてのヒータ22が設けられ、ヒータ22はサセプタ21上に載置された基板2を加熱するようになっている。
ヒータ22は基板2の温度が所定の温度となるように温度コントローラ23により制御される。
As shown in FIG. 1, a support table 20 is provided in the processing chamber 1. The support table 20 supports a substrate 2 such as a silicon wafer or a glass substrate that is a film formation target.
A susceptor 21 as a support plate is provided on the upper side of the support base 20. The susceptor 21 constitutes a part of the support base 20, and a substrate is placed on the susceptor 21.
A heater 22 as a heating unit is provided inside the support base 20, and the heater 22 heats the substrate 2 placed on the susceptor 21.
The heater 22 is controlled by a temperature controller 23 so that the temperature of the substrate 2 becomes a predetermined temperature.

処理室1の外部には昇降機構24が設けられ、昇降機構24により、支持台20が処理室1内で昇降可能となっている。   An elevating mechanism 24 is provided outside the processing chamber 1, and the elevating mechanism 24 allows the support 20 to be raised and lowered within the processing chamber 1.

処理室1の支持台20の上部には、シャワーヘッド12が基板2と対向するように配置される。シャワーヘッド12は原料ガスおよび反応ガスを基板2へ供給する際の直接の供給口となる複数のシャワー孔8を有する。
シャワーヘッド12内には供給口4および供給口3から原料ガスおよび反応ガスがそれぞれ供給される。シャワーヘッド12のシャワー孔8は原料ガスおよび反応ガスをそれぞれ別々あるいは同時に基板2に対してシャワー状に噴出させる。
A shower head 12 is disposed on the support table 20 in the processing chamber 1 so as to face the substrate 2. The shower head 12 has a plurality of shower holes 8 serving as direct supply ports when supplying the source gas and the reaction gas to the substrate 2.
The raw material gas and the reactive gas are supplied into the shower head 12 from the supply port 4 and the supply port 3, respectively. The shower holes 8 of the shower head 12 eject the source gas and the reaction gas separately or simultaneously to the substrate 2 in a shower shape.

処理室1の外部には、液体原料28を供給する原料供給ユニット25が設けられており、原料供給ユニット25は液体原料供給管26、液体原料供給量を制御する流量コントローラとしての液体原料流量制御装置29を介して、液体原料を気化する気化器30に接続されている。
原料供給ユニット25には液体原料28が蓄えられており、圧送ライン27から供給されるHeあるいはAr等の不活性ガスの圧力により、液体原料28が気化器30へ供給される。
気化器30には原料ガス供給管31が接続されており、原料ガス供給管31はバルブ32を介して原料ガス供給口4に接続されている。
液体原料としては、例えば、常温で液体の有機金属材料、すなわち、有機金属液体原料を用いる。
あるいは、常温では固体であっても数十度程度に加熱すれば液体になるような原料であれば、原料供給ユニット25、液体原料供給管26および液体原料流量制御装置29を数十度程度に加熱するヒータを各々に設けて使用することが可能である。
A raw material supply unit 25 for supplying the liquid raw material 28 is provided outside the processing chamber 1, and the raw material supply unit 25 is a liquid raw material flow control as a flow controller for controlling the liquid raw material supply pipe 26 and the liquid raw material supply amount. The device 29 is connected to a vaporizer 30 that vaporizes the liquid raw material.
The liquid material 28 is stored in the material supply unit 25, and the liquid material 28 is supplied to the vaporizer 30 by the pressure of an inert gas such as He or Ar supplied from the pressure feed line 27.
A raw material gas supply pipe 31 is connected to the vaporizer 30, and the raw material gas supply pipe 31 is connected to the raw material gas supply port 4 via a valve 32.
As the liquid source, for example, an organometallic material that is liquid at room temperature, that is, an organometallic liquid source is used.
Alternatively, if the raw material is a solid that becomes solid when heated to several tens of degrees even at a normal temperature, the raw material supply unit 25, the liquid raw material supply pipe 26, and the liquid raw material flow control device 29 are set to several tens of degrees. It is possible to use each heater provided with a heater.

処理室1の外部には不活性ガス供給ユニット33が設けられており、不活性ガス供給ユニット33には、非反応性ガスとしての不活性ガスを気化器30へキャリアガスとして供給するためのキャリアガス供給管34が接続されている。
キャリアガス供給管34は気化器30に、キャリアガスの供給流量を制御する流量コントローラとしてのガス流量制御装置35を介して接続されている。
気化器30は内部において液体原料28をキャリアガスとともに噴出することにより、気化効率を上げている。
不活性ガスとしては、例えば、Ar、He、N2 等が用いられる。
An inert gas supply unit 33 is provided outside the processing chamber 1, and a carrier for supplying an inert gas as a non-reactive gas to the vaporizer 30 as a carrier gas is provided in the inert gas supply unit 33. A gas supply pipe 34 is connected.
The carrier gas supply pipe 34 is connected to the vaporizer 30 via a gas flow rate control device 35 as a flow rate controller that controls the supply flow rate of the carrier gas.
The vaporizer 30 increases the vaporization efficiency by ejecting the liquid raw material 28 together with the carrier gas inside.
As the inert gas, for example, Ar, He, N 2 or the like is used.

不活性ガス供給ユニット33には、非反応性ガスとしての不活性ガスを原料ガス供給管31へパージガスとして供給するためのパージガス供給管36が接続されている。パージガス供給管36は、パージガスの供給流量を制御する流量コントローラとしてのガス流量制御装置37およびバルブ38を介して、原料ガス供給管31に接続されている。   A purge gas supply pipe 36 for supplying an inert gas as a non-reactive gas as a purge gas to the source gas supply pipe 31 is connected to the inert gas supply unit 33. The purge gas supply pipe 36 is connected to the source gas supply pipe 31 via a gas flow rate control device 37 and a valve 38 as a flow rate controller for controlling the supply flow rate of the purge gas.

気化器30で気化した原料ガスを原料ガス供給管31から原料ガス供給口4に供給しない場合には、バルブ32を閉じ、バルブ39を開き、ベントラインとしての原料ガスバイパス管40に原料ガスを流す。
このとき、バルブ38を開いて、パージガス供給管36から不活性ガスを供給することにより、原料ガス供給管31のバルブ32から原料ガス供給口4の配管および処理室1の表面に吸着している原料ガスを取り除くことが可能になる。
When the source gas vaporized by the vaporizer 30 is not supplied from the source gas supply pipe 31 to the source gas supply port 4, the valve 32 is closed, the valve 39 is opened, and the source gas is supplied to the source gas bypass pipe 40 as a vent line. Shed.
At this time, by opening the valve 38 and supplying the inert gas from the purge gas supply pipe 36, the valve 32 of the source gas supply pipe 31 adsorbs to the piping of the source gas supply port 4 and the surface of the processing chamber 1. The source gas can be removed.

処理室1の外部には、第1反応ガスを供給する第1反応ガス供給ユニット41が設けられており、第1反応ガス供給ユニット41は第1反応ガスを第1反応ガス供給管42へ供給する。
第1反応ガス供給管42は、ガス供給量を制御する流量コントローラとしてのガス流量制御装置43、バルブ44、反応ガス供給管68を介して反応ガス供給口3に接続されている。第1反応ガスとしては、酸素原子を含まないガスを用いる。本実施形態では第1反応ガスとしてH2 やNH3 等の水素含有ガスを用いる。
A first reaction gas supply unit 41 that supplies a first reaction gas is provided outside the processing chamber 1, and the first reaction gas supply unit 41 supplies the first reaction gas to the first reaction gas supply pipe 42. To do.
The first reaction gas supply pipe 42 is connected to the reaction gas supply port 3 via a gas flow rate control device 43 as a flow rate controller for controlling the gas supply amount, a valve 44, and a reaction gas supply pipe 68. As the first reaction gas, a gas not containing oxygen atoms is used. In the present embodiment, a hydrogen-containing gas such as H 2 or NH 3 is used as the first reaction gas.

不活性ガス供給ユニット33には、非反応性ガスとしての不活性ガスを反応ガス供給管68へパージガスとして供給するためのパージガス供給管45が接続されている。
パージガス供給管45は、パージガスの供給流量を制御する流量コントローラとしてのガス流量制御装置46およびバルブ47を介して、反応ガス供給管68に接続されている。
The inert gas supply unit 33 is connected to a purge gas supply pipe 45 for supplying an inert gas as a non-reactive gas to the reaction gas supply pipe 68 as a purge gas.
The purge gas supply pipe 45 is connected to a reaction gas supply pipe 68 via a gas flow rate control device 46 as a flow rate controller for controlling the supply flow rate of the purge gas and a valve 47.

第1反応ガスを第1反応ガス供給管42から反応ガス供給口3に供給しない場合には、バルブ44を閉じ、バルブ48を開き、ベントラインとしての第1反応ガスバイパス管49に第1反応ガスを流す。
このとき、バルブ47を開いて、パージガス供給管45から不活性ガスを供給することにより、第1反応ガス供給管42のバルブ44から反応ガス供給口3の配管および処理室1の表面に吸着している第1反応ガスを取り除くことが可能になる。
When the first reaction gas is not supplied from the first reaction gas supply pipe 42 to the reaction gas supply port 3, the valve 44 is closed, the valve 48 is opened, and the first reaction gas bypass pipe 49 as a vent line is connected to the first reaction gas. Flow gas.
At this time, by opening the valve 47 and supplying the inert gas from the purge gas supply pipe 45, it is adsorbed from the valve 44 of the first reaction gas supply pipe 42 to the piping of the reaction gas supply port 3 and the surface of the processing chamber 1. It is possible to remove the first reaction gas.

処理室1の外部には、第2反応ガスを供給する第2反応ガス供給ユニット62が設けられており、第2反応ガス供給ユニット62は第2反応ガスを第2反応ガス供給管63へ供給する。第2反応ガス供給管63は、ガス供給量を制御する流量コントローラとしてのガス流量制御装置64、バルブ65、反応ガス供給管68を介して、反応ガス供給口3に接続されている。第2反応ガスとしてはO2 やO3 やN2 O等の酸素原子を含むガスを用いる。 A second reaction gas supply unit 62 that supplies a second reaction gas is provided outside the processing chamber 1, and the second reaction gas supply unit 62 supplies the second reaction gas to the second reaction gas supply pipe 63. To do. The second reaction gas supply pipe 63 is connected to the reaction gas supply port 3 via a gas flow rate control device 64 as a flow rate controller for controlling the gas supply amount, a valve 65, and a reaction gas supply pipe 68. As the second reaction gas, a gas containing oxygen atoms such as O 2 , O 3, and N 2 O is used.

第2反応ガスを第2反応ガス供給管63から反応ガス供給口3に供給しない場合には、バルブ65を閉じ、バルブ66を開き、ベントラインとしての第2反応ガスバイパス管67に第2反応ガスを流す。
このとき、バルブ47を開いて、パージガス供給管45から不活性ガスを供給することにより、第2反応ガス供給管63のバルブ65から反応ガス供給口3の配管および処理室1の表面に吸着している第2反応ガスを取り除くことが可能になる。
When the second reaction gas is not supplied from the second reaction gas supply pipe 63 to the reaction gas supply port 3, the valve 65 is closed, the valve 66 is opened, and the second reaction gas is connected to the second reaction gas bypass pipe 67 as a vent line. Flow gas.
At this time, the valve 47 is opened and an inert gas is supplied from the purge gas supply pipe 45, thereby adsorbing from the valve 65 of the second reaction gas supply pipe 63 to the piping of the reaction gas supply port 3 and the surface of the processing chamber 1. It is possible to remove the second reactive gas.

処理室1の外部には、クリーニングガスを供給するクリーニングガス供給ユニット50が設けられており、クリーニングガス供給ユニット50はクリーニングガスをクリーニングガス供給管51へ供給する。
クリーニングガス供給管51は、ガス供給量を制御する流量コントローラとしてのガス流量制御装置52、バルブ53を介して反応ガス供給口3に接続されている。
A cleaning gas supply unit 50 that supplies a cleaning gas is provided outside the processing chamber 1, and the cleaning gas supply unit 50 supplies the cleaning gas to the cleaning gas supply pipe 51.
The cleaning gas supply pipe 51 is connected to the reaction gas supply port 3 via a gas flow rate control device 52 as a flow rate controller for controlling the gas supply amount and a valve 53.

処理室1の側壁下部には排気口10が設けられており、排気口10には真空ポンプ54、原料回収トラップ57および除害装置(図示せず)が排気管56によってつながれている。また、排気管56には、処理室1の圧力を調整する圧力コントローラ55が設けられている。   An exhaust port 10 is provided in the lower portion of the side wall of the processing chamber 1, and a vacuum pump 54, a raw material recovery trap 57 and a detoxifying device (not shown) are connected to the exhaust port 10 by an exhaust pipe 56. The exhaust pipe 56 is provided with a pressure controller 55 that adjusts the pressure in the processing chamber 1.

処理室1の排気口10と反対側の側面には、基板搬入搬出口59が設けられている。基板搬入搬出口59は、真空基板移載室58との仕切り弁としてのゲートバルブ60によって開閉され、基板搬入搬出口59から基板2を処理室1に搬入搬出し得るように構成されている。   A substrate loading / unloading port 59 is provided on the side surface of the processing chamber 1 opposite to the exhaust port 10. The substrate loading / unloading port 59 is opened and closed by a gate valve 60 as a partition valve with respect to the vacuum substrate transfer chamber 58, so that the substrate 2 can be loaded into and unloaded from the processing chamber 1 through the substrate loading / unloading port 59.

本実施形態においては、プラズマ発生機構の一例であるRF電極70が支持台20に設けられている。すなわち、支持台20にヒータ22と同様、RF電極70が埋め込まれており、RF電極70にはRFマッチングボックス71、マッチングコントローラ72、RF電源(RFジェネレータ)73が接続されている。原料ガスや反応ガスを流した状態で、RF電極70にRF電力を印加することにより、ウエハ上にプラズマが生成される。   In the present embodiment, an RF electrode 70 which is an example of a plasma generation mechanism is provided on the support base 20. That is, like the heater 22, the RF electrode 70 is embedded in the support base 20, and an RF matching box 71, a matching controller 72, and an RF power source (RF generator) 73 are connected to the RF electrode 70. Plasma is generated on the wafer by applying RF power to the RF electrode 70 in a state where the source gas and the reaction gas are flowed.

基板処理装置を構成する各部の動作はメインコントローラ61により制御される。   The operation of each part constituting the substrate processing apparatus is controlled by the main controller 61.

以下、本発明に係る半導体装置の製造方法の一実施の形態を、以上の構成に係る枚葉式処理装置を用いて半導体装置の製造工程の一工程として基板に薄膜を堆積する場合について説明する。   Hereinafter, an embodiment of a method for manufacturing a semiconductor device according to the present invention will be described in the case where a thin film is deposited on a substrate as one step of a semiconductor device manufacturing process using the single wafer processing apparatus having the above-described configuration. .

ここでは、液体原料としてRu(C7 117 9 )(2,4ジメチルペンタジエニル エチルシクロペンタジエニル ルテニウム。以下、DERと略す。)、反応ガスとしてアンモニア(NH3 )ガス(以下、NH3 と略す。)を用いて、PEALD法により基板上にRu膜を形成する場合について、説明する。 Here, Ru (C 7 H 11 C 7 H 9 ) (2,4 dimethylpentadienyl ethylcyclopentadienyl ruthenium; hereinafter abbreviated as DER) as a liquid raw material, and ammonia (NH 3 ) gas ( Hereinafter, the case where a Ru film is formed on a substrate by PEALD method will be described using NH 3 .

まず、本発明に係る成膜ステップの原理を示す図2について説明する。
(1)DERフローステップ
液体原料であるDERは気化器で気化させ、キャリアガスと共に、処理室内の基板上に供給する。また、このステップで気化器にて気化された有機液体原料を基板上に供給すると同時に、その状態で、RF電極にRFを印加して処理室内にプラズマを発生させることで、DERを励起させる。このとき、DERは少し分解した状態となり、段差被覆性良く基板表面に化学吸着する。このとき、基板上にはDERが化学吸着するだけでなく、Ruも少しデポジション(堆積)される。
(2)パージステップ
続いて、処理室内に残留しているDERをN2 のような不活性ガスによりパージするか、または、真空引きによりガス置換する。これにより、気相の原料ガスが排気され、基板表面の反応が主体となる。
(3)NH3 フローステップ
処理室内に反応ガスであるNH3 を供給する。このとき、RF電極にRFを印加して、処理室内にプラズマを発生させることで、NH3 を励起させる。
ここで、基板表面に吸着しているDERと、プラズマで励起されたNH3 とが反応してRu膜が形成される。また、このとき、この反応で生成された副生成物と励起したNH3 が反応し、基板の表面が清浄化される。
(4)パージステップ
さらに、処理室内に残留しているアンモニアをN2 のような不活性ガスによりパージするか、または、真空引きによりガス置換する。
以上のステップ(1)〜(4)を1サイクルとして、このサイクルをN回繰り返すことにより、成膜ステップが進行して膜が形成される。所定のサイクル回数Nに達したら、成膜は完了する。
First, FIG. 2 showing the principle of the film forming step according to the present invention will be described.
(1) DER flow step DER, which is a liquid raw material, is vaporized by a vaporizer and is supplied onto a substrate in a processing chamber together with a carrier gas. In addition, the organic liquid material vaporized in the vaporizer in this step is supplied onto the substrate, and at the same time, RF is applied to the RF electrode to generate plasma in the processing chamber, thereby exciting DER. At this time, DER is in a slightly decomposed state and is chemically adsorbed on the substrate surface with good step coverage. At this time, not only DER is chemically adsorbed on the substrate, but also Ru is slightly deposited (deposited).
(2) Purge step Subsequently, the DER remaining in the processing chamber is purged with an inert gas such as N 2 or is replaced by evacuation. As a result, the gas phase source gas is exhausted, and the reaction on the surface of the substrate is mainly performed.
(3) NH 3 flow step NH 3 as a reaction gas is supplied into the processing chamber. At this time, NH 3 is excited by applying RF to the RF electrode and generating plasma in the processing chamber.
Here, DER adsorbed on the substrate surface and NH 3 excited by plasma react to form a Ru film. At this time, the by-product generated in this reaction reacts with the excited NH 3 to clean the surface of the substrate.
(4) Purge step Further, the ammonia remaining in the processing chamber is purged with an inert gas such as N 2 or is replaced by evacuation.
The above steps (1) to (4) are defined as one cycle, and this cycle is repeated N times, whereby the film formation step proceeds and a film is formed. When the predetermined number of cycles N is reached, film formation is completed.

ここで、成膜条件としては、基板温度は200〜350℃、圧力は13.33〜1333×(1〜9)Pa、Ru原料(DER)流量は0.01〜0.2g/min、NH3 流量は10〜5000sccm、供給時間は全てのガスにおいて1分以内、膜厚は1〜20nm、とするのが望ましい。 Here, as film forming conditions, the substrate temperature is 200 to 350 ° C., the pressure is 13.33 to 1333 × (1 to 9) Pa, the Ru raw material (DER) flow rate is 0.01 to 0.2 g / min, NH 3. It is desirable that the flow rate is 10 to 5000 sccm, the supply time is within 1 minute for all gases, and the film thickness is 1 to 20 nm.

本発明で使用される有機液体原料、反応ガスとしての水素含有ガスは用途に応じて様々な種類から適宜に選択可能である。
例えば、プラズマ励起させる水素含有ガスにArを添加することにより、ラジカル寿命が短い水素ラジカルのライフタイムを延長させることが有効である場合がある。
また、水素含有ガスの代わりに窒素含有ガスを使用する場合においても、用途に応じて様々な種類から適宜に選択可能である。
また、プラズマ励起だけで有機液体原料が分解する場合、水素含有ガス、窒素含有ガスの代わりに、Arガスを用いることができる。
The organic liquid raw material used in the present invention and the hydrogen-containing gas as the reaction gas can be appropriately selected from various types depending on the application.
For example, it may be effective to extend the lifetime of a hydrogen radical having a short radical lifetime by adding Ar to a hydrogen-containing gas to be plasma-excited.
Also, when a nitrogen-containing gas is used instead of the hydrogen-containing gas, it can be appropriately selected from various types depending on the application.
Further, when the organic liquid source is decomposed only by plasma excitation, Ar gas can be used instead of the hydrogen-containing gas and the nitrogen-containing gas.

[実施例]
次に、図1に示された枚葉式処理装置を用いてシリコン基板にRuを堆積する実施例を説明する。
なお、以下の説明において、枚葉式処理装置を構成する各部の動作はメインコントローラ61により制御される。
[Example]
Next, an embodiment in which Ru is deposited on a silicon substrate using the single wafer processing apparatus shown in FIG. 1 will be described.
In the following description, the operation of each part constituting the single wafer processing apparatus is controlled by the main controller 61.

支持台20が昇降機構24によって搬送位置まで下降させられる。この状態でゲートバルブ60が開かれるとシリコン基板2は搬送ロボット( 図示せず) により、基板搬入搬出口59を通って処理室1内に搬入されて、支持台20上部すなわちサセプタ21から突出している突き上げピン69上に載置される。支持台20を昇降機構24により定められた位置まで上昇させてシリコン基板2を突き上げピン62上からサセプタ21上に移し変える。これにより、シリコン基板2はサセプタ21上に設置される。
温度コントローラ23によってヒータ22を制御してサセプタ21を介してシリコン基板2を一定時間加熱し、圧力コントローラ55を制御して処理室1内の圧力を所望の値に安定させる。この間に、バルブ39を開としてDERを原料ガスバイパス管40より排気しておく。その後、まず、シリコン基板2上にDERを吸着させるために、バルブ39を閉じるとともに原料ガス供給管31のバルブ32を開として、シャワーヘッド12を通してDERを処理室1内へ供給し、排気管56から排気する。
このとき、支持台20に設置されたRF電極70にRFマッチングボックス71、マッチングコントローラ72を介して、RF電源73からRF(高周波電力)を印加することにより、処理室1内にプラズマを発生させて、DERをプラズマにより励起させる。このときバルブ47を開として反応ガス供給管68内にN2 を供給することでDERが反応ガス供給管68内に侵入するのを防止する。
The support table 20 is lowered to the transfer position by the elevating mechanism 24. When the gate valve 60 is opened in this state, the silicon substrate 2 is loaded into the processing chamber 1 through the substrate loading / unloading port 59 by a transfer robot (not shown) and protrudes from the upper portion of the support 20, that is, from the susceptor 21. Is placed on the push-up pin 69. The support base 20 is raised to a position determined by the elevating mechanism 24, and the silicon substrate 2 is moved up from the push pin 62 onto the susceptor 21. As a result, the silicon substrate 2 is placed on the susceptor 21.
The heater 22 is controlled by the temperature controller 23 to heat the silicon substrate 2 through the susceptor 21 for a predetermined time, and the pressure controller 55 is controlled to stabilize the pressure in the processing chamber 1 to a desired value. During this time, the valve 39 is opened and the DER is exhausted from the source gas bypass pipe 40. Thereafter, in order to adsorb DER onto the silicon substrate 2, first, the valve 39 is closed and the valve 32 of the source gas supply pipe 31 is opened to supply DER into the processing chamber 1 through the shower head 12, and the exhaust pipe 56. Exhaust from.
At this time, plasma is generated in the processing chamber 1 by applying RF (high frequency power) from the RF power source 73 to the RF electrode 70 installed on the support 20 via the RF matching box 71 and the matching controller 72. Then, DER is excited by plasma. At this time, the valve 47 is opened and N 2 is supplied into the reaction gas supply pipe 68 to prevent DER from entering the reaction gas supply pipe 68.

次に、バルブ32を閉として処理室1内の真空引き、または、パージガス供給管45のバルブ47を開いた状態を維持して、N2 パージにより処理室1内のガス置換を所定の時間行う。
この間に、NH3 (Arを含む場合もある。)をバルブ48を開として第1反応ガスバイパス管49より排気しておく。また、バルブ38を開として原料ガス供給管31内にN2 を供給することにより、原料ガス供給管31内をパージするとともに、原料ガス供給管31内へDERが逆流するのを防ぐ。
Next, the valve 32 is closed and the processing chamber 1 is evacuated, or the valve 47 of the purge gas supply pipe 45 is maintained open, and the gas replacement in the processing chamber 1 is performed for a predetermined time by N 2 purge. .
During this time, NH 3 (which may contain Ar) is exhausted from the first reaction gas bypass pipe 49 with the valve 48 opened. Further, by opening the valve 38 and supplying N 2 into the source gas supply pipe 31, the inside of the source gas supply pipe 31 is purged and DER is prevented from flowing back into the source gas supply pipe 31.

続いて、膜形成のために、バルブ48を閉じるとともに、バルブ44を開いて、NH3 をシャワーヘッド12を通じて処理室1内へ供給し、排気管56から排気する。同時に、支持台20に設置されたRF電極70にRFマッチングボックス71、マッチングコントローラ72を介してRF電源73からRFを印加することにより、シリコン基板2上にプラズマを生成し、供給されたNH3 を励起してシリコン基板2上に供給する。
このとき、バルブ38を開として原料ガス供給管31内にN2 を供給することでNH3 が原料ガス供給管31内に侵入するのを防ぐ。
Subsequently, in order to form a film, the valve 48 is closed and the valve 44 is opened, and NH 3 is supplied into the processing chamber 1 through the shower head 12 and exhausted from the exhaust pipe 56. At the same time, RF is applied from the RF power source 73 to the RF electrode 70 installed on the support 20 via the RF matching box 71 and the matching controller 72, thereby generating plasma on the silicon substrate 2 and supplying the supplied NH 3 Is excited and supplied onto the silicon substrate 2.
At this time, the valve 38 is opened to supply N 2 into the source gas supply pipe 31, thereby preventing NH 3 from entering the source gas supply pipe 31.

次に、バルブ44を閉として処理室1内の真空引き、または、バルブ38を開いた状態を維持して、N2 パージにより、処理室1内のガス置換を所定の時間行う。この間にバルブ39を開としてDERを原料ガスバイパス管40より排気しておく。また、バルブ47を開として反応ガス供給管68内にN2 を供給することにより、反応ガス供給管68内をパージするとともに、反応ガス供給管68内へNH3 が逆流するのを防ぐ。 Next, the valve 44 is closed and the process chamber 1 is evacuated or the valve 38 is kept open, and the gas replacement in the process chamber 1 is performed for a predetermined time by N 2 purge. During this time, the valve 39 is opened and the DER is exhausted from the raw material gas bypass pipe 40. Also, by opening the valve 47 and supplying N 2 into the reaction gas supply pipe 68, the reaction gas supply pipe 68 is purged and NH 3 is prevented from flowing back into the reaction gas supply pipe 68.

以上のステップを所望の膜厚になるまでN回繰り返すことにより、成膜ステップ工程を完了させる。   The above steps are repeated N times until a desired film thickness is obtained, thereby completing the film forming step.

なお、各ステップにおける温度、圧力、DER流量およびNH3 流量はそれぞれ温度コントローラ23、圧力コントローラ55、DER(液体原料)流量制御装置29およびガス流量制御装置43により所望の成膜条件となるよう制御する。 Note that the temperature, pressure, DER flow rate, and NH 3 flow rate in each step are controlled by the temperature controller 23, the pressure controller 55, the DER (liquid source) flow control device 29, and the gas flow control device 43 so as to satisfy desired film forming conditions. To do.

シリコン基板2への成膜ステップ終了後に、基板搬出ステップにおいて、処理済みのシリコン基板2は処理室1外へ搬送ロボットにより搬出される。   After the film formation step on the silicon substrate 2 is completed, the processed silicon substrate 2 is carried out of the processing chamber 1 by the transfer robot in the substrate carry-out step.

次に、半導体装置の一例であるDRAMの製造方法においてキャパシタを形成する工程に、すなわち、DRAMのキャパシタの下部電極膜および上部電極膜を形成する工程に本発明を適用する実施の形態を、図3を用いて説明する。   Next, an embodiment in which the present invention is applied to a step of forming a capacitor in a method of manufacturing a DRAM which is an example of a semiconductor device, that is, a step of forming a lower electrode film and an upper electrode film of a DRAM capacitor is shown in FIG. 3 will be described.

図3は下部電極膜および上部電極膜を含むDRAMキャパシタの一部を示す断面図である。
初めに、シリコン基板2上に、酸化シリコン(SiO2 )等の絶縁体からなる層間絶縁膜80を形成する。
その後、層間絶縁膜80を貫通するように、コンタクトホール87を開口する。
続いて、開口したコンタクトホール87の内部に、シリコン基板2と接続するためのコンタクトプラグ81を形成する。コンタクトプラグ81の材料としては、タングステン(W)等が例示される。
続いて、コンタクトプラグ81の上部空間を埋めるようにバリアメタル膜82を形成する。バリアメタル膜82の材料としては、TiNやTaNが例示される。
なお、バリアメタル膜82は電極を構成する材料や酸化剤が、コンタクトプラグ81に拡散することを防止する。
続いて、層間絶縁膜80上とバリアメタル膜82上の全面に、層間絶縁膜83を形成する。
その後、層間絶縁膜83を貫通するようにコンタクトホール88を開口する。
続いて、前述した成膜法を適用することにより、コンタクトホール88内と層間絶縁膜83上の全面にRu膜等からなる下部電極膜84を形成する。
続いて、コンタクトホール88内の下部電極膜84を残留させつつ、層間絶縁膜83上の下部電極膜84を除去する。
その後、残留させたコンタクトホール88内の下部電極膜84の内部をエッチングし、下部電極膜84の形状をシリンダ状とする。
続いて、下部電極膜84上と層間絶縁膜83上の全面に、容量絶縁膜85を形成する。容量絶縁膜85の材料としては、Ta2 5 やAl2 3 やZrO2 等が例示される。
最後に、前述した成膜法を適用することにより、容量絶縁膜85上の全面にRu膜等からなる上部電極膜86を形成する。
以上により、図3に示されたDRAMキャパシタの製造が完了する。
FIG. 3 is a cross-sectional view showing a part of a DRAM capacitor including a lower electrode film and an upper electrode film.
First, an interlayer insulating film 80 made of an insulator such as silicon oxide (SiO 2 ) is formed on the silicon substrate 2.
Thereafter, a contact hole 87 is opened so as to penetrate the interlayer insulating film 80.
Subsequently, a contact plug 81 for connecting to the silicon substrate 2 is formed in the opened contact hole 87. Examples of the material of the contact plug 81 include tungsten (W).
Subsequently, a barrier metal film 82 is formed so as to fill the upper space of the contact plug 81. Examples of the material of the barrier metal film 82 include TiN and TaN.
The barrier metal film 82 prevents the material constituting the electrode and the oxidizing agent from diffusing into the contact plug 81.
Subsequently, an interlayer insulating film 83 is formed on the entire surface of the interlayer insulating film 80 and the barrier metal film 82.
Thereafter, a contact hole 88 is opened so as to penetrate the interlayer insulating film 83.
Subsequently, a lower electrode film 84 made of a Ru film or the like is formed in the contact hole 88 and on the entire surface of the interlayer insulating film 83 by applying the film forming method described above.
Subsequently, the lower electrode film 84 on the interlayer insulating film 83 is removed while the lower electrode film 84 in the contact hole 88 is left.
Thereafter, the inside of the lower electrode film 84 in the remaining contact hole 88 is etched, and the shape of the lower electrode film 84 is changed to a cylinder shape.
Subsequently, a capacitive insulating film 85 is formed on the entire surface of the lower electrode film 84 and the interlayer insulating film 83. Examples of the material of the capacitive insulating film 85 include Ta 2 O 5 , Al 2 O 3 , ZrO 2, and the like.
Finally, an upper electrode film 86 made of a Ru film or the like is formed on the entire surface of the capacitor insulating film 85 by applying the above-described film forming method.
Thus, the manufacture of the DRAM capacitor shown in FIG. 3 is completed.

なお、前述した成膜法は、DRAMキャパシタの下部電極膜84を形成する場合のみに適用し、上部電極膜86を形成する際には、他の成膜方法を用いるようにしてもよい。   The above-described film forming method is applied only when forming the lower electrode film 84 of the DRAM capacitor, and other film forming methods may be used when forming the upper electrode film 86.

例えば、上部電極膜86については、原料ガスと酸素原子を含む反応ガスとを同時に供給する熱CVD法を用いてもよいし、原料ガスと酸素原子を含む反応ガスとを交互に供給するALD法を用いてもよい。
というのは、DRAMキャパシタの上部電極膜86を形成する際の下地は絶縁膜であり、酸素原子を含む反応ガスを用いても問題とはならないからである。
これに対して、下部電極膜84を形成する際の下地はバリアメタル膜82であり、酸素原子を含む反応ガスを用いた場合、下地であるバリアメタル膜82が酸化してしまい、コンタクト抵抗が増大してデバイス特性が悪化するという問題が生じることとなる。
よって、下部電極膜84を形成する際については、前述した成膜法が最適の実施形態となる。
For example, for the upper electrode film 86, a thermal CVD method in which a source gas and a reaction gas containing oxygen atoms are simultaneously supplied may be used, or an ALD method in which a source gas and a reaction gas containing oxygen atoms are alternately supplied. May be used.
This is because the base for forming the upper electrode film 86 of the DRAM capacitor is an insulating film, and there is no problem even if a reaction gas containing oxygen atoms is used.
On the other hand, the base when forming the lower electrode film 84 is the barrier metal film 82. When a reaction gas containing oxygen atoms is used, the barrier metal film 82 as the base is oxidized, and the contact resistance is reduced. This increases the problem that the device characteristics deteriorate.
Therefore, when the lower electrode film 84 is formed, the above-described film forming method is an optimal embodiment.

本発明で形成される膜は用途に応じて様々な種類から適宜に選択可能であるが、一般的に下記が例示される。
下部電極膜または上部電極としては、Ru、RuO2 、Pt、Ir、IrO2
バリアメタル膜としてはTiN、TaN。
Although the film | membrane formed by this invention can be suitably selected from various types according to a use, generally the following are illustrated.
As the lower electrode film or the upper electrode, Ru, RuO 2 , Pt, Ir, IrO 2 .
The barrier metal film is TiN or TaN.

ところで、上述のように成膜ステップにおいて反応ガスとしてO2 等の酸素原子(O)を含むガスを用いると、薄膜を形成する際の下地が酸化されてしまうことがある。
例えば、成膜の下地がバリアメタル等の場合には、成膜ステップにおいて反応ガスとしてO2 等の酸素原子(O)を含むガスを用いると、下地のバリアメタル膜(例えば、TiN)が酸化され、絶縁膜(TiO2 )が形成されてコンタクト抵抗が高くなり、デバイス特性が悪化するという問題が生じる。
By the way, when a gas containing oxygen atoms (O) such as O 2 is used as a reaction gas in the film forming step as described above, the base in forming the thin film may be oxidized.
For example, when the base of film formation is a barrier metal or the like, the base barrier metal film (for example, TiN) is oxidized by using a gas containing oxygen atoms (O) such as O 2 as a reaction gas in the film formation step. As a result, an insulating film (TiO 2 ) is formed, resulting in a problem that the contact resistance increases and the device characteristics deteriorate.

これに対して、前記実施形態においては、反応ガスとして酸素原子を含まないNH3 を用いるので、下地のバリアメタル膜は酸化されることはない。
しかも、有機金属液体原料であるDERを気化したガスを基板上に供給する際に、プラズマ励起させるので、良質のRu膜を一般的なALD法に比べて高い成膜レートをもって基板上に形成することができる。
以上にように、本実施の形態によれば、下地を酸化させることなく高い成膜レートをもって、Ru膜を基板上に成膜することができる。
On the other hand, in the above embodiment, NH 3 not containing oxygen atoms is used as the reaction gas, so that the underlying barrier metal film is not oxidized.
In addition, since a gas obtained by vaporizing DER, which is an organometallic liquid raw material, is plasma-excited, a high-quality Ru film is formed on the substrate at a higher film formation rate than a general ALD method. be able to.
As described above, according to the present embodiment, the Ru film can be formed on the substrate at a high film formation rate without oxidizing the base.

本発明の一実施の形態である枚葉式処理装置を示す概略図である。It is the schematic which shows the single wafer processing apparatus which is one embodiment of this invention. 本発明の一実施の形態であるDRAMの製造方法の成膜工程を示すフローチャートである。It is a flowchart which shows the film-forming process of the manufacturing method of DRAM which is one embodiment of this invention. 下部電極膜および上部電極膜を含むDRAMキャパシタの一部を示す断面図である。It is sectional drawing which shows a part of DRAM capacitor containing a lower electrode film and an upper electrode film.

符号の説明Explanation of symbols

1 処理室
2 基板
31 原料ガス供給管
42 反応ガス供給管
56 排気管
61 メインコントローラ
70 RF電極
DESCRIPTION OF SYMBOLS 1 Processing chamber 2 Substrate 31 Source gas supply pipe 42 Reaction gas supply pipe 56 Exhaust pipe 61 Main controller 70 RF electrode

Claims (2)

処理室内に基板を搬入するステップと、
前記処理室内に液体原料を気化した原料ガスを供給するステップと、前記処理室内に反応ガスを供給するステップと、を1サイクルとしてこのサイクルを複数回繰り返し、基板上に所望膜厚の薄膜を形成するステップと、
所望膜厚の薄膜形成後の基板を前記処理室内から搬出するステップと、を有し、
前記原料ガスを供給するステップでは、前記原料ガスをプラズマで活性化して基板に供給し、前記反応ガスを供給するステップでは、前記反応ガスをプラズマで活性化して基板に供給することを特徴とする半導体装置の製造方法。
Carrying a substrate into the processing chamber;
Forming a thin film with a desired film thickness on the substrate is repeated a plurality of times, with the step of supplying the source gas vaporizing the liquid source into the processing chamber and the step of supplying the reaction gas into the processing chamber. And steps to
Unloading the substrate after forming a thin film having a desired film thickness from the processing chamber,
In the step of supplying the source gas, the source gas is activated by plasma and supplied to the substrate, and in the step of supplying the reaction gas, the reaction gas is activated by plasma and supplied to the substrate. A method for manufacturing a semiconductor device.
基板を処理する処理室と、
前記処理室内に液体原料を気化した原料ガスを供給する原料ガス供給系と、
前記処理室内に反応ガスを供給する反応ガス供給系と、
前記処理室内でプラズマを発生させるプラズマ源と、
前記処理室内を排気する排気ラインと、
前記処理室内への前記原料ガスの供給と、前記処理室内への前記反応ガスの供給と、を複数回繰り返すように前記原料ガス供給系と前記反応ガス供給系とを制御すると共に、前記原料ガスの供給時には前記処理室内でプラズマを発生させ、前記反応ガスの供給時には前記処理室内でプラズマを発生させるように前記プラズマ源を制御するコントローラと、
を有することを特徴とする基板処理装置。
A processing chamber for processing the substrate;
A source gas supply system for supplying a source gas obtained by vaporizing a liquid source into the processing chamber;
A reaction gas supply system for supplying a reaction gas into the processing chamber;
A plasma source for generating plasma in the processing chamber;
An exhaust line for exhausting the processing chamber;
The source gas supply system and the reaction gas supply system are controlled to repeat the supply of the source gas into the process chamber and the supply of the reaction gas into the process chamber a plurality of times, and the source gas A controller for controlling the plasma source so as to generate plasma in the processing chamber at the time of supply and to generate plasma in the processing chamber at the time of supplying the reaction gas;
A substrate processing apparatus comprising:
JP2008151991A 2008-06-10 2008-06-10 Method of manufacturing semiconductor device and substrate processing apparatus Pending JP2009299101A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2008151991A JP2009299101A (en) 2008-06-10 2008-06-10 Method of manufacturing semiconductor device and substrate processing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008151991A JP2009299101A (en) 2008-06-10 2008-06-10 Method of manufacturing semiconductor device and substrate processing apparatus

Publications (1)

Publication Number Publication Date
JP2009299101A true JP2009299101A (en) 2009-12-24

Family

ID=41546295

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008151991A Pending JP2009299101A (en) 2008-06-10 2008-06-10 Method of manufacturing semiconductor device and substrate processing apparatus

Country Status (1)

Country Link
JP (1) JP2009299101A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012184449A (en) * 2011-03-03 2012-09-27 Taiyo Nippon Sanso Corp Method for forming metal thin film, metal thin film, and device for forming metal thin film
CN112786425A (en) * 2019-11-07 2021-05-11 东京毅力科创株式会社 Plasma processing apparatus and plasma processing method

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012184449A (en) * 2011-03-03 2012-09-27 Taiyo Nippon Sanso Corp Method for forming metal thin film, metal thin film, and device for forming metal thin film
CN112786425A (en) * 2019-11-07 2021-05-11 东京毅力科创株式会社 Plasma processing apparatus and plasma processing method

Similar Documents

Publication Publication Date Title
JP5097554B2 (en) Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus
US8435905B2 (en) Manufacturing method of semiconductor device, and substrate processing apparatus
JP3670628B2 (en) Film forming method, film forming apparatus, and semiconductor device manufacturing method
KR100622609B1 (en) Thin film deposition method
US9558937B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and non-transitory computer-readable recording medium
JP4803578B2 (en) Deposition method
US7442604B2 (en) Methods and batch type atomic layer deposition apparatus for forming dielectric films and methods of manufacturing metal-insulator-metal capacitors including the dielectric films
JP5882075B2 (en) Capacitor manufacturing method, capacitor, and dielectric film forming method used therefor
JP4559223B2 (en) Semiconductor device manufacturing method and substrate processing apparatus
US9331139B2 (en) Ruthenium film formation method and storage medium
JP2009299101A (en) Method of manufacturing semiconductor device and substrate processing apparatus
JP2008205325A (en) Manufacturing method of semiconductor device and substrate treatment apparatus
KR100414870B1 (en) Method for fabricating capacitor using atomic layer deposition
JP6030746B2 (en) Semiconductor device manufacturing method, substrate processing apparatus, program, and recording medium
KR100511914B1 (en) Method for fabricating of semiconductor device using PECYCLE-CVD
JP2007059735A (en) Method for manufacturing semiconductor device, and substrate processing apparatus
JP2010225989A (en) Manufacturing method of semiconductor device and substrate processing apparatus
JP2008075167A (en) Method for manufacturing semiconductor device, and substrate-treating apparatus
JP2008075166A (en) Method for manufacturing semiconductor device, and substrate-treating apparatus
KR100656282B1 (en) Method for forming capacitor
KR100390811B1 (en) Method for atomic layer deposition of ruthenium layer and method for fabricating capacitor
KR20060074991A (en) Method for fabricating capacitor