JP2009252851A - Exposure apparatus, and device manufacturing method - Google Patents

Exposure apparatus, and device manufacturing method Download PDF

Info

Publication number
JP2009252851A
JP2009252851A JP2008096617A JP2008096617A JP2009252851A JP 2009252851 A JP2009252851 A JP 2009252851A JP 2008096617 A JP2008096617 A JP 2008096617A JP 2008096617 A JP2008096617 A JP 2008096617A JP 2009252851 A JP2009252851 A JP 2009252851A
Authority
JP
Japan
Prior art keywords
measurement
light
grating
exposure apparatus
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008096617A
Other languages
Japanese (ja)
Inventor
Yuichi Shibazaki
祐一 柴崎
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nikon Corp
Original Assignee
Nikon Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nikon Corp filed Critical Nikon Corp
Priority to JP2008096617A priority Critical patent/JP2009252851A/en
Publication of JP2009252851A publication Critical patent/JP2009252851A/en
Pending legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To achieve highly precise position measurement and driving control with respect to a wafer table. <P>SOLUTION: When the table WTB is positioned in a first region including an exposure region LA, measurement light beams Lx1 and Ly2<SB>1</SB>are made incident on a grating provided on an upper surface of the table WTB through one side face thereof from light sources 16Xa and 16Ya<SB>1</SB>constituting an encoder system, and optical detectors 16Xb and 16Yb<SB>1</SB>receive diffracted light beams Lx2 and Ly2<SB>1</SB>originating from those measurement light beams to measure position information on the table WTB. When the table WTB is positioned in a second region including the detection center ALa of an alignment system ALG, a measurement light beam Ly2<SB>2</SB>is made incident on the grating from a light source 16Ya<SB>2</SB>, and an optical detector 16Yb<SB>2</SB>received a diffracted light beam Ly2<SB>2</SB>to measure position information. Consequently, the highly precise position measurement of the table and then highly precise driving control are performed in both the first and second regions. <P>COPYRIGHT: (C)2010,JPO&INPIT

Description

本発明は、露光装置及びデバイス製造方法に係り、更に詳しくは、半導体素子、液晶表示素子等のマイクロデバイス(電子デバイスなど)を製造するリソグラフィ工程で用いられる露光装置及び該露光装置を用いるデバイス製造方法に関する。   The present invention relates to an exposure apparatus and a device manufacturing method, and more particularly, to an exposure apparatus used in a lithography process for manufacturing a micro device (such as an electronic device) such as a semiconductor element or a liquid crystal display element, and a device manufacturing using the exposure apparatus. Regarding the method.

従来、半導体素子、液晶表示素子等のマイクロデバイス(電子デバイスなど)を製造するリソグラフィ工程では、ステップ・アンド・リピート方式の投影露光装置(いわゆるステッパ)又はステップ・アンド・スキャン方式の投影露光装置(いわゆるスキャニング・ステッパ(スキャナとも呼ばれる))などが比較的多く用いられている。   Conventionally, in a lithography process for manufacturing microdevices (electronic devices and the like) such as semiconductor elements and liquid crystal display elements, a step-and-repeat projection exposure apparatus (so-called stepper) or a step-and-scan projection exposure apparatus ( A so-called scanning stepper (also called a scanner)) is used relatively frequently.

この種の露光装置では、ウエハ又はガラスプレート等の被露光物体(以下、ウエハと総称する)上の複数のショット領域にレチクル(又はマスク)のパターンを転写するために、ウエハを保持するウエハステージはXY2次元方向に例えばリニアモータ等により駆動される。このウエハステージの位置計測は、長期に渡って計測の安定性が良好で、高分解能なレーザ干渉計を用いて行われるのが、一般的であった。   In this type of exposure apparatus, a wafer stage that holds a wafer in order to transfer a reticle (or mask) pattern to a plurality of shot areas on an object to be exposed (hereinafter referred to as a wafer) such as a wafer or a glass plate. Is driven in the XY two-dimensional direction by, for example, a linear motor. The position measurement of the wafer stage is generally performed using a high-resolution laser interferometer, which has good measurement stability over a long period of time.

しかるに、半導体素子の高集積化に伴う、パターンの微細化により、より高精度なステージの位置制御が要求されるようになり、今や、レーザ干渉計のビーム路上の雰囲気の温度変化及び/又は温度勾配の影響で発生する空気揺らぎに起因する計測値の短期的な変動がオーバレイバジェット中の大きなウエイトを占めるようになっている。   However, due to the miniaturization of patterns due to the high integration of semiconductor elements, more accurate position control of the stage is required, and now the temperature change and / or temperature of the atmosphere on the beam path of the laser interferometer Short-term fluctuations in measured values due to air fluctuations that occur due to the influence of gradients occupy a large weight in the overlay budget.

一方、ステージの位置計測に使用されるレーザ干渉計以外の計測装置として、エンコーダがあるが、エンコーダは、計測においてスケール(グレーティングなど)を使用するため、格子ピッチのドリフト、固定位置ドリフト、あるいは熱膨張等などによりそのスケールの機械的な長期安定性に欠ける。このため、エンコーダは、レーザ干渉計に比べて計測値のリニアリティに欠け、長期安定性に劣るという欠点を有している。   On the other hand, there is an encoder as a measuring device other than the laser interferometer used for measuring the position of the stage. However, since the encoder uses a scale (grating, etc.) in the measurement, the grating pitch drift, fixed position drift, or thermal Lack of mechanical long-term stability of the scale due to expansion or the like. For this reason, the encoder has the disadvantage that it lacks the linearity of the measured value and is inferior in long-term stability compared to the laser interferometer.

上述のレーザ干渉計とエンコーダとの欠点に鑑みて、レーザ干渉計とエンコーダ(回折格子を用いる位置検出センサ)とを併用して、ステージの位置を計測する装置が、種々提案されている(例えば特許文献1、2等参照)。特に、最近では、計測分解能が、レーザ干渉計と同程度以上のエンコーダが出現しており(例えば、特許文献3等参照)、上述のレーザ干渉計とエンコーダとを組み合わせる技術が注目されるようになってきた。   In view of the drawbacks of the laser interferometer and the encoder described above, various apparatuses for measuring the position of the stage using both the laser interferometer and the encoder (position detection sensor using a diffraction grating) have been proposed (for example, (See Patent Documents 1 and 2). In particular, recently, an encoder having a measurement resolution equal to or higher than that of a laser interferometer has emerged (for example, see Patent Document 3), and a technique for combining the above-described laser interferometer and encoder is attracting attention. It has become.

しかるに、干渉計のミラー又はエンコーダのスケール(グレーティングなど)は、ステージの外面、例えば側面などに設けられた場合、そのステージが加速した際のステージの微小変形に伴い、ステージ上の位置(ステージ上の所定点との位置関係)が変化してしまい、これがステージの位置計測精度を低下させる蓋然性が高い。また、たとえば、スループットを向上させる観点から、スキャニング・ステッパにおいてウエハステージの加速中に露光を開始するという新技術が提案されたとしても、上記のステージの加速に伴うミラー又はスケールのステージ上での位置の変化が、上記新技術の実施のための障害要因となるおそれがある。   However, when the scale of the interferometer or encoder (grating, etc.) is provided on the outer surface of the stage, such as the side surface, the position on the stage (on the stage) (The positional relationship with the predetermined point) changes, and this is likely to reduce the position measurement accuracy of the stage. In addition, for example, from the viewpoint of improving throughput, even if a new technique is proposed in which exposure is started during the acceleration of the wafer stage in the scanning stepper, even if the stage on the mirror or scale accompanying the acceleration of the above stage is proposed. The change in position may be an obstacle for the implementation of the new technology.

米国特許第6,819,425号明細書US Pat. No. 6,819,425 特開2004−101362号公報JP 2004-101362 A 米国特許第7,238,931号明細書US Pat. No. 7,238,931

本発明は、上述した事情の下になされたものであり、第1の観点からすると、エネルギビームの照射によって物体にパターンを形成する露光装置であって、物体を保持して実質的に所定平面に沿って移動可能で、前記物体の裏面側で前記所定平面に実質的に平行な面に沿ってグレーティングが配置され、所定波長の光が内部を進行可能な移動体と;前記エネルギビームの照射点である露光位置に対応する第1計測位置で、前記所定平面と交差する前記移動体の一側面を介して前記移動体の外部から前記グレーティングに前記所定波長の第1計測光を入射させ、該第1計測光に由来する前記グレーティングからの回折光を受光して、前記移動体の前記所定平面内の計測方向に関する第1位置情報を計測する第1計測装置と;前記露光位置から一軸方向に離間して配置され、前記移動体上のマーク又は前記移動体に保持された物体上のマークを検出するマーク検出系と;前記第1計測装置とともに計測システムを構成し、前記マーク検出系の検出中心に対応する第2計測位置で、前記移動体の一側面を介して前記移動体の外部から前記グレーティングに前記所定波長の第2計測光を入射させ、該第2計測光に由来する前記グレーティングからの回折光を受光して、前記移動体の計測方向に関する第2位置情報を計測する第2計測装置と;前記計測システムからの前記位置情報に基づいて、前記移動体を駆動する駆動システムと;を備える露光装置である。   The present invention has been made under the circumstances described above. From the first viewpoint, the present invention is an exposure apparatus that forms a pattern on an object by irradiation with an energy beam, and holds the object and has a substantially predetermined plane. A movable body that is movable along the surface of the object and has a grating disposed along a surface substantially parallel to the predetermined plane on the back surface side of the object, and the irradiation of the energy beam. First measurement light having the predetermined wavelength is incident on the grating from the outside of the moving body through one side surface of the moving body that intersects the predetermined plane at a first measurement position corresponding to an exposure position that is a point, A first measurement device that receives diffracted light from the grating derived from the first measurement light and measures first position information regarding a measurement direction within the predetermined plane of the movable body; and one from the exposure position; A mark detection system that is arranged apart from each other and detects a mark on the movable body or a mark on an object held by the movable body; and a measurement system that constitutes the measurement system together with the first measurement device, and the mark detection system The second measurement light having the predetermined wavelength is incident on the grating from the outside of the moving body through one side surface of the moving body at the second measurement position corresponding to the detection center of A second measuring device that receives diffracted light from the grating and measures second position information related to the measurement direction of the moving body; and a drive that drives the moving body based on the position information from the measuring system. An exposure apparatus comprising: a system;

ここで、第1計測装置と第2計測装置とは、移動体の同一の側面を介して、第1、第2計測光を、それぞれグレーティングに入射させるものであっても良いし、移動体の互いに反対側に位置する異なる2つの側面をそれぞれ介して、第1、第2計測光を、グレーティングに入射させるものであっても良い。あるいは、第1計測装置と第2計測装置とは、移動体の相互に交差する側面をそれぞれ介して、第1、第2計測光を、それぞれグレーティングに入射させるものであっても良い。   Here, the first measuring device and the second measuring device may be configured to cause the first and second measurement lights to enter the grating through the same side surface of the moving body, respectively, The first and second measurement light beams may be incident on the grating through two different side surfaces located on opposite sides. Alternatively, the first measurement device and the second measurement device may be configured to cause the first and second measurement lights to enter the grating, respectively, through the side surfaces of the moving body that intersect each other.

これによれば、第1計測位置での移動体の第1位置情報の計測において、回折光が移動体内を通過する光路上では、移動体の周辺雰囲気の揺らぎの影響を受けることがない。さらに、移動体の外部についても、回折光の光路は近接し、ほぼ同じ雰囲気中を伝播するので、周辺雰囲気の揺らぎの影響は小さい。そのため、第1計測位置での移動体の第1位置情報を高精度に計測することができる。また、第2計測位置での移動体の第2位置情報を高精度に計測することができる。さらに、駆動システムにより、第1及び第2計測装置から構成される計測システムからの位置情報に基づいて、移動体が駆動される。従って、第1計測位置では第1位置情報に、第2計測位置では第2位置情報に、それぞれ基づいて移動体を駆動することができるので、両計測位置において、高精度な移動体の駆動制御が可能となる。   According to this, in the measurement of the first position information of the moving body at the first measurement position, the diffracted light is not affected by the fluctuation of the ambient atmosphere of the moving body on the optical path through which the moving body passes. Furthermore, since the optical path of the diffracted light is close to the outside of the moving body and propagates in almost the same atmosphere, the influence of fluctuations in the surrounding atmosphere is small. Therefore, the first position information of the moving body at the first measurement position can be measured with high accuracy. In addition, the second position information of the moving body at the second measurement position can be measured with high accuracy. Further, the moving body is driven by the drive system based on the position information from the measurement system configured by the first and second measurement devices. Therefore, since the moving body can be driven based on the first position information at the first measurement position and the second position information at the second measurement position, the highly accurate driving control of the moving body can be performed at both measurement positions. Is possible.

本発明は、第2の観点からすると、本発明の露光装置を用いて前記物体として基板を露光することと;前記露光された基板を現像することと;を含むデバイス製造方法である。   According to a second aspect of the present invention, there is provided a device manufacturing method including: exposing a substrate as the object using the exposure apparatus of the present invention; and developing the exposed substrate.

以下、本発明の一実施形態について、図1〜図4に基づいて説明する。   Hereinafter, an embodiment of the present invention will be described with reference to FIGS.

図1には、一実施形態に係る露光装置100の概略構成が示されている。露光装置100は、ステップ・アンド・スキャン方式の縮小投影露光装置である。露光装置100は、光源及び照明光学系を含み、照明光ILによりレチクルRを照明する照明系12、レチクルRを保持するレチクルステージRST、投影光学系PL、アライメント系ALG、ウエハWを保持するウエハステージWST、及び装置全体を統括制御する主制御装置20(図1では不図示、図4参照)等を備えている。以下においては、投影光学系PLの光軸AXと平行な方向をZ軸方向、これに直交する面内でレチクルRとウエハWとが相対走査される方向をY軸方向、Z軸及びY軸に直交する方向をX軸方向とし、X軸、Y軸、及びZ軸回りの回転(傾斜)方向をそれぞれθx、θy、及びθz方向として説明を行う。   FIG. 1 shows a schematic configuration of an exposure apparatus 100 according to an embodiment. The exposure apparatus 100 is a step-and-scan reduction projection exposure apparatus. The exposure apparatus 100 includes a light source and an illumination optical system, and includes an illumination system 12 that illuminates the reticle R with illumination light IL, a reticle stage RST that holds the reticle R, a projection optical system PL, an alignment system ALG, and a wafer that holds the wafer W. A stage WST, a main control device 20 (not shown in FIG. 1, refer to FIG. 4) and the like for overall control of the entire apparatus are provided. In the following, the direction parallel to the optical axis AX of the projection optical system PL is the Z-axis direction, and the direction in which the reticle R and the wafer W are relatively scanned in a plane perpendicular to the optical axis AX is the Y-axis direction, Z-axis and Y-axis. The direction orthogonal to the X axis direction is the X axis direction, and the rotation (tilt) directions around the X axis, the Y axis, and the Z axis are the θx, θy, and θz directions, respectively.

照明系12は、レチクルブラインド(不図示)で規定されたレチクルR上でX軸方向に延びるスリット状の照明領域を照明光ILによりほぼ均一な照度で照明する。ここで、照明光ILとしては、一例としてArFエキシマレーザ光(波長193nm)が用いられている。   The illumination system 12 illuminates a slit-shaped illumination region extending in the X-axis direction on the reticle R defined by a reticle blind (not shown) with illumination light IL with a substantially uniform illuminance. Here, as the illumination light IL, for example, ArF excimer laser light (wavelength 193 nm) is used.

レチクルステージRST上には、回路パターン等が描かれたレチクルRが、例えば真空吸着により、固定されている。レチクルステージRSTは、レチクルRの位置制御のため、レチクルステージ駆動系13(図1では不図示、図4参照)により、照明系12の光軸(後述する投影光学系PLの光軸AXに一致)に垂直なXY平面内で微少駆動可能であるとともに、所定の走査方向(図1における紙面内左右方向、すなわちY軸方向)に所定の走査速度で駆動可能となっている。   On reticle stage RST, reticle R on which a circuit pattern or the like is drawn is fixed, for example, by vacuum suction. Reticle stage RST coincides with the optical axis of illumination system 12 (to be described later, optical axis AX of projection optical system PL) by reticle stage drive system 13 (not shown in FIG. 1, see FIG. 4) for position control of reticle R. 1), and can be driven at a predetermined scanning speed in a predetermined scanning direction (left-right direction in FIG. 1, ie, the Y-axis direction).

レチクルステージRSTのXY平面内の位置は、レチクルレーザ干渉計(以下、「レチクル干渉計」という)11によって、レチクルステージRSTの側面(鏡面加工された端面)を介して、例えば0.5〜1nm程度の分解能で、常時検出される。レチクル干渉計11からのレチクルステージRSTの位置情報は、主制御装置20(図4参照)に送られている。主制御装置20は、レチクルステージRSTの位置情報に基づいてレチクルステージ駆動系13を介してレチクルステージRSTを駆動する。   The position of the reticle stage RST in the XY plane is, for example, 0.5 to 1 nm by the reticle laser interferometer (hereinafter referred to as “reticle interferometer”) 11 via the side surface (mirror-finished end surface) of the reticle stage RST. It is always detected with a resolution of the order. Position information of reticle stage RST from reticle interferometer 11 is sent to main controller 20 (see FIG. 4). Main controller 20 drives reticle stage RST via reticle stage drive system 13 based on position information of reticle stage RST.

投影光学系PLとしては、例えばZ軸方向と平行な光軸AXに沿って配列される複数のレンズ(レンズエレメント)から成る屈折光学系が用いられている。投影光学系PLは、例えば両側テレセントリックで所定の投影倍率(例えば1/4倍又は1/5倍)を有する。このため、照明系12からの照明光ILによって照明領域が照明されると、投影光学系PLの第1面(物体面)とパターン面がほぼ一致して配置されるレチクルRを通過した照明光ILにより、投影光学系PLを介してその照明領域内のレチクルRの回路パターンの縮小像(回路パターンの一部の縮小像)が、その第2面(像面側)に配置される、表面にレジスト(感応剤)が塗布されたウエハW上の前記照明領域に共役な領域(露光領域IA)に形成される。そしてレチクルステージRSTとウエハステージWSTとの同期駆動によって、照明領域(照明光IL)に対してレチクルRを走査方向(Y軸方向)に相対移動するとともに、露光領域IA(照明光IL)に対してウエハWを走査方向(Y軸方向)に相対移動することで、ウエハW上の1つのショット領域(区画領域)の走査露光が行われ、そのショット領域にレチクルのパターンが形成される。すなわち、本実施形態では、照明系12、レチクルR及び投影光学系PLによってウエハW上にパターンが生成され、照明光ILによるウエハW上の感応層(レジスト層)の露光によってウエハW上にそのパターンが形成される。   As the projection optical system PL, for example, a refractive optical system including a plurality of lenses (lens elements) arranged along an optical axis AX parallel to the Z-axis direction is used. The projection optical system PL is, for example, both-side telecentric and has a predetermined projection magnification (for example, 1/4 times or 1/5 times). For this reason, when the illumination area is illuminated by the illumination light IL from the illumination system 12, the illumination light that has passed through the reticle R arranged so that the first surface (object surface) and the pattern surface of the projection optical system PL substantially coincide with each other. A surface on which a reduced image of the circuit pattern of the reticle R in the illumination area (a reduced image of a part of the circuit pattern) is disposed on the second surface (image surface side) by the IL through the projection optical system PL. Is formed in an area (exposure area IA) conjugate to the illumination area on the wafer W coated with a resist (sensitive agent). Then, by synchronous driving of reticle stage RST and wafer stage WST, reticle R is moved relative to the illumination area (illumination light IL) in the scanning direction (Y-axis direction) and at the same time with respect to exposure area IA (illumination light IL). By moving the wafer W relative to the scanning direction (Y-axis direction), scanning exposure of one shot area (partition area) on the wafer W is performed, and a reticle pattern is formed in the shot area. That is, in this embodiment, a pattern is generated on the wafer W by the illumination system 12, the reticle R, and the projection optical system PL, and the sensitive layer (resist layer) on the wafer W is exposed on the wafer W by the illumination light IL. A pattern is formed.

投影光学系PLから−Y方向に所定距離離間した位置には、アライメント系ALGが設置されている。ここで、アライメント系ALGが有する光軸ALXは、投影光学系PLの光軸AXと平行であり、且つ、Y軸に直交する。アライメント系ALGとして、例えば、画像処理方式のFIA(Field Image Alignment)系のセンサが用いられる。このFIA系は、ウエハ上のレジストを感光させないブロードバンドな検出光束を検出対象のマーク(ウエハW上のアライメントマーク又は基準マーク板上の基準マーク)に照射し、そのマークからの反射光により受光面に結像されたマークの像と指標(不図示)の像とを撮像素子(CCD)等を用いて撮像し、それらの撮像信号を出力する。撮像信号は不図示の信号処理装置に送られ、その信号処理装置により、指標中心(検出中心)に対するマークの位置ずれ量(位置情報)が、主制御装置20(図4参照)に供給される。   An alignment system ALG is installed at a position separated from the projection optical system PL by a predetermined distance in the −Y direction. Here, the optical axis ALX of the alignment system ALG is parallel to the optical axis AX of the projection optical system PL and is orthogonal to the Y axis. As the alignment system ALG, for example, an image processing type FIA (Field Image Alignment) type sensor is used. This FIA system irradiates a detection target mark (an alignment mark on the wafer W or a reference mark on a reference mark plate) with a broadband detection light beam that does not expose the resist on the wafer, and receives light from the reflected light from the mark. The image of the mark formed on the image and the image of the index (not shown) are picked up using an image pickup device (CCD) or the like, and the image pickup signals are output. The imaging signal is sent to a signal processing device (not shown), and the signal processing device supplies a mark position shift amount (position information) with respect to the index center (detection center) to the main controller 20 (see FIG. 4). .

ウエハステージWSTは、その上面にて静電チャック機構(不図示)によりウエハホルダWHを吸着保持している。また、ウエハホルダWHは、該ウエハホルダWHが有する静電チャック機構により、ウエハWを吸着保持する。ウエハステージWSTは、図1に示されるように、ステージ本体14と、その上に固定されたウエハテーブルWTBと、静電チャック機構(不図示)によってウエハテーブルWTBに対して着脱自在のウエハホルダWHと、を含んでいる。なお、ウエハホルダWHをウエハテーブルWTBに固定する保持機構は静電チャック機構に限らず、例えば真空チャック機構あるいはクランプ機構などでも良い。また、ウエハホルダWHは、ウエハテーブルWTBと一体に形成されても良いし、静電チャック機構と異なる機構、例えば真空チャック機構などによってウエハWを保持しても良い。   Wafer stage WST attracts and holds wafer holder WH on its upper surface by an electrostatic chuck mechanism (not shown). Further, the wafer holder WH attracts and holds the wafer W by an electrostatic chuck mechanism included in the wafer holder WH. As shown in FIG. 1, wafer stage WST includes a stage main body 14, a wafer table WTB fixed thereon, and a wafer holder WH detachably attached to wafer table WTB by an electrostatic chuck mechanism (not shown). , Including. The holding mechanism for fixing the wafer holder WH to the wafer table WTB is not limited to the electrostatic chuck mechanism, and may be a vacuum chuck mechanism or a clamp mechanism, for example. Wafer holder WH may be formed integrally with wafer table WTB, or may hold wafer W by a mechanism different from the electrostatic chuck mechanism, such as a vacuum chuck mechanism.

ステージ本体14(ウエハステージWST)は、例えばリニアモータ及びボイスコイルモータ(VCM)などを含むステージ駆動系27(図4参照)により、X軸方向、Y軸方向、Z軸方向、θx方向、θy方向、及びθz方向の6自由度方向に駆動される。これにより、ウエハWは6自由度方向に移動可能である。なお、ステージ本体14はX軸方向、Y軸方向、及びθz方向に駆動可能とし、かつウエハテーブルWTBを少なくともZ軸方向、θx方向、及びθy方向に微動可能としても良い。この場合、ウエハテーブルWTBを6自由度方向に微動可能としても良い。   The stage main body 14 (wafer stage WST) is, for example, driven by a stage drive system 27 (see FIG. 4) including a linear motor, a voice coil motor (VCM), and the like, in the X-axis direction, Y-axis direction, Z-axis direction, θx direction, θy And 6 degrees of freedom in the θz direction. Thereby, the wafer W can move in the direction of six degrees of freedom. The stage main body 14 may be driven in the X-axis direction, the Y-axis direction, and the θz direction, and the wafer table WTB may be finely moved at least in the Z-axis direction, the θx direction, and the θy direction. In this case, wafer table WTB may be finely movable in the direction of six degrees of freedom.

ウエハテーブルWTBは、透明な部材(例えば、ガラス等)から成る、平面視(上方から見て)、略正方形の板状部材である。ウエハテーブルWTBの上面の中央部にウエハホルダWHが保持されている。ウエハテーブルWTBは、その内部を後述するエンコーダシステムの計測光が進行するので、少なくともこの計測光に対して透明な透明部材で構成される。また、ウエハテーブルWTBは、XY平面と実質的に平行な第1面(上面)及び第2面(下面)と、X軸方向にそれぞれ延びる一対の側面及びY軸方向にそれぞれ延びる一対の側面とを有する。本実施形態では、後述するように、4つの側面(以下では、端面とも呼ぶ)から、計測用のレーザ光(計測光)がウエハテーブルWTBの内部に入射する又は外部に射出される。なお、透明部材は、低熱膨張率の材料であることが好ましく、本実施形態では一例として合成石英などが用いられる。また、ウエハテーブルWTBはその全体が透明部材で構成されても良いが、計測用のレーザ光が通るウエハテーブルWTBの一部のみを透明部材で構成しても良い。   Wafer table WTB is a substantially square plate-like member that is made of a transparent member (for example, glass or the like) in plan view (viewed from above). Wafer holder WH is held at the center of the upper surface of wafer table WTB. Wafer table WTB is composed of a transparent member transparent to at least this measurement light because measurement light of an encoder system, which will be described later, travels inside. Wafer table WTB includes a first surface (upper surface) and a second surface (lower surface) substantially parallel to the XY plane, a pair of side surfaces extending in the X-axis direction, and a pair of side surfaces extending in the Y-axis direction, respectively. Have In this embodiment, as will be described later, laser light for measurement (measurement light) is incident on the inside of wafer table WTB or emitted to the outside from four side surfaces (hereinafter also referred to as end surfaces). The transparent member is preferably a material having a low coefficient of thermal expansion, and synthetic quartz or the like is used as an example in this embodiment. Wafer table WTB may be entirely formed of a transparent member, but only a part of wafer table WTB through which measurement laser light passes may be formed of a transparent member.

ウエハテーブルWTBの−Y側端面及び+Y側端面は、図3(A)に示されるように、X軸方向に延び、かつXZ平面に対して所定角度(θ(0°<θ<90°))傾斜している。すなわち、両端面は、ウエハテーブルWTBの上面に対して鋭角(90°−θ)をなす。同様に、ウエハテーブルWTBの−X側端面及び+X側端面は、Y軸方向に延び、かつYZ平面に対して所定角度(θ)傾斜している。   As shown in FIG. 3A, the −Y side end surface and the + Y side end surface of wafer table WTB extend in the X-axis direction and have a predetermined angle (θ (0 ° <θ <90 °) with respect to the XZ plane. ) Inclined. That is, both end faces form an acute angle (90 ° −θ) with respect to the upper surface of wafer table WTB. Similarly, the −X side end surface and the + X side end surface of wafer table WTB extend in the Y-axis direction and are inclined at a predetermined angle (θ) with respect to the YZ plane.

ウエハテーブルWTBの上面中央部(ウエハホルダWHよりも一回り大きい部分)には、図1に示されるように、X軸方向を周期方向とするグレーティングと、Y軸方向を周期方向とするグレーティングと、を組み合わせた2次元グレーティング(以降、単にグレーティングと呼ぶ)24が水平に設置されている。グレーティング24の上面は、保護部材としてのカバーガラス51によって覆われている。本実施形態では、カバーガラス51の上面に、ウエハホルダWHを吸着保持する前述の静電チャック機構が設けられている。なお、本実施形態では、ウエハテーブルWTBの上面のほぼ全面を覆うようにカバーガラス51を設けているが、グレーティング24を含む上面の一部のみを覆うようにカバーガラス51を設けても良い。また、本実施形態では、カバーガラス51をウエハテーブルWTBと同一の材料で構成するが、他の材料、例えば金属、セラミックス、あるいは薄膜などで構成しても良い。   At the center of the upper surface of wafer table WTB (a portion slightly larger than wafer holder WH), as shown in FIG. 1, a grating having a periodic direction in the X-axis direction, a grating having a periodic direction in the Y-axis direction, A two-dimensional grating (hereinafter simply referred to as a “grating”) 24 in combination is installed horizontally. The upper surface of the grating 24 is covered with a cover glass 51 as a protective member. In the present embodiment, the above-described electrostatic chuck mechanism for attracting and holding the wafer holder WH is provided on the upper surface of the cover glass 51. In this embodiment, the cover glass 51 is provided so as to cover almost the entire upper surface of the wafer table WTB. However, the cover glass 51 may be provided so as to cover only a part of the upper surface including the grating 24. In this embodiment, the cover glass 51 is made of the same material as that of the wafer table WTB. However, the cover glass 51 may be made of another material such as metal, ceramics, or a thin film.

なお、ウエハテーブルWTBはカバーガラス51を含むものとしても良い。この場合、グレーティング24の形成面がウエハテーブルWTBの最上面ではなくその内部に配置されることになる。また、グレーティング24を、ウエハホルダの裏面に設けても良い。さらに、カバーガラスなどの保護部材を設ける代わりに、例えばウエハホルダなどで代用しても良い。   Wafer table WTB may include cover glass 51. In this case, the surface on which grating 24 is formed is arranged not in the uppermost surface of wafer table WTB but in the interior thereof. Further, the grating 24 may be provided on the back surface of the wafer holder. Further, instead of providing a protective member such as a cover glass, a wafer holder or the like may be used instead.

ウエハステージWSTのXY平面内における、すなわちX及びY軸方向に関する位置情報は、後述するエンコーダシステムにより、グレーティング24を用いて、常時検出されている。検出されるウエハステージWSTの位置情報は主制御装置20に送られる。主制御装置20は、この位置情報に基づいて、前述したリニアモータ及びボイスコイルモータを駆動して、ウエハステージWSTの位置を制御する。   Position information of wafer stage WST in the XY plane, that is, in the X and Y axis directions, is always detected using a grating 24 by an encoder system described later. The detected position information of wafer stage WST is sent to main controller 20. Main controller 20 controls the position of wafer stage WST by driving the linear motor and voice coil motor described above based on this position information.

また、露光装置100では、上述のエンコーダシステムとは独立に、ウエハテーブルWTBに固定された移動鏡17を介して、ウエハテーブルWTB(ウエハW)の全6自由度(X,Y,Z,θx,θy,θz)方向に関する位置情報を計測するためのレーザ干渉計システム18(以下、適宜干渉計システムと略述する)が設けられている。ここで、実際には、ウエハテーブルWTB上には、X軸に垂直な反射面を有し、Y軸方向に延びるX移動鏡と、Y軸に垂直な反射面を有し、X軸方向に延びるY移動鏡とが設けられ、これに対応して、X移動鏡、Y移動鏡にそれぞれ測長ビームを照射するX干渉計、Y干渉計が、それぞれ設けられる。X干渉計、Y干渉計としては、測長軸を複数有する多軸干渉計が用いられており、主制御装置20は、X干渉計及びY干渉計の計測値に基づいて、ウエハテーブルWTB(ウエハステージWST)のX軸方向の位置及びY軸方向の位置に加え、θx、θy、及びθz方向の位置情報(回転情報)をも計測することができる。また、干渉計システム18は、ウエハテーブルWTBに固定されたXY平面に対して45度傾斜した不図示の反射面、及び投影光学系PLを保持する不図示のメインフレームに固定された反射面を介してウエハテーブルWTB(ウエハステージWST)のZ位置を計測する、Z干渉計をも備えている。   Further, in the exposure apparatus 100, independent of the encoder system described above, all six degrees of freedom (X, Y, Z, θx) of the wafer table WTB (wafer W) are provided via the movable mirror 17 fixed to the wafer table WTB. , Θy, θz) is provided with a laser interferometer system 18 (hereinafter abbreviated as an interferometer system as appropriate) for measuring positional information. Here, actually, on wafer table WTB, there is a reflecting surface perpendicular to the X axis, an X movable mirror extending in the Y axis direction, a reflecting surface perpendicular to the Y axis, and in the X axis direction. An extending Y moving mirror is provided. Correspondingly, an X interferometer and a Y interferometer for irradiating a length measuring beam to the X moving mirror and the Y moving mirror, respectively, are provided. A multi-axis interferometer having a plurality of measurement axes is used as the X interferometer and the Y interferometer, and the main controller 20 determines the wafer table WTB (based on the measured values of the X interferometer and the Y interferometer). In addition to the position of the wafer stage WST) in the X-axis direction and the position in the Y-axis direction, position information (rotation information) in the θx, θy, and θz directions can also be measured. Further, the interferometer system 18 includes a reflection surface (not shown) inclined by 45 degrees with respect to the XY plane fixed to the wafer table WTB and a reflection surface fixed to a main frame (not shown) that holds the projection optical system PL. And a Z interferometer for measuring the Z position of wafer table WTB (wafer stage WST).

本実施形態では、主制御装置20は、露光時などにウエハテーブルWTB(ウエハステージWST)のX軸方向及びY軸方向の位置を、通常、後述するエンコーダシステムの計測値に基づいて制御し、干渉計システム18のX干渉計、Y干渉計の計測値は、補助的に用いる。すなわち、主制御装置20は、後述するエンコーダシステムの各エンコーダの計測領域から外れる位置に移動した場合に、干渉計システム18のX干渉計、Y干渉計の計測値に基づいてウエハテーブルWTB(ウエハステージWST)のX軸方向及びY軸方向の位置を制御する。また、主制御装置20は、エンコーダシステムのいずれかのエンコーダの出力異常が発生した場合などに、バックアップとして、干渉計システム18のX干渉計及び/又はY干渉計の計測値を用いる。   In the present embodiment, main controller 20 controls the position of wafer table WTB (wafer stage WST) in the X-axis direction and Y-axis direction at the time of exposure or the like based on measurement values of an encoder system, which will be described later, The measurement values of the X interferometer and Y interferometer of the interferometer system 18 are used supplementarily. That is, when the main controller 20 moves to a position outside the measurement area of each encoder of the encoder system, which will be described later, based on the measurement values of the X interferometer and Y interferometer of the interferometer system 18, the wafer table WTB (wafer) The position of the stage WST) in the X-axis direction and the Y-axis direction is controlled. Further, main controller 20 uses the measurement values of the X interferometer and / or Y interferometer of interferometer system 18 as a backup when an output abnormality of any encoder of the encoder system occurs.

さらに、露光装置100には、投影光学系PLの直下でウエハWの面位置(Z軸方向の位置)を検出するための、例えば特開平6−283403号公報(対応する米国特許第5,448,332号明細書)等に開示される多点焦点位置検出系から成るフォーカスセンサAF(図4参照)が設けられている。このフォーカスセンサAFの出力が主制御装置20に供給され、主制御装置20はウエハステージWSTをZ軸方向、θx方向及びθy方向に微動していわゆるフォーカスレベリング制御を行う。   Further, in the exposure apparatus 100, for example, JP-A-6-283403 (corresponding US Pat. No. 5,448) for detecting the surface position (position in the Z-axis direction) of the wafer W directly under the projection optical system PL. No. 332) and the like, and a focus sensor AF (see FIG. 4) comprising a multipoint focal position detection system is provided. The output of the focus sensor AF is supplied to the main controller 20, and the main controller 20 performs a so-called focus leveling control by finely moving the wafer stage WST in the Z-axis direction, the θx direction, and the θy direction.

図4には、露光装置100の制御系の主要な構成が示されている。制御系は、主制御装置20を中心として構成されている。制御装置20(図4参照)は、ワークステーション(又はマイクロコンピュータ)等を含み、上記検出系など、露光装置100の構成各部を統括制御する。   FIG. 4 shows the main configuration of the control system of the exposure apparatus 100. The control system is configured around the main controller 20. The control device 20 (see FIG. 4) includes a workstation (or a microcomputer) and the like, and comprehensively controls each part of the exposure apparatus 100 such as the detection system.

次に、ウエハステージWSTの位置計測に用いられるエンコーダシステム16(図4参照)の構成について説明する。本実施形態のエンコーダシステムは、前述のグレーティング24と、グレーティング24に計測用のレーザ光(計測光)Lx1,Ly1,Ly1をそれぞれ照射する光源16Xa,16Ya,16Ya(光源16Ya,16Yaは、図1及び図3(A)〜図3(C)では不図示、図2(A)参照)と、グレーティング24からの複数の回折光Lx2,Ly2,Ly2をそれぞれ受光する光検出器16Xb,16Yb,16Yb(光検出器16Yb,16Ybは、図1及び図3(A)〜図3(C)では不図示、図2(A)参照)と、を備えている。光検出器16Xb,16Yb,16Ybは、それぞれ複数の回折光Lx2,Ly2,Ly2を集光して干渉光を生成するための回折格子と、生成された干渉光を受光するための受光素子、例えばCCDと、を含む。 Next, the configuration of encoder system 16 (see FIG. 4) used for position measurement of wafer stage WST will be described. The encoder system of the present embodiment includes the above-described grating 24 and light sources 16Xa, 16Ya 1 and 16Ya 2 (light sources 16Ya 1 and 16Ya 2 , respectively) that irradiate the grating 24 with measurement laser light (measurement light) Lx1, Ly1 1 and Ly12. 16Ya 2 receives a plurality of diffracted lights Lx2, Ly2 1 and Ly2 2 from the grating 24, respectively (not shown in FIGS. 1 and 3A to 3C, see FIG. 2A). photodetector 16Xb, 16Yb 1, 16Yb 2 (photodetector 16Yb 1, 16Yb 2 is FIG. 1 and FIG. 3 (a) ~ FIG 3 (C) in not shown, FIG. 2 (a) refer) provided with, a ing. The photodetectors 16Xb, 16Yb 1 , 16Yb 2 respectively collect a plurality of diffracted lights Lx2, Ly2 1 , Ly2 2 to generate interference light, and receive the generated interference light. A light receiving element such as a CCD.

図2(A)には、露光領域IA及びアライメント系ALGの光軸ALXと、光源16Xa,16Ya,16Yaと、光検出器16Xb,16Yb,16Ybと、の位置関係が、斜視図にて示されている。光源16Xaと光検出器16Xbは、露光領域IAの中心の直下の点IAa(図2(B)参照)から−Y側及び+Y側にほぼ等間隔離れた位置に配置されている。光源16Yaと光検出器16Ybは、露光領域IAの中心の直下の点IAa(以下、適宜露光領域IAの中心IAaとも記述する)から+X側及び−X側にほぼ等間隔離れた位置に配置されている。ここで、露光領域IAの中心IAaは、XY平面内で投影光学系PLの光軸AXと一致している。光源16Yaと光検出器16Ybは、アライメント系ALGの検出中心ALa(図2(C)参照)から+X側及び−X側にほぼ等間隔離れた位置に配置されている。ここで、アライメント系ALGの検出中心ALaは、XY平面内でアライメント系ALGの光軸ALXと一致し、ウエハW上のアライメントマークを検出するアライメント計測時には検出中心ALaを基準にウエハステージWSTが位置決めされる。 2A is a perspective view showing the positional relationship between the exposure area IA and the optical axis ALX of the alignment system ALG, the light sources 16Xa, 16Ya 1 and 16Ya 2 and the photodetectors 16Xb, 16Yb 1 and 16Yb 2 . Is shown. The light source 16Xa and the light detector 16Xb are arranged at substantially equal intervals on the −Y side and the + Y side from a point IAa (see FIG. 2B) immediately below the center of the exposure area IA. The light source 16Ya 1 and the light detector 16Yb 1 are located at substantially equal intervals on the + X side and the −X side from a point IAa immediately below the center of the exposure area IA (hereinafter also referred to as the center IAa of the exposure area IA as appropriate) Has been placed. Here, the center IAa of the exposure area IA coincides with the optical axis AX of the projection optical system PL in the XY plane. The light source 16Ya 2 and the light detector 16Yb 2 are arranged at substantially equal intervals on the + X side and the −X side from the detection center ALa (see FIG. 2C) of the alignment system ALG. Here, the detection center ALa of the alignment system ALG coincides with the optical axis ALX of the alignment system ALG in the XY plane, and the wafer stage WST is positioned with reference to the detection center ALa at the time of alignment measurement for detecting the alignment mark on the wafer W. Is done.

グレーティング24と光源16Xaと受光系16Xbとを用いることにより、ウエハテーブルWTB(ウエハW)のX軸方向に関する位置情報を検出することができる。これらの要素を含んで構成されるエンコーダを、Xエンコーダ16Xと呼ぶ。図2(A)及び図3(A)に示されるように、光源16Xaは計測光Lx1を、XY平面内においてY軸に平行に、YZ平面においてY軸に対して角θを成す方向に射出する。計測光Lx1は、ウエハテーブルWTBの−Y側の端面に垂直に入射し、ウエハテーブルWTBの内部に進入する。進入した計測光Lx1は、ウエハテーブルWTBの内部を伝播し、グレーティング24にYZ平面内において入射角(90°−θ)で入射する。ここで、図2(B)に示されるように、計測光Lx1のグレーティング24上での照射点が、常に、露光領域IAの中心の直下の点IAaに位置するように、光源16Xaの設置位置、計測光Lx1の射出角、及びウエハテーブルWTBの端面の傾斜角θ、が定められている。   By using the grating 24, the light source 16Xa, and the light receiving system 16Xb, position information regarding the X-axis direction of the wafer table WTB (wafer W) can be detected. An encoder configured to include these elements is referred to as an X encoder 16X. As shown in FIGS. 2A and 3A, the light source 16Xa emits the measurement light Lx1 in a direction parallel to the Y axis in the XY plane and in an angle θ with respect to the Y axis in the YZ plane. To do. Measurement light Lx1 is perpendicularly incident on the −Y side end surface of wafer table WTB, and enters wafer table WTB. The entering measurement light Lx1 propagates inside the wafer table WTB and enters the grating 24 at an incident angle (90 ° −θ) in the YZ plane. Here, as shown in FIG. 2B, the installation position of the light source 16Xa is such that the irradiation point of the measurement light Lx1 on the grating 24 is always located at a point IAa immediately below the center of the exposure area IA. The emission angle of the measurement light Lx1 and the inclination angle θ of the end surface of the wafer table WTB are determined.

計測光Lx1が、グレーティング24に入射し、そのX軸方向を周期方向とするグレーティングによって反射・回折されることにより、複数の回折光が発生する。図2(A)及び図2(B)には、±1次の回折光Lx2が図示されている。これら±1次の回折光Lx2は、グレーティング24から、図3(A)に示されるように、YZ平面内において射出角(90°−θ)を成し、且つ、図2(B)に示されるように、XY平面内においてY軸に平行な中心軸に関して互いに絶対値が等しい(ただし符号が異なる)回折角を成す方向へ射出される。なお、これらの回折光は、図3(A)〜図3(C)では、紙面垂直方向に重なっている。   The measurement light Lx1 enters the grating 24 and is reflected and diffracted by the grating having the X-axis direction as a periodic direction, thereby generating a plurality of diffracted lights. 2A and 2B show ± first-order diffracted light Lx2. These ± 1st-order diffracted beams Lx2 form an emission angle (90 ° −θ) in the YZ plane as shown in FIG. 3A from the grating 24, and also shown in FIG. As shown in the figure, the light beams are emitted in directions that form diffraction angles having the same absolute value (but different in sign) from each other with respect to the central axis parallel to the Y axis in the XY plane. Note that these diffracted lights overlap in the direction perpendicular to the paper surface in FIGS. 3 (A) to 3 (C).

回折光Lx2は、ウエハテーブルWTBの+Y側の端面から外部に射出され、光検出器16Xbによって受光される。光検出器16Xbは、その内部に設けられた回折格子を用いて、回折光Lx2を集光、合成して干渉光を発生させ、その強度を検出する。検出結果は、主制御装置20に送られる。主制御装置20は、受信した検出結果から、ウエハテーブルWTB(ウエハW)のX軸方向に関する位置情報を算出する。ここで、Xエンコーダ16Xの計測光Lx1の光路は、図2(B)からもわかるように、Z軸方向から見て(XY平面内において)その計測方向(X軸方向)と直交している。   The diffracted light Lx2 is emitted to the outside from the + Y side end surface of the wafer table WTB, and is received by the photodetector 16Xb. The photodetector 16Xb collects and synthesizes the diffracted light Lx2 using a diffraction grating provided therein, generates interference light, and detects its intensity. The detection result is sent to the main controller 20. Main controller 20 calculates position information regarding the X-axis direction of wafer table WTB (wafer W) from the received detection result. Here, as can be seen from FIG. 2B, the optical path of the measurement light Lx1 of the X encoder 16X is orthogonal to the measurement direction (X-axis direction) when viewed from the Z-axis direction (in the XY plane). .

同様に、グレーティング24と光源16Yaと受光系16Ybとを用いることにより、ウエハテーブルWTB(ウエハW)のY軸方向に関する位置情報を検出することができる。これらの要素を含んで構成されるエンコーダを、Yエンコーダ16Yと呼ぶ。図2(A)に示されるように、光源16Yaは、計測光Ly1を、XY平面内においてX軸に平行に、XZ平面においてX軸に対して角θを成す方向に射出する。計測光Ly1は、ウエハテーブルWTBの+X側の端面に垂直に入射し、ウエハテーブルWTBの内部に進入する。進入した計測光Ly1は、ウエハテーブルWTBの内部を伝播し、グレーティング24にXZ平面内において入射角(90°−θ)で入射する。ここで、図2(B)に示されるように、計測光Ly1のグレーティング24上での照射点が、常に、露光領域IAの中心の直下の点IAaに位置するように、光源16Yaの設置位置、計測光Ly1の射出角、及びウエハテーブルWTBの端面の傾斜角θ、が定められている。 Similarly, by using the grating 24 and the light source 16Ya 1 and light receiving system 16Yb 1, it is possible to detect the positional information about the Y-axis direction of the surface position of wafer table WTB (wafer W). An encoder configured to include these elements, referred to as a Y encoder 16Y 1. As shown in FIG. 2A, the light source 16Ya 1 emits the measurement light Ly1 1 in a direction that forms an angle θ parallel to the X axis in the XY plane and in the XZ plane with respect to the X axis. Measuring light LyI 1 is incident perpendicularly on the end face on the + X side of wafer table WTB, enters the inside of wafer table WTB. The entering measurement light Ly11 1 propagates through the wafer table WTB and enters the grating 24 at an incident angle (90 ° −θ) in the XZ plane. Here, as shown in FIG. 2 (B), the irradiation point on the grating 24 of the measuring light LyI 1 is always to be located IAa point directly below the center of the exposure area IA, the light source 16Ya 1 installation position, the injection angle of the measuring light LyI 1, and the inclination angle of the end surface of wafer table WTB theta, is defined.

計測光Ly1が、グレーティング24に入射し、そのY軸方向を周期方向とするグレーティングによって反射・回折されることにより、複数の回折光が発生する。図2(A)及び図2(B)には、±1次の回折光Ly2が図示されている。これら±1次の回折光Ly2は、グレーティング24から、XZ平面内において射出角(90°−θ)を成し、且つ、図2(B)に示されるように、XY平面内においてX軸に平行な中心軸に関して互いに絶対値が等しい(ただし符号が異なる)回折角を成す方向へ射出される。 Measuring light LyI 1 is incident on the grating 24, by being reflected and diffracted by the grating to the Y-axis direction and periodic direction, a plurality of diffracted light is generated. FIG. 2 (A) and FIG. 2 (B), the diffracted light Ly2 1 of ± 1-order is shown. These ± 1st-order diffracted lights Ly2 1 form an emission angle (90 ° −θ) in the XZ plane from the grating 24, and as shown in FIG. 2B, the X-axis in the XY plane Are emitted in directions that form diffraction angles having the same absolute value (but different signs) from each other with respect to a central axis parallel to.

回折光Ly2は、ウエハテーブルWTBの−X側の端面から外部に射出され、光検出器16Ybによって受光される。光検出器16Ybは、その内部に設けられた回折格子を用いて、回折光Ly2を集光、合成して干渉光を発生させ、その強度を検出する。検出結果は、主制御装置20に送られる。主制御装置20は、受信した検出結果から、ウエハテーブルWTB(ウエハW)のY軸方向に関する位置情報を算出する。なお、Yエンコーダ16Yの計測光Ly1の光路は、図2(B)からもわかるように、Z軸方向から見て(XY平面内において)その計測方向(Y軸方向)と直交している。 Diffracted light Ly2 1 is emitted to the outside from the −X side end face of wafer table WTB, and is received by photodetector 16Yb 1 . The photodetector 16Yb 1 collects and synthesizes the diffracted light Ly2 1 using a diffraction grating provided therein, generates interference light, and detects its intensity. The detection result is sent to the main controller 20. Main controller 20 calculates position information regarding the Y-axis direction of wafer table WTB (wafer W) from the received detection result. Note that the optical path of the measurement light Ly1 1 of the Y encoder 16Y 1 is orthogonal to the measurement direction (Y-axis direction) when viewed from the Z-axis direction (in the XY plane), as can be seen from FIG. Yes.

図3(A)〜図3(C)に示されるように、光源16Xaから射出される計測光Lx1は、少なくともウエハW上に露光領域IAが存在する領域内では、必ず、ウエハテーブルWTBの−Y側の端面に入射する。そのため、少なくとも露光領域IAがウエハW上に存在する領域、例えば露光時における移動領域(露光時移動領域)の内部をウエハテーブルWTBが移動する際には、Xエンコーダ16Xを用いて、ウエハテーブルWTBのX軸方向に関する位置情報を計測することができる。同様に、光源16Yaから射出される計測光Ly1は、少なくともウエハW上に露光領域IAが存在する領域内では、必ず、ウエハテーブルWTBの+X側の端面に入射する。そのため、少なくとも露光領域IAがウエハW上に存在する領域、例えば露光時移動領域の内部をウエハテーブルWTBが移動する際には、Yエンコーダ16Yを用いて、ウエハテーブルWTBのY軸方向に関する位置情報を計測することができる。 As shown in FIG. 3A to FIG. 3C, the measurement light Lx1 emitted from the light source 16Xa is always on the wafer table WTB at least in the region where the exposure region IA exists on the wafer W. Incident on the Y side end face. Therefore, when the wafer table WTB moves at least in an area where the exposure area IA exists on the wafer W, for example, the movement area during exposure (movement area during exposure), the wafer table WTB is used by using the X encoder 16X. The position information regarding the X-axis direction can be measured. Similarly, the measurement light LyI 1 emitted from the light source 16Ya 1, in the region at least of the wafer W on the exposure area IA is present, always incident on the end surface on the + X side of wafer table WTB. Therefore, at least the region where the exposure area IA is present on the wafer W, for example, when the interior of the exposure time movement area wafer table WTB moves, using the Y encoders 16Y 1, the position in the Y-axis direction of wafer table WTB Information can be measured.

上述のYエンコーダ16Yと同様に、グレーティング24と光源16Yaと受光系16Ybとを含んで構成されるYエンコーダ16Yを用いることにより、ウエハテーブルWTB(ウエハW)のY軸方向に関する位置情報を検出することができる。図2(A)に示されるように、光源16Yaは、計測光Ly1と平行に、計測光Ly1を射出する。計測光Ly1は、ウエハテーブルWTBがアライメント系ALGの下方にあるとき、ウエハテーブルWTBの+X側の端面を介してウエハテーブルWTBの内部に進入し、グレーティング24に入射する。ここで、図2(C)に示されるように、計測光Ly1のグレーティング24上での照射点が、常に、アライメント系ALGの検出中心ALaに位置するように、光源16Yaの設置位置、計測光Ly1の射出角、及びウエハテーブルWTBの端面の傾斜角θ、が定められている。 Like the Y encoder 16Y 1 described above, by using the configured Y encoder 16Y 2 include a grating 24 and the light source 16Ya 2 and the light receiving system 16Yb 2, the position in the Y-axis direction of the surface position of wafer table WTB (wafer W) Information can be detected. As shown in FIG. 2 (A), the light source 16Ya 2 are parallel, and emits a measurement light LyI 2 and the measurement light LyI 1. Measuring light LyI 2, when wafer table WTB is below the alignment system ALG, and enters the inside of wafer table WTB via an end surface on the + X side of wafer table WTB, incident on the grating 24. Here, as shown in FIG. 2 (C), the irradiation point on the grating 24 of the measurement light LyI 2 is always to be located detection center ALa alignment system ALG, the installation position of the light source 16Ya 2, exit angle of the measuring light LyI 2, and the inclination angle of the end surface of wafer table WTB theta, is defined.

計測光Ly1が、グレーティング24に入射し、そのY軸方向を周期方向とするグレーティングによって反射・回折されることにより、複数の回折光が発生する。図2(A)及び図2(C)には、±1次の回折光Ly2が図示されている。これら±1次の回折光Ly2は、それぞれ±1次の回折光Ly2の光路と平行な光路に沿って、ウエハテーブルWTBの−X側の端面から外部に射出され、光検出器16Ybによって受光される。光検出器16Ybは、その内部に設けられた回折格子を用いて、回折光Ly2を集光、合成して干渉光を発生させ、その強度を検出する。検出結果は、主制御装置20に送られる。主制御装置20は、受信した検出結果から、ウエハテーブルWTB(ウエハW)のY軸方向に関する位置情報を算出する。なお、Yエンコーダ16Yの計測光Ly1の光路は、図2(C)からもわかるように、Z軸方向から見て(XY平面内において)その計測方向(Y軸方向)と直交している。 Measuring light LyI 2 is incident on the grating 24, by being reflected and diffracted by the grating to the Y-axis direction and periodic direction, a plurality of diffracted light is generated. 2A and 2C show ± first-order diffracted light Ly22 2 . These ± 1st-order diffracted lights Ly2 2 are emitted to the outside from the −X side end surface of wafer table WTB along optical paths parallel to the optical paths of ± 1st-order diffracted lights Ly2 1 , respectively, and are detected by photodetector 16Yb 2. Is received by. The photodetector 16Yb 2 collects and combines the diffracted light Ly22 2 using a diffraction grating provided therein, generates interference light, and detects its intensity. The detection result is sent to the main controller 20. Main controller 20 calculates position information regarding the Y-axis direction of wafer table WTB (wafer W) from the received detection result. Incidentally, Y encoders 16Y 2 in the optical path of the measuring light LyI 2, as can be seen from FIG. 2 (C), the viewed from the Z-axis direction (in the XY plane) and perpendicular to the measurement direction (Y axis direction) Yes.

光源16Yaから射出される計測光Ly1は、少なくともウエハW上にアライメント系ALGの検出中心ALaが存在する領域内では、必ず、ウエハテーブルWTBの+X側の端面に入射する。そのため、検出中心ALaがウエハW上に存在する領域、例えばアライメント時における移動領域(アライメント時移動領域)の内部をウエハテーブルWTBが移動する際には、Yエンコーダ16Yを用いて、ウエハテーブルWTBのY軸方向に関する位置情報を計測することができる。なお、アライメント時移動領域では、ウエハテーブルWTBのX軸方向に関する位置情報は、主制御装置20により、干渉計システム18を用いて計測されるようになっている。勿論、アライメント系ALGの検出中心ALaに対応するグレーティング24上の点に計測光を照射して、ウエハテーブルWTBのX位置を計測する、Xエンコーダ16Xと同様のXエンコーダを導入しても良い。 Measuring light LyI 2 emitted from the light source 16Ya 2, in the area where the detection center ALa of alignment system ALG on at least the wafer W is present, always incident on the end surface on the + X side of wafer table WTB. Therefore, when the region detection center ALa is present on the wafer W, for example, the interior of the moving region when the alignment (alignment time movement area) wafer table WTB moves, using the Y encoder 16Y 2, wafer table WTB The position information regarding the Y-axis direction can be measured. In the movement region during alignment, position information regarding the X-axis direction of wafer table WTB is measured by main controller 20 using interferometer system 18. Of course, an X encoder similar to the X encoder 16X may be introduced, which measures the X position of the wafer table WTB by irradiating measurement light onto a point on the grating 24 corresponding to the detection center ALa of the alignment system ALG.

以上詳細に説明したように、本実施形態に係るエンコーダシステム16は、ウエハテーブルWTBが投影光学系PLの下方に位置するとき、光源16Xa,16Yaから射出される計測光Lx1,Ly1を、ウエハテーブルWTBの外部からその一側面を介して、露光領域IAの直下に位置する点IAaにてグレーティング24に照射する。それにより、複数の回折光が発生する。そして、計測光Lx1,Ly1のそれぞれに由来する回折光Lx2,Ly2を、光検出器16Xb,16Ybを用いて受光することにより、ウエハテーブルWTBの位置情報を計測することができる。従って、ウエハテーブルWTBの位置情報の計測において、回折光がウエハテーブルWTB内を通過する光路上では、ウエハテーブルWTBの周辺雰囲気の揺らぎの影響を受けることがない。さらに、ウエハテーブルWTBの外部についても、回折光の光路は近接し、ほぼ同じ雰囲気中を伝播するので、周辺雰囲気の揺らぎの影響は小さい。そのため、例えば露光時移動領域などの露光領域IAがウエハW上に存在する領域において、高精度なウエハテーブルWTBの位置計測を行うことが可能となる。 As described in detail above, the encoder system 16 according to the present embodiment uses the measurement lights Lx1 and Ly1 1 emitted from the light sources 16Xa and 16Ya 1 when the wafer table WTB is positioned below the projection optical system PL. The grating 24 is irradiated from the outside of the wafer table WTB through one side surface thereof at a point IAa located immediately below the exposure area IA. Thereby, a plurality of diffracted lights are generated. The position information of the wafer table WTB can be measured by receiving the diffracted lights Lx2 and Ly2 1 derived from the measurement lights Lx1 and Ly1 1 using the photodetectors 16Xb and 16Yb 1 , respectively. Therefore, in measuring the position information of wafer table WTB, the diffracted light is not affected by fluctuations in the ambient atmosphere of wafer table WTB on the optical path through which wafer table WTB passes. Furthermore, since the optical path of the diffracted light is close to the outside of wafer table WTB and propagates in substantially the same atmosphere, the influence of fluctuations in the surrounding atmosphere is small. Therefore, for example, in the area where the exposure area IA such as the moving area during exposure exists on the wafer W, the position of the wafer table WTB can be measured with high accuracy.

さらに、本実施形態におけるエンコーダシステム16は、ウエハテーブルWTBがアライメント系ALGの下方に位置するとき、光源16Yaから射出される計測光Ly1を、ウエハテーブルWTBの外部からその一側面を介して、アライメント系ALGの検出中心ALaにてグレーティング24に照射する。それにより、複数の回折光が発生する。そして、計測光Ly1に由来する回折光Ly2を、光検出器16Ybを用いて受光することにより、ウエハテーブルWTBの位置情報を計測することができる。従って、アライメント時移動領域などのアライメント系ALGの検出中心ALaがウエハW上に存在する領域においても、高精度なウエハテーブルWTBの位置計測を行うことが可能となる。 Moreover, the encoder system 16 of the present embodiment, when wafer table WTB is located below the alignment system ALG, the measuring light LyI 2 emitted from the light source 16Ya 2, through one side thereof from the outside of wafer table WTB The grating 24 is irradiated at the detection center ALa of the alignment system ALG. Thereby, a plurality of diffracted lights are generated. Then, diffracted light Ly2 2 derived from the measurement light LyI 2, by receiving with the light detector 16Yb 2, it is possible to measure the positional information of wafer table WTB. Therefore, even in a region where the detection center ALa of the alignment system ALG exists on the wafer W, such as a moving region during alignment, the position of the wafer table WTB can be measured with high accuracy.

また、本実施形態では、主制御装置20は、エンコーダシステム16によるウエハテーブルWTBの位置情報に基づいて、ウエハテーブルWTB(ウエハステージWST)を駆動する。従って、露光領域IAがウエハW上に存在する領域及びアライメント系ALGの検出中心ALaがウエハW上に存在する領域において、高精度にウエハテーブルWTB(ウエハステージWST)を駆動することが可能になる。   In the present embodiment, main controller 20 drives wafer table WTB (wafer stage WST) based on position information of wafer table WTB by encoder system 16. Therefore, it is possible to drive wafer table WTB (wafer stage WST) with high accuracy in an area where exposure area IA exists on wafer W and an area where detection center ALa of alignment system ALG exists on wafer W. .

また、本実施形態では、ウエハテーブルWTB及びこれに保持されるウエハWの位置計測を高精度に行うことが可能なエンコーダシステム16を備えているので、その計測結果に基づいて、レチクルステージRST(レチクルR)とウエハステージWST(ウエハW)とを投影光学系PLの投影倍率に応じた速度比で相対移動させることにより、ウエハ上にレチクルRのパターンを高精度に転写、形成することが可能となる。   Further, in the present embodiment, the encoder system 16 capable of measuring the position of the wafer table WTB and the wafer W held by the wafer table WTB with high accuracy is provided, so that the reticle stage RST ( By relatively moving the reticle R) and the wafer stage WST (wafer W) at a speed ratio corresponding to the projection magnification of the projection optical system PL, the pattern of the reticle R can be transferred and formed on the wafer with high accuracy. It becomes.

また、本実施形態では、ウエハテーブルWTBのウエハホルダWHの裏面部分にグレーティング24が設けられていることから、ウエハテーブルWTBの加速により、ウエハテーブルWTB上でのグレーティング24の位置が微小に変化することが無い。このため、ウエハテーブルWTBを加速している間にも高精度な位置計測を行うことができる。したがって、例えば加速している間に露光を開始することも可能となり、高スループットが期待できる。   In the present embodiment, since the grating 24 is provided on the back surface portion of the wafer holder WH of the wafer table WTB, the position of the grating 24 on the wafer table WTB is slightly changed by the acceleration of the wafer table WTB. There is no. Therefore, highly accurate position measurement can be performed while accelerating wafer table WTB. Therefore, for example, exposure can be started during acceleration, and high throughput can be expected.

また、本実施形態では、露光領域IAの中心の直下の点IAa、及びアライメント系ALGの検出中心ALa、にてウエハテーブルWTBの位置を計測しているので、アッベ誤差無く、高精度な位置計測を行うことができ、該計測結果を用いて、露光の際のウエハの位置制御を行うことで、高精度な露光を行うことが可能である。   In the present embodiment, the position of the wafer table WTB is measured at the point IAa immediately below the center of the exposure area IA and the detection center ALa of the alignment system ALG. Therefore, highly accurate position measurement without Abbe error. It is possible to perform highly accurate exposure by performing wafer position control during exposure using the measurement result.

また、本実施形態では、計測光をウエハテーブルWTBの端面から、その内部を伝播させて、グレーティング24に入射させている。この構成の場合、例えば計測光をウエハテーブルWTBの上面から入射し、そして底面にて反射させて、グレーティング24に入射させる構成と比べて、ウエハテーブルWTBのY軸方向及びX軸方向のサイズを小さくすることができる。また、計測光とグレーティング24とのなす角θを小さくすることにより、ウエハテーブルWTBのZ軸方向のサイズ(高さ又は厚さ)も小さくすることができる。従って、本実施形態のエンコーダシステムの構成を採用することにより、ウエハテーブルWTBを小型化することができる。   In the present embodiment, measurement light is propagated from the end surface of wafer table WTB through the interior thereof and is incident on grating 24. In the case of this configuration, for example, the size of the wafer table WTB in the Y-axis direction and the X-axis direction is smaller than that in the configuration in which measurement light is incident from the upper surface of the wafer table WTB and reflected from the bottom surface and incident on the grating 24. Can be small. Further, by reducing the angle θ formed by the measurement light and the grating 24, the size (height or thickness) of the wafer table WTB in the Z-axis direction can also be reduced. Therefore, by adopting the configuration of the encoder system of the present embodiment, wafer table WTB can be reduced in size.

なお、上記実施形態では、Yエンコーダ16Y、16Yの光源16Ya,16Yaが、ともにウエハテーブルWTBの+X側の端面を介して計測光Ly1,Ly1をウエハテーブルWTBの内部に入射させる(進入させる)場合について説明したが、これに限らず、光源16Ya,16Yaは、ウエハテーブルWTBのX軸方向の反対側の端面をそれぞれ介して計測光Ly1,Ly1をウエハテーブルWTBの内部に入射させる(進入させる)構成であっても良い。 In the above embodiment, the light sources 16Ya 1 and 16Ya 2 of the Y encoders 16Y 1 and 16Y 2 both enter the measurement light Ly1 1 and Ly1 2 into the wafer table WTB via the + X side end surface of the wafer table WTB. However, the present invention is not limited to this, and the light sources 16Ya 1 and 16Ya 2 send the measurement light Ly1 1 and Ly1 2 to the wafer table via the end surfaces on the opposite side of the wafer table WTB in the X-axis direction, respectively. It may be configured to enter (approach) the inside of the WTB.

なお、上記実施形態において、図2(A)に示されるエンコーダシステム16の各光源に代えて、2つの計測光を射出する光源を用いても良い。2つの計測光は、光源の内部において、例えば半導体レーザから射出されるレーザ光を回折格子を介して分岐することによって、生成される。射出される2つの計測光は、ウエハテーブルWTBの側面を介してウエハテーブルWTBの内部に進入し、ウエハテーブルWTBの内部を伝播し、グレーティング24に入射する。そして、グレーティング24にて発生する複数の回折光のうち、2つの計測光のそれぞれに由来する少なくとも各1つの回折光が同軸上に合成される。その合成光の強度を、光検出器を用いて検出する。ここで、2つの計測光がグレーティング24上の同一点(例えば、点IAa又はその近傍)に入射し、それぞれの計測光に由来する少なくとも各1つの回折光が同軸上に合成されるように、ウエハテーブルWTBの側面に入射する際の屈折を考慮して、光源の設置位置、2つの計測光の射出角、及びウエハテーブルWTBの端面の傾斜角θ、グレーティング24のピッチが定められているものとする。この光源を導入したエンコーダシステムを採用した場合も、図2(A)に示される構成のエンコーダシステム16を用いた場合と同等の効果が得られる。   In the above embodiment, instead of each light source of the encoder system 16 shown in FIG. 2A, a light source that emits two measurement lights may be used. The two measurement lights are generated inside the light source by, for example, branching laser light emitted from a semiconductor laser via a diffraction grating. The two measurement beams emitted enter the wafer table WTB via the side surface of the wafer table WTB, propagate through the wafer table WTB, and enter the grating 24. Then, at least one diffracted light derived from each of the two measurement lights among the plurality of diffracted lights generated in the grating 24 is synthesized on the same axis. The intensity of the combined light is detected using a photodetector. Here, the two measurement lights are incident on the same point (for example, the point IAa or the vicinity thereof) on the grating 24, and at least one diffracted light derived from each measurement light is synthesized on the same axis. In consideration of refraction when entering the side surface of wafer table WTB, the installation position of the light source, the emission angle of the two measurement lights, the inclination angle θ of the end surface of wafer table WTB, and the pitch of grating 24 are determined. And Even when this encoder system incorporating the light source is employed, the same effect as that obtained when the encoder system 16 having the configuration shown in FIG.

また、上記実施形態では、光源からの計測光を、ウエハテーブルのX軸方向(又はY軸方向)の一側の端面から入射させ、その計測光に由来するグレーティングで発生する回折光をウエハテーブルのX軸方向(又はY軸方向)の他側の端面を介して光検出器で受光する構成のエンコーダが用いられる場合について説明した。しかし、本発明がこれに限定されるものではない。例えば、ウエハテーブルのX軸方向(又はY軸方向)の一側の端面をビームスプリッタにより構成し、該ビームスプリッタを介して光源からの計測光の一部をウエハテーブルの内部に入射させ、その計測光に由来するウエハテーブルの天井部に設けられたグレーティングからの回折光を、ビームスプリッタ(前記端面)で反射された計測光の一部と同軸に合成し、この合成された2つの光の干渉光の強度を光検出器で検出する構成のエンコーダを用いる、露光装置などにも本発明は好適に適用することができる。   In the above embodiment, the measurement light from the light source is incident from one end face in the X-axis direction (or Y-axis direction) of the wafer table, and the diffracted light generated by the grating derived from the measurement light is incident on the wafer table. A case has been described where an encoder configured to receive light with a photodetector via the other end face in the X-axis direction (or Y-axis direction) is used. However, the present invention is not limited to this. For example, one end face of the wafer table in the X-axis direction (or Y-axis direction) is configured by a beam splitter, and a part of measurement light from a light source is incident on the wafer table via the beam splitter. The diffracted light from the grating provided on the ceiling of the wafer table derived from the measurement light is synthesized coaxially with a part of the measurement light reflected by the beam splitter (the end face), and the two synthesized lights are combined. The present invention can also be suitably applied to an exposure apparatus that uses an encoder configured to detect the intensity of interference light with a photodetector.

なお、上記実施形態及び変形例では、ウエハテーブルWTBの少なくとも一部を、エンコーダシステムの計測用レーザ光が透過可能な材料(合成石英など)で構成するものとしたが、これに限らず、例えば中空の枠部材などで構成しても良い。この場合、枠部材の開口部に透過部材を設けてその内部を密封しても良いし、その内部の温度を調整可能としても良い。ウエハテーブルを中空の枠部材などで構成する場合を含み、上記実施形態では、エンコーダ本体の構成部分のうち、熱源となる部分(光源、ディテクタなど)と、熱源と成らない部分(光学系など)とを分離し、両者を光ファイバで接続するような構成を採用しても良い。   In the embodiment and the modification, at least a part of the wafer table WTB is made of a material (such as synthetic quartz) that can transmit the measurement laser light of the encoder system. You may comprise with a hollow frame member. In this case, a transparent member may be provided in the opening of the frame member to seal the inside, or the temperature inside the frame member may be adjustable. Including the case where the wafer table is constituted by a hollow frame member or the like, in the above embodiment, of the constituent parts of the encoder body, the part that becomes the heat source (light source, detector, etc.) and the part that does not become the heat source (optical system etc.) It is also possible to adopt a configuration in which the two are separated and connected by an optical fiber.

なお、上記実施形態では露光装置が単一のウエハステージを備える場合について説明したが、これに限らず、例えば米国特許第6,590,634号明細書、米国特許第5,969,441号明細書、米国特許第6,208,407号明細書などに開示されているように、複数のウエハステージを備える露光装置にも、本発明を適用することが可能である。また、例えば、米国特許第6,897,963号明細書に開示されるように、ウエハステージと、ウエハステージとは独立して移動可能な計測ステージとを含むステージ装置を備える露光装置に本発明を適用することも可能である。   In the above-described embodiment, the case where the exposure apparatus includes a single wafer stage has been described. However, the present invention is not limited to this. For example, US Pat. No. 6,590,634, US Pat. No. 5,969,441 As disclosed in US Pat. No. 6,208,407, etc., the present invention can also be applied to an exposure apparatus including a plurality of wafer stages. Further, as disclosed in, for example, US Pat. No. 6,897,963, the present invention relates to an exposure apparatus including a stage apparatus including a wafer stage and a measurement stage that can move independently of the wafer stage. It is also possible to apply.

なお、例えば国際公開第2004/053955号パンフレット及びこれに対応する米国特許出願公開第2005/0259234号明細書などに開示される液浸露光装置に、本発明を適用することも可能である。この場合、移動鏡17に代えて、ウエハテーブルWTBの側面に反射面を形成することで、ウエハテーブルWTBの上面が、ウエハWを含め、全体としてフルフラットな同一面となるようにすることが望ましい。   Note that the present invention can also be applied to, for example, an immersion exposure apparatus disclosed in International Publication No. 2004/053955 pamphlet and US Patent Application Publication No. 2005/0259234 corresponding thereto. In this case, instead of the movable mirror 17, a reflection surface is formed on the side surface of the wafer table WTB so that the upper surface of the wafer table WTB including the wafer W becomes a completely flat same surface as a whole. desirable.

また、上記実施形態の露光装置における投影光学系は縮小系のみならず等倍及び拡大系のいずれでも良いし、投影光学系は屈折系のみならず、反射系及び反射屈折系のいずれでも良いし、その投影像は倒立像及び正立像のいずれでも良い。さらに、前述の露光領域IAは、投影光学系PLの視野内で光軸AXを含むオンアクシス領域であるが、例えば国際公開第2004/107011号パンフレットに開示されるインライン型の反射屈折系と同様に、光軸AXを含まないオフアクシス領域でも良い。また、露光領域IAの形状は矩形に限らず、例えば円弧、台形、あるいは平行四辺形などでも良い。   In addition, the projection optical system in the exposure apparatus of the above embodiment may be not only a reduction system, but also an equal magnification and an enlargement system, and the projection optical system may be not only a refraction system but also a reflection system or a catadioptric system. The projected image may be an inverted image or an erect image. Further, the above-described exposure area IA is an on-axis area including the optical axis AX within the field of view of the projection optical system PL. For example, the exposure area IA is similar to the inline catadioptric system disclosed in WO 2004/107011. In addition, an off-axis region that does not include the optical axis AX may be used. The shape of the exposure area IA is not limited to a rectangle, and may be, for example, an arc, a trapezoid, or a parallelogram.

また、照明光ILは、ArFエキシマレーザ光(波長193nm)に限らず、KrFエキシマレーザ光(波長248nm)などの紫外光、あるいはF2レーザ光(波長157nm)などの真空紫外光であっても良い。また、例えば米国特許第7,023,610号明細書などに開示されているように、真空紫外光としてDFB半導体レーザ又はファイバーレーザから発振される赤外域、又は可視域の単一波長レーザ光を、例えばエルビウム(又はエルビウムとイッテルビウムの両方)がドープされたファイバーアンプで増幅し、非線形光学結晶を用いて紫外域に波長変換した高調波を用いても良い。 The illumination light IL is not limited to ArF excimer laser light (wavelength 193 nm), but may be ultraviolet light such as KrF excimer laser light (wavelength 248 nm) or vacuum ultraviolet light such as F 2 laser light (wavelength 157 nm). good. Further, as disclosed in, for example, US Pat. No. 7,023,610, a single wavelength laser beam in the infrared region or visible region oscillated from a DFB semiconductor laser or fiber laser is used as vacuum ultraviolet light. For example, a harmonic that is amplified by a fiber amplifier doped with erbium (or both erbium and ytterbium) and wavelength-converted into an ultraviolet region using a nonlinear optical crystal may be used.

また、上記実施形態では、露光装置の照明光ILとしては波長100nm以上の光に限らず、波長100nm未満の光を用いても良いことは言うまでもない。例えば、SOR又はプラズマレーザを光源として、軟X線領域(例えば5〜15nmの波長域)のEUV(Extreme Ultraviolet)光を発生させるとともに、その露光波長(例えば13.5nm)の下で設計されたオール反射光学系、及び反射型マスクを用いるEUV露光装置にも本発明を好適に適用することができる。このほか、電子線又はイオンビームなどの荷電粒子線を用いる露光装置にも、本発明は適用できる。   In the above embodiment, it is needless to say that the illumination light IL of the exposure apparatus is not limited to light having a wavelength of 100 nm or more, and light having a wavelength of less than 100 nm may be used. For example, the SOR or plasma laser is used as a light source to generate EUV (Extreme Ultraviolet) light in a soft X-ray region (for example, a wavelength region of 5 to 15 nm) and designed under the exposure wavelength (for example, 13.5 nm). The present invention can also be suitably applied to an EUV exposure apparatus that uses an all-reflection optical system and a reflective mask. In addition, the present invention can be applied to an exposure apparatus using a charged particle beam such as an electron beam or an ion beam.

また、上記実施形態においては、光透過性の基板上に所定の遮光パターン(又は位相パターン・減光パターン)を形成した光透過型マスク(レチクル)を用いたが、このレチクルに代えて、例えば米国特許第6,778,257号明細書に開示されているように、露光すべきパターンの電子データに基づいて、透過パターンまたは反射パターン、あるいは発光パターンを形成する電子マスク(可変成形マスク、アクティブマスク、あるいはイメージジェネレータとも呼ばれ、例えば非発光型画像表示素子(空間光変調器)の一種であるDMD(Digital Micro-mirror Device)などを含む)を用いても良い。   In the above embodiment, a light transmissive mask (reticle) in which a predetermined light shielding pattern (or phase pattern / dimming pattern) is formed on a light transmissive substrate is used. Instead of this reticle, for example, As disclosed in US Pat. No. 6,778,257, based on electronic data of a pattern to be exposed, an electronic mask (variable shaping mask, active pattern) that forms a transmission pattern, a reflection pattern, or a light emission pattern is disclosed. Also called a mask or an image generator, for example, a DMD (Digital Micro-mirror Device) which is a kind of non-light emitting image display element (spatial light modulator) may be used.

また、例えば国際公開第2001/035168号パンフレットに開示されているように、干渉縞をウエハ上に形成することによって、ウエハ上にライン・アンド・スペースパターンを形成する露光装置(リソグラフィシステム)にも本発明を適用することができる。   Further, as disclosed in, for example, International Publication No. 2001/035168, an exposure apparatus (lithography system) that forms line and space patterns on a wafer by forming interference fringes on the wafer. The present invention can be applied.

さらに、例えば米国特許第6,611,316号明細書に開示されているように、2つのレチクルパターンを投影光学系を介してウエハ上で合成し、1回のスキャン露光によってウエハ上の1つのショット領域をほぼ同時に二重露光する露光装置にも本発明を適用することができる。   Further, as disclosed in, for example, US Pat. No. 6,611,316, two reticle patterns are synthesized on a wafer via a projection optical system, and one scan exposure is performed on one wafer. The present invention can also be applied to an exposure apparatus that performs double exposure of shot areas almost simultaneously.

また、物体上にパターンを形成する装置は、前述の露光装置(リソグラフィシステム)に限られず、例えばインクジェット方式にて物体上にパターンを形成する装置にも本発明を適用することができる。   The apparatus for forming a pattern on an object is not limited to the above-described exposure apparatus (lithography system), and the present invention can also be applied to an apparatus for forming a pattern on an object by, for example, an inkjet method.

なお、上記実施形態でパターンを形成すべき物体(エネルギビームが照射される露光対象の物体)はウエハに限られるものではなく、ガラスプレート、セラミック基板、フィルム部材、あるいはマスクブランクスなど、他の物体でも良い。   Note that the object on which the pattern is to be formed in the above embodiment (the object to be exposed to the energy beam) is not limited to the wafer, but other objects such as a glass plate, a ceramic substrate, a film member, or a mask blank. But it ’s okay.

露光装置の用途としては半導体製造用の露光装置に限定されることなく、例えば、角型のガラスプレートに液晶表示素子パターンを転写形成する液晶用の露光装置、あるいは有機EL、薄型磁気ヘッド、撮像素子(CCD等)、マイクロマシン及びDNAチップなどを製造するための露光装置にも広く適用できる。また、半導体素子などのマイクロデバイスだけでなく、光露光装置、EUV露光装置、X線露光装置、及び電子線露光装置などで使用されるレチクル又はマスクを製造するために、ガラス基板又はシリコンウエハなどに回路パターンを転写する露光装置にも本発明を適用できる。   The application of the exposure apparatus is not limited to the exposure apparatus for semiconductor manufacturing, but for example, an exposure apparatus for liquid crystal that transfers and forms a liquid crystal display element pattern on a square glass plate, or an organic EL, thin magnetic head, imaging The present invention can be widely applied to an exposure apparatus for manufacturing elements (CCD, etc.), micromachines, DNA chips and the like. Further, in order to manufacture reticles or masks used in not only microdevices such as semiconductor elements but also light exposure apparatuses, EUV exposure apparatuses, X-ray exposure apparatuses, electron beam exposure apparatuses, etc., glass substrates or silicon wafers, etc. The present invention can also be applied to an exposure apparatus that transfers a circuit pattern.

半導体素子などの電子デバイスは、デバイスの機能・性能設計を行うステップ、この設計ステップに基づいたレチクルを製作するステップ、シリコン材料からウエハを製作するステップ、前述した実施形態の露光装置(パターン形成装置)によりマスク(レチクル)のパターンをウエハに転写するリソグラフィステップ、露光されたウエハを現像する現像ステップ、レジストが残存している部分以外の部分の露出部材をエッチングにより取り去るエッチングステップ、エッチングが済んで不要となったレジストを取り除くレジスト除去ステップ、デバイス組み立てステップ(ダイシング工程、ボンディング工程、パッケージ工程を含む)、検査ステップ等を経て製造される。この場合、リソグラフィステップで、上記実施形態の露光装置を用いて前述の露光方法が実行され、ウエハ上にデバイスパターンが形成されるので、高集積度のデバイスを生産性良く製造することができる。   An electronic device such as a semiconductor element includes a step of designing a function / performance of the device, a step of manufacturing a reticle based on the design step, a step of manufacturing a wafer from a silicon material, and the exposure apparatus (pattern forming apparatus) of the above-described embodiment. ) A lithography step for transferring a mask (reticle) pattern onto a wafer, a development step for developing the exposed wafer, an etching step for removing exposed members other than the portion where the resist remains by etching, and etching is completed. It is manufactured through a resist removal step for removing unnecessary resist, a device assembly step (including a dicing process, a bonding process, and a package process), an inspection step, and the like. In this case, in the lithography step, the exposure method described above is executed using the exposure apparatus of the above embodiment, and a device pattern is formed on the wafer. Therefore, a highly integrated device can be manufactured with high productivity.

以上説明したように、本発明の露光装置は、移動体を用いて物体を保持して移動させ、該物体にエネルギビームを照射してパターンを形成するのに適している。また、本発明のデバイス製造方法は、高集積度のデバイスの製造に適している。   As described above, the exposure apparatus of the present invention is suitable for forming a pattern by holding and moving an object using a moving body and irradiating the object with an energy beam. The device manufacturing method of the present invention is suitable for manufacturing a highly integrated device.

一実施形態に係る露光装置を示す概略図である。It is the schematic which shows the exposure apparatus which concerns on one Embodiment. 図2(A)は図1のウエハテーブルとエンコーダシステムの構成各部の位置関係を示す斜視図、図2(B)及び図2(C)はエンコーダシステムが発する計測光の光路を示す射影図である。2A is a perspective view showing the positional relationship between the components of the wafer table and the encoder system in FIG. 1, and FIGS. 2B and 2C are projection views showing the optical path of measurement light emitted from the encoder system. is there. 図3(A)〜図3(C)は、エンコーダシステム及び干渉計システムを用いたウエハテーブルの位置計測を説明するための図である。FIGS. 3A to 3C are views for explaining wafer table position measurement using an encoder system and an interferometer system. 露光装置の制御系の主要な構成を示すブロック図である。It is a block diagram which shows the main structures of the control system of exposure apparatus.

符号の説明Explanation of symbols

12…照明系(パターニング装置の一部)、16…エンコーダシステム、16X…Xエンコーダ、16Y,16Y…Yエンコーダ、16Xa,16Ya,16Ya…光源、16Xb,16Yb,16Yb…光検出器、18…干渉計システム、24…2次元グレーティング、100…露光装置(パターン形成装置)、ALG…アライメント系、ALa,IAa…所定点、IL…照明光(エネルギビーム)、PL…投影光学系(パターニング装置の一部)、Lx1,Ly1,Ly1…計測光、Lx2,Ly2,Ly2…回折光、W…ウエハ(物体)、WTB…ウエハテーブル(移動体、テーブル)。 12 ... illumination system (part of the patterning device), 16 ... encoder system, 16X ... X encoder, 16Y 1, 16Y 2 ... Y encoders, 16Xa, 16Ya 1, 16Ya 2 ... light source, 16Xb, 16Yb 1, 16Yb 2 ... Light Detector: 18 ... Interferometer system, 24 ... Two-dimensional grating, 100 ... Exposure device (pattern forming device), ALG ... Alignment system, ALa, IAa ... Predetermined point, IL ... Illumination light (energy beam), PL ... Projection optics System (part of patterning apparatus), Lx1, Ly1 1 , Ly1 2 ... measurement light, Lx2, Ly2 1 , Ly2 1 ... diffracted light, W ... wafer (object), WTB ... wafer table (moving body, table).

Claims (18)

エネルギビームの照射によって物体にパターンを形成する露光装置であって、
物体を保持して実質的に所定平面に沿って移動可能で、前記物体の裏面側で前記所定平面に実質的に平行な面に沿ってグレーティングが配置され、所定波長の光が内部を進行可能な移動体と;
前記エネルギビームの照射点である露光位置に対応する第1計測位置で、前記所定平面と交差する前記移動体の一側面を介して前記移動体の外部から前記グレーティングに前記所定波長の第1計測光を入射させ、該第1計測光に由来する前記グレーティングからの回折光を受光して、前記移動体の前記所定平面内の計測方向に関する第1位置情報を計測する第1計測装置と;
前記露光位置から一軸方向に離間して配置され、前記移動体上のマーク又は前記移動体に保持された物体上のマークを検出するマーク検出系と;
前記第1計測装置とともに計測システムを構成し、前記マーク検出系の検出中心に対応する第2計測位置で、前記移動体の一側面を介して前記移動体の外部から前記グレーティングに前記所定波長の第2計測光を入射させ、該第2計測光に由来する前記グレーティングからの回折光を受光して、前記移動体の計測方向に関する第2位置情報を計測する第2計測装置と;
前記計測システムからの前記位置情報に基づいて、前記移動体を駆動する駆動システムと;を備える露光装置。
An exposure apparatus that forms a pattern on an object by irradiation with an energy beam,
The object can be held and moved substantially along a predetermined plane, and a grating is arranged on the back side of the object along a plane substantially parallel to the predetermined plane so that light of a predetermined wavelength can travel inside. A moving body;
A first measurement of the predetermined wavelength from the outside of the moving body to the grating through a side surface of the moving body that intersects the predetermined plane at a first measurement position corresponding to an exposure position that is an irradiation point of the energy beam. A first measuring device that makes light incident, receives diffracted light from the grating derived from the first measurement light, and measures first position information related to a measurement direction in the predetermined plane of the moving body;
A mark detection system that is arranged uniaxially from the exposure position and detects a mark on the moving body or a mark on an object held by the moving body;
A measurement system is configured together with the first measurement device, and at a second measurement position corresponding to the detection center of the mark detection system, the predetermined wavelength is applied to the grating from the outside of the movable body via one side surface of the movable body. A second measurement device that receives the second measurement light, receives the diffracted light from the grating derived from the second measurement light, and measures second position information related to the measurement direction of the moving body;
An exposure apparatus comprising: a drive system that drives the movable body based on the position information from the measurement system.
前記第1計測装置と前記第2計測装置とは、前記移動体の同一の側面を介して、前記第1、第2計測光を、それぞれ前記グレーティングに入射させる請求項1に記載の露光装置。   2. The exposure apparatus according to claim 1, wherein the first measurement device and the second measurement device cause the first and second measurement lights to enter the grating through the same side surface of the movable body. 3. 前記第1計測装置と前記第2計測装置とは、前記移動体の互いに反対側に位置する異なる2つの側面をそれぞれ介して、前記第1、第2計測光を、前記グレーティングに入射させる請求項1又は2に記載の露光装置。   The first measurement device and the second measurement device allow the first and second measurement lights to enter the grating through two different side surfaces located on opposite sides of the moving body, respectively. The exposure apparatus according to 1 or 2. 前記移動体の外部から内部に入射する前記第1、第2計測光の前記所定平面に対する正射影の延びる方向は、それぞれの計測方向と前記所定平面内で交差する請求項1〜3のいずれか一項に記載の露光装置。   The direction in which the orthogonal projection of the first and second measurement light incident on the predetermined plane with respect to the predetermined plane intersects with each measurement direction within the predetermined plane. The exposure apparatus according to one item. 前記移動体の外部から内部に入射する第1、第2計測光は、側面視でそれぞれが入射する前記一側面に直交する請求項1〜4のいずれか一項に記載の露光装置。   The exposure apparatus according to any one of claims 1 to 4, wherein the first and second measurement lights incident from the outside to the inside of the movable body are orthogonal to the one side surface on which the first and second measurement beams are incident in a side view. 前記各一側面は、前記所定平面に対して鋭角をなす傾斜面から成る請求項1〜5のいずれか一項に記載の露光装置。   6. The exposure apparatus according to claim 1, wherein each of the one side surfaces includes an inclined surface that forms an acute angle with respect to the predetermined plane. 前記第1、第2計測装置は、前記第1、第2計測光に由来する前記グレーティングからの回折光を、前記一側面とは異なる前記移動体の他の側面を介して受光する請求項1〜6のいずれか一項に記載の露光装置。   The first and second measurement devices receive diffracted light from the grating derived from the first and second measurement lights via another side surface of the moving body different from the one side surface. The exposure apparatus according to any one of -6. 前記一側面は、前記一軸方向に延びる前記移動体の第1側面であり、
前記他の側面は、前記一側面と前記一軸方向に垂直な方向に関して反対側で前記一軸方向に延びる前記移動体の第2側面であり、
前記第1、第2計測装置は、前記第1側面を介して少なくとも1つの第1、第2計測光を前記移動体内部に入射させ、該第1、第2計測光に由来する前記回折光を前記第2側面を介して受光する、請求項7に記載の露光装置。
The one side surface is a first side surface of the movable body extending in the uniaxial direction,
The other side surface is a second side surface of the movable body that extends in the uniaxial direction on the opposite side to the one side surface and a direction perpendicular to the uniaxial direction,
The first and second measurement devices cause at least one of the first and second measurement lights to enter the movable body through the first side surface, and the diffracted light derived from the first and second measurement lights. The exposure apparatus according to claim 7, wherein the light is received through the second side surface.
前記グレーティングは、前記一軸方向を周期方向とする回折格子を含み、
前記第1、第2計測装置は、それぞれ前記一軸方向に関する前記移動体の位置情報を計測する、請求項8に記載の露光装置。
The grating includes a diffraction grating having the uniaxial direction as a periodic direction,
The exposure apparatus according to claim 8, wherein the first and second measurement apparatuses respectively measure position information of the moving body in the uniaxial direction.
前記移動体は、前記所定平面内で前記一軸方向に垂直な方向に延びる第3、第4側面を有し、
前記計測システムは、前記第3、第4側面の一方を介して少なくとも1つの第3計測光を前記移動体内部に入射させ、該第3計測光に由来する前記回折光を前記第3、第4側面の他方を介して受光する第3計測装置をさらに有する、請求項8又は9に記載の露光装置。
The movable body has third and fourth side surfaces extending in a direction perpendicular to the uniaxial direction within the predetermined plane,
The measurement system causes at least one third measurement light to enter the movable body via one of the third and fourth side surfaces, and causes the diffracted light derived from the third measurement light to pass through the third and fourth sides. The exposure apparatus according to claim 8 or 9, further comprising a third measurement device that receives light through the other of the four side surfaces.
前記グレーティングは、前記垂直な方向を周期方向とする回折格子を含み、
前記第3計測装置は、前記所定平面内の前記照射中心を含む領域内における、前記垂直な方向に関する前記移動体の位置情報を計測する、請求項10に記載の露光装置。
The grating includes a diffraction grating whose periodic direction is the perpendicular direction;
The exposure apparatus according to claim 10, wherein the third measurement apparatus measures position information of the moving body in the vertical direction within a region including the irradiation center in the predetermined plane.
前記第3計測装置は、前記第3計測光を、前記グレーティング上の前記第1計測光の照射点又は該照射点の近傍の点に照射する、請求項10又は11に記載の露光装置。   12. The exposure apparatus according to claim 10, wherein the third measurement device irradiates the irradiation point of the first measurement light on the grating or a point near the irradiation point with the third measurement light. 前記移動体は、前記物体を保持するとともに、その裏面に前記グレーティングが配置された保持部材と、該保持部材が搭載されかつ内部を前記計測光が透過するテーブルとを含む請求項1〜12のいずれか一項に記載の露光装置。   The movable body includes a holding member that holds the object and has the grating disposed on a back surface thereof, and a table on which the holding member is mounted and through which the measurement light is transmitted. The exposure apparatus according to any one of the above. 前記保持部材は、前記テーブルに対して着脱自在である請求項13に記載の露光装置。   The exposure apparatus according to claim 13, wherein the holding member is detachable from the table. 前記移動体は、前記光が入射しかつ前記所定平面と実質的に平行な一面に前記グレーティングが形成される透過部材と、前記物体を保持しかつ前記透過部材に対してその一面側に設けられる保持部材とを含む請求項1〜12のいずれか一項に記載の露光装置。   The moving body is provided on the one surface side of the transmitting member on which the light is incident and the grating is formed on one surface substantially parallel to the predetermined plane, and holding the object and on the transmitting member. The exposure apparatus according to claim 1, further comprising a holding member. 前記グレーティングは、前記所定平面内で互いに直交する2つの方向を周期方向とする2次元格子である、請求項1〜15のいずれか一項に記載の露光装置。   The exposure apparatus according to claim 1, wherein the grating is a two-dimensional grating having two directions orthogonal to each other in the predetermined plane as a periodic direction. 前記計測システムは、前記計測光を、前記移動体の内部で反射させることなく前記グレーティングに照射する、請求項1〜16のいずれか一項に記載の露光装置。   The exposure apparatus according to any one of claims 1 to 16, wherein the measurement system irradiates the grating with the measurement light without being reflected inside the moving body. 請求項1〜17のいずれか一項に記載の露光装置を用いて前記物体として基板を露光することと;
前記露光された基板を現像することと;を含むデバイス製造方法。
Exposing the substrate as the object using the exposure apparatus according to claim 1;
Developing the exposed substrate; and a device manufacturing method.
JP2008096617A 2008-04-02 2008-04-02 Exposure apparatus, and device manufacturing method Pending JP2009252851A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2008096617A JP2009252851A (en) 2008-04-02 2008-04-02 Exposure apparatus, and device manufacturing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008096617A JP2009252851A (en) 2008-04-02 2008-04-02 Exposure apparatus, and device manufacturing method

Publications (1)

Publication Number Publication Date
JP2009252851A true JP2009252851A (en) 2009-10-29

Family

ID=41313302

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008096617A Pending JP2009252851A (en) 2008-04-02 2008-04-02 Exposure apparatus, and device manufacturing method

Country Status (1)

Country Link
JP (1) JP2009252851A (en)

Cited By (250)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140016838A (en) * 2012-07-31 2014-02-10 에이에스엠 아이피 홀딩 비.브이. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US8928861B2 (en) 2010-06-23 2015-01-06 Asml Netherlands B.V. Lithographic apparatus
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11970766B2 (en) 2023-01-17 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus

Cited By (305)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8928861B2 (en) 2010-06-23 2015-01-06 Asml Netherlands B.V. Lithographic apparatus
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
KR102090834B1 (en) * 2012-07-31 2020-03-19 에이에스엠 아이피 홀딩 비.브이. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
KR20140016838A (en) * 2012-07-31 2014-02-10 에이에스엠 아이피 홀딩 비.브이. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11972944B2 (en) 2022-10-21 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11970766B2 (en) 2023-01-17 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus

Similar Documents

Publication Publication Date Title
JP2009252851A (en) Exposure apparatus, and device manufacturing method
JP5146507B2 (en) Exposure apparatus, exposure method, and device manufacturing method
JP5071894B2 (en) Stage apparatus, pattern forming apparatus, exposure apparatus, stage driving method, exposure method, and device manufacturing method
JP5224071B2 (en) MOBILE SYSTEM, PATTERN FORMING APPARATUS, EXPOSURE APPARATUS, MEASURING APPARATUS, AND DEVICE MANUFACTURING METHOD
JP5679131B2 (en) Exposure apparatus, exposure method, and device manufacturing method
JP5700363B2 (en) Exposure apparatus, exposure method, and device manufacturing method
JP5979254B2 (en) Exposure apparatus, exposure method, and device manufacturing method
JP5489068B2 (en) POSITION MEASUREMENT SYSTEM, EXPOSURE APPARATUS, POSITION MEASUREMENT METHOD, EXPOSURE METHOD, DEVICE MANUFACTURING METHOD, TOOL AND MEASUREMENT METHOD
US8390780B2 (en) Movable-body apparatus, exposure apparatus, exposure method, and device manufacturing method
US10642169B2 (en) Measurement device and measurement method, exposure apparatus and exposure method, and device manufacturing method
JP2009278095A (en) Exposure device and exposure method, and device method for manufacturing
JP5605768B2 (en) Exposure apparatus and device manufacturing method
JP5299638B2 (en) Exposure apparatus and device manufacturing method
JP6748907B2 (en) Measuring apparatus, exposure apparatus, device manufacturing method, and pattern forming method
JP2013506269A (en) Exposure apparatus and device manufacturing method
JP2009252850A (en) Mobile body system, exposure apparatus and exposure method, and device manufacturing method
JP2009252849A (en) Exposure apparatus, and device manufacturing method
JP2009252848A (en) Exposure apparatus and exposure method, and device manufacturing method
JP2009252847A (en) Mobile body system, exposure apparatus and exposure method, and device manufacturing method
JP2009252852A (en) Mobile body system, exposure apparatus and exposure method, and device manufacturing method
JP2013016680A (en) Exposure method and exposure device, and device manufacturing method