JP2009164222A - Method of manufacturing semiconductor device - Google Patents

Method of manufacturing semiconductor device Download PDF

Info

Publication number
JP2009164222A
JP2009164222A JP2007340046A JP2007340046A JP2009164222A JP 2009164222 A JP2009164222 A JP 2009164222A JP 2007340046 A JP2007340046 A JP 2007340046A JP 2007340046 A JP2007340046 A JP 2007340046A JP 2009164222 A JP2009164222 A JP 2009164222A
Authority
JP
Japan
Prior art keywords
gate electrode
film
nmos transistor
sidewall spacer
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2007340046A
Other languages
Japanese (ja)
Inventor
Toshihiko Miyashita
俊彦 宮下
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Semiconductor Ltd
Original Assignee
Fujitsu Semiconductor Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Semiconductor Ltd filed Critical Fujitsu Semiconductor Ltd
Priority to JP2007340046A priority Critical patent/JP2009164222A/en
Publication of JP2009164222A publication Critical patent/JP2009164222A/en
Withdrawn legal-status Critical Current

Links

Landscapes

  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide a semiconductor device in which performance of an NMOS transistor is improved by applying depth-directional compressive stress. <P>SOLUTION: A gate electrode of polycrystalline silicon is formed above an NMOS transistor region and a PMOS transistor region of a silicon substrate for a CMOS type semiconductor device, a first sidewall spacer is formed on a gate electrode sidewall, and when ions are implanted in the NMOS transistor region and PMOS transistor region to form a low-resistance source/drain region matching the first sidewall spacer, an upper portion of the gate electrode is made amorphous in the NMOS transistor. The first sidewall spacer is substantially removed at least in the NMOS transistor region, and a cap film is formed covering the gate electrode. A heat treatment is carried out to activate the low-resistance source/drain region is activated and recrystallize the gate electrode which is made amorphous, and the cap film is anisotropically etched and worked into a second sidewall spacer. <P>COPYRIGHT: (C)2009,JPO&INPIT

Description

本発明は、半導体装置の製造方法に関し、特にMOSトランジスタのチャネルにストレスを印加する半導体装置の製造方法に関する。   The present invention relates to a method for manufacturing a semiconductor device, and more particularly to a method for manufacturing a semiconductor device in which stress is applied to a channel of a MOS transistor.

半導体集積回路装置に対する、高集積化、高速化の要求が高い。従来は半導体集積回路装置の主構成要素であるMOS電界効果トランジスタ(FET)を微細化することにより高集積化、高速化が進められてきた。微細化することにより、当然集積度は向上でき、ゲート長が短縮化されることにより動作速度も高くなる。微細化は、設計パターンをレジスト膜に転写するリソグラフィ技術の進歩によって支えられてきた。近年、65nmノード装置の量産が開始され、開発段階は45nmノード装置に移行しつつあり、更に32nmノード装置の開発も始まっている。   There is a high demand for higher integration and higher speed for semiconductor integrated circuit devices. Conventionally, high integration and high speed have been promoted by miniaturizing a MOS field effect transistor (FET) which is a main component of a semiconductor integrated circuit device. The integration can naturally be improved by miniaturization, and the operation speed can be increased by shortening the gate length. Miniaturization has been supported by advances in lithography technology that transfers a design pattern to a resist film. In recent years, mass production of 65 nm node devices has started, the development stage is shifting to 45 nm node devices, and development of 32 nm node devices has also started.

なお、半導体基板上のゲート絶縁膜として酸化シリコン膜を用いた電界効果トランジスタが(ゲート電極として、金属でなく、半導体であるシリコンを用いていても)MOSトランジスタと呼ばれてきた。トランジスタの微細化と共に、ゲート絶縁膜として酸化窒化シリコン膜や、酸化シリコン膜上にHfO等の高誘電率絶縁膜を積層した構造等も採用されるようになった。本願においては、酸化シリコン以外のゲート絶縁膜を有するトランジスタもMOSトランジスタと呼ぶ。すなわち、MOSトランジスタは、絶縁ゲート電極を有する半導体電界効果トランジスタの意味である。 Note that a field effect transistor using a silicon oxide film as a gate insulating film on a semiconductor substrate has been called a MOS transistor (even if silicon, which is a semiconductor rather than a metal, is used as a gate electrode). Along with miniaturization of transistors, a structure in which a silicon oxynitride film as a gate insulating film or a high dielectric constant insulating film such as HfO 2 is stacked on the silicon oxide film has been adopted. In the present application, a transistor having a gate insulating film other than silicon oxide is also called a MOS transistor. That is, the MOS transistor means a semiconductor field effect transistor having an insulated gate electrode.

図5に、ゲート長スケーリングに伴う回路特性の変化の例を示す。横軸はゲート長を示し、縦軸は伝播遅延時間(プロパゲーションデレイ)を示す。中空丸印○、中空四角印□で示したプロットは、インバータのゲート長変化に伴う伝播遅延時間の変化を示す。オフ時のリーク電流Ioffの増加を無視した単純スケーリングによる特性○は、ゲート長の減少に伴う伝播遅延時間の短縮化を示している。オン電流Ionの増加が寄与していると考えられる。オフ電流Ioffを一定に保つ場合の特性□は、ゲート長が40nm程度以下の領域において、ゲート長の短縮化と共に伝播遅延時間が増大してしまっている。寄生抵抗が増大してチャネル抵抗と同程度になり、寄生抵抗の影響が無視できない領域に入ってきていると考えられる。   FIG. 5 shows an example of changes in circuit characteristics accompanying gate length scaling. The horizontal axis represents the gate length, and the vertical axis represents the propagation delay time (propagation delay). Plots indicated by hollow circles ○ and hollow squares □ indicate changes in propagation delay time associated with changes in the inverter gate length. The characteristic ◯ by simple scaling ignoring the increase in the leakage current Ioff at the off time indicates that the propagation delay time is shortened as the gate length is decreased. It is considered that the increase in the on-current Ion contributes. The characteristic □ in the case of keeping the off-current Ioff constant is that the propagation delay time increases with the shortening of the gate length in the region where the gate length is about 40 nm or less. It is considered that the parasitic resistance has increased to the same level as the channel resistance, and has entered a region where the influence of the parasitic resistance cannot be ignored.

2入力NAND回路の場合のオフ電流Ioff増大を無視した単純スケーリングの場合の特性を中実丸印●に、Ioff一定の条件の場合を中実四角印■で示す。インバータの場合と同様、オフ時のリーク電流Ioffの増加を許容すれば伝播遅延時間はゲート長の短縮と共に短縮化するが、Ioffを一定に保つ場合の特性は、ゲート長が40nm程度以下の領域においては、ゲート長の短縮化と共に伝播遅延時間が増大してしまう。このように、微細化が進んでいく時、もはや単純なスケーリングでは回路特性を向上できなくなることが判る。このような特性劣化を容認しつつ、チップサイズ縮小の要請などから継続的にデバイスサイズを縮小していくことは必要不可欠である。   The characteristics in the case of simple scaling ignoring the increase in off-current Ioff in the case of a two-input NAND circuit are indicated by solid circles ●, and the case of constant Ioff is indicated by solid squares ■. As in the case of the inverter, if the increase of the leakage current Ioff at the time of off is allowed, the propagation delay time is shortened along with the shortening of the gate length, but the characteristic in the case of keeping Ioff constant is the region where the gate length is about 40 nm or less. In this case, the propagation delay time increases as the gate length is shortened. Thus, it can be seen that as the miniaturization advances, the circuit characteristics can no longer be improved by simple scaling. It is indispensable to continuously reduce the device size in response to a request for reducing the chip size while accepting such characteristic deterioration.

ゲート長スケーリング時に、トランジスタ特性を向上する技術が求められている。1つの可能性が歪トランジスタである。トランジスタのチャネル領域に歪を導入することにより、キャリアの移動度を向上させ、トランジスタの特性を向上させることが可能である。   There is a demand for a technique for improving transistor characteristics at the time of gate length scaling. One possibility is a strained transistor. By introducing strain into the channel region of the transistor, carrier mobility can be improved and the characteristics of the transistor can be improved.

図6は、キャリアの移動度を向上させる歪の種類を、NMOSトランジスタ(電子),PMOSトランジスタ(正孔)それぞれのゲート長(チャネル長)方向、ゲート幅(チャネル幅)方向、深さ方向でまとめた表である(特開2006‐13322号より引用)。NMOSトランジスタは、ゲート長方向の引っ張り歪、ゲート幅方向の引っ張り歪、深さ方向の圧縮歪により特性が向上する。PMOSトランジスタは、ゲート長方向の圧縮歪、ゲート幅方向の引っ張り歪、深さ方向の引っ張り歪により特性が向上する。   FIG. 6 shows strain types that improve carrier mobility in the gate length (channel length) direction, gate width (channel width) direction, and depth direction of NMOS transistors (electrons) and PMOS transistors (holes). It is a summary table (cited from JP 2006-13322). The characteristics of the NMOS transistor are improved by tensile strain in the gate length direction, tensile strain in the gate width direction, and compressive strain in the depth direction. The characteristics of the PMOS transistor are improved by compressive strain in the gate length direction, tensile strain in the gate width direction, and tensile strain in the depth direction.

特開2006‐13322号は、エッチストッパとして、NMOSトランジスタ上には熱CVD等により成膜したSiN膜等の引っ張り応力膜を形成し、PMOSトランジスタ上にはPE−CVD(プラズマ促進化学気相堆積)等により成膜したSiN膜等の圧縮応力膜を形成し、必要に応じて応力を選択的に解放することを提案する。応力膜の形状を調整することにより、応力方向を調整することもできる。   Japanese Patent Laid-Open No. 2006-13322 forms a tensile stress film such as a SiN film formed by thermal CVD on an NMOS transistor as an etch stopper, and PE-CVD (plasma enhanced chemical vapor deposition) on a PMOS transistor. It is proposed that a compressive stress film such as a SiN film formed by the above method is formed and the stress is selectively released as necessary. The stress direction can also be adjusted by adjusting the shape of the stress film.

ゲート長方向に引っ張り歪を与える構成として、シリコン基板のソース/ドレイン領域をエッチングして掘り込み、シリコンより格子定数の小さいSi−C(又は微量のGeを添加したSi−C−Ge)混晶をエピタキシャル成長して、チャネル領域を両側から引張る技術が知られている。ゲート長方向に圧縮歪を与える構成として、シリコン基板のソース/ドレイン領域をエッチングして掘り込み、シリコンより格子定数の大きいSi−Ge混晶をエピタキシャル成長して、チャネル領域を両側から圧縮する技術が知られている。   Si / C (or Si—C—Ge doped with a small amount of Ge) mixed crystal having a lattice constant smaller than that of silicon is obtained by etching and digging the source / drain regions of the silicon substrate as a structure that gives tensile strain in the gate length direction. A technique is known in which the channel region is pulled from both sides by epitaxial growth. As a configuration that applies compressive strain in the gate length direction, there is a technique in which a source / drain region of a silicon substrate is etched and dug, a Si-Ge mixed crystal having a lattice constant larger than that of silicon is epitaxially grown, and a channel region is compressed from both sides. Are known.

特開2007−227565号は、NMOSトランジスタのソース/ドレイン領域にSiとCを含む混晶のエピタキシャル層を成長し,その後PMOSトランジスタのソース/ドレイン領域にSiとGeを含む混晶のエピタキシャル層を成長すること等を提案する。   Japanese Patent Laid-Open No. 2007-227565 grows a mixed crystal epitaxial layer containing Si and C in the source / drain region of an NMOS transistor, and then forms a mixed crystal epitaxial layer containing Si and Ge in the source / drain region of the PMOS transistor. Propose to grow.

2種類の異なる材料の選択的エピタキシャル成長を行うと、CMOS型半導体装置の製造工程の付加が大きくなる。PMOSトランジスタのソース/ドレイン領域にはSi−Ge混晶をエピタキシャル成長してチャネルに圧縮応力を印加する場合、NMOSトランジスタにはエピタキシャル成長以外の手段で引張応力を印加する技術が求められている。   When selective epitaxial growth of two different materials is performed, the addition of a manufacturing process of a CMOS type semiconductor device increases. In the case where a Si-Ge mixed crystal is epitaxially grown in the source / drain region of the PMOS transistor and compressive stress is applied to the channel, a technique for applying tensile stress to the NMOS transistor by means other than epitaxial growth is required.

特開2006−13322号公報JP 2006-13322 A 特開2007−227565号公報JP 2007-227565 A

本発明の目的は,応力を利用して性能を向上した半導体装置を提供することである。   An object of the present invention is to provide a semiconductor device having improved performance using stress.

本発明の他の目的は,NMOSトランジスタのチャネル領域に垂直方向の圧縮応力を印加して、NMOSトランジスタの性能を向上した半導体装置を提供することである。   Another object of the present invention is to provide a semiconductor device in which the compressive stress in the vertical direction is applied to the channel region of the NMOS transistor to improve the performance of the NMOS transistor.

本発明の1観点によれば,
(a)シリコン基板のNMOSトランジスタ領域上方に多結晶シリコンのゲート電極を形成する工程と、
(b)前記ゲート電極側壁上に第1サイドウォールスペーサを形成する工程と、
(c)前記NMOSトランジスタ領域にイオン注入を行ない、前記第1サイドウォールスペーサに整合した低抵抗ソース/ドレイン領域を形成するとともに、前記ゲート電極の上部をアモルファス化する工程と、
(d)前記第1サイドウォールスペーサの少なくとも一部を除去する工程と、
(e)前記ゲート電極を覆ってキャップ膜を形成する工程と、
(f)前記低抵抗ソース/ドレイン領域の活性化及び前記アモルファス化されたゲート電極の再結晶化を行う熱処理を行なう工程と、
(g)前記キャップ膜を異方性エッチングして第2サイドウォールスペーサに加工する工程と、
を含む半導体装置の製造方法
が提供される。
According to one aspect of the present invention,
(A) forming a polycrystalline silicon gate electrode above the NMOS transistor region of the silicon substrate;
(B) forming a first sidewall spacer on the gate electrode sidewall;
(C) performing ion implantation on the NMOS transistor region to form a low resistance source / drain region aligned with the first sidewall spacer, and amorphizing the upper portion of the gate electrode;
(D) removing at least a portion of the first sidewall spacer;
(E) forming a cap film covering the gate electrode;
(F) performing a heat treatment for activating the low-resistance source / drain regions and recrystallizing the amorphized gate electrode;
(G) anisotropically etching the cap film into a second sidewall spacer;
A method for manufacturing a semiconductor device is provided.

NMOSトランジスタのゲート電極からチャネル領域に効率的に印加される深さ方向の圧縮応力により、NMOSトランジスタの性能が向上する。   The performance of the NMOS transistor is improved by the compressive stress in the depth direction that is efficiently applied from the gate electrode of the NMOS transistor to the channel region.

MOSトランジスタのゲート電極は、多くの場合、多結晶シリコン膜で形成される。多結晶シリコンのゲート電極をイオン注入でアモルファス化し、その後熱処理して再結晶化させると、再結晶化の際のグレイン成長により体積が膨張し、ゲート電極下方のチャネル領域に深さ方向の圧縮応力を印加できる可能性がある。   In many cases, the gate electrode of the MOS transistor is formed of a polycrystalline silicon film. When a polycrystalline silicon gate electrode is amorphized by ion implantation and then recrystallized by heat treatment, the volume expands due to grain growth during recrystallization and compressive stress in the depth direction is applied to the channel region below the gate electrode. May be applied.

本発明者は、シリコン基板上に多結晶シリコンのゲート電極を形成し、アモルファス化し、さらに再結晶化して結果を考察した。   The present inventor formed a polycrystalline silicon gate electrode on a silicon substrate, made it amorphous, and recrystallized it, and examined the result.

図7A−7Gは、CMOS型半導体装置のサンプルの作成手順を示すシリコン基板の断面図である。   7A to 7G are cross-sectional views of the silicon substrate showing a procedure for preparing a sample of the CMOS type semiconductor device.

図7Aに示すように、活性領域を形成したシリコン基板61を準備する。なお、活性領域を画定する素子分離領域は図示していない。右側がPMOSトランジスタ領域であり、左側がNMOSトランジスタ領域である。活性領域表面を熱酸化して、ゲート絶縁膜63を形成し、その上に化学気相堆積(CVD)により多結晶シリコン膜64を堆積する。フォトレジストパターンをエッチングマスクとして用いて多結晶シリコン膜64(及びゲート絶縁膜63)をエッチングし、NMOSトランジスタのゲート電極Gn、PMOSトランジスタのゲート電極Gp(ゲート電極Gと総称することもある)にパターニングする。フォトレジストマスクでPMOSトランジスタ領域、NMOSトランジスタ領域を分け、所定導電型の不純物注入を行ない、n型エクステンション領域Extn、p型エクステンション領域Extpを形成する。添字pはPMOSトランジスタの構成要素を示し、添字nはNMOSトランジスタの構成要素を示す。ゲート電極Gを覆ってシリコン基板61上にバッファ酸化シリコン膜65、サイドウォールスペーサ用窒化シリコン膜66をCVDで堆積し、リアクティブイオンエッチング(RIE)により異方性エッチングを行って、ゲート電極側壁上にのみサイドウォールスペーサSWを残す。   As shown in FIG. 7A, a silicon substrate 61 on which an active region is formed is prepared. The element isolation region that defines the active region is not shown. The right side is a PMOS transistor region, and the left side is an NMOS transistor region. The surface of the active region is thermally oxidized to form a gate insulating film 63, and a polycrystalline silicon film 64 is deposited thereon by chemical vapor deposition (CVD). The polycrystalline silicon film 64 (and the gate insulating film 63) is etched using the photoresist pattern as an etching mask to form a gate electrode Gn of the NMOS transistor and a gate electrode Gp of the PMOS transistor (sometimes collectively referred to as a gate electrode G). Pattern. The PMOS transistor region and the NMOS transistor region are separated by a photoresist mask and an impurity of a predetermined conductivity type is implanted to form an n-type extension region Extn and a p-type extension region Ext. The suffix p indicates a component of the PMOS transistor, and the suffix n indicates a component of the NMOS transistor. A buffer silicon oxide film 65 and a sidewall spacer silicon nitride film 66 are deposited by CVD on the silicon substrate 61 so as to cover the gate electrode G, and anisotropic etching is performed by reactive ion etching (RIE). The sidewall spacer SW is left only on the top.

図7Bに示すように、NMOSトランジスタ領域をフォトレジストマスクPR1で覆い、PMOSトランジスタ領域にp型不純物Bを高濃度で深くイオン注入し、低抵抗ソース/ドレイン領域SDpを形成する。Bは原子半径が小さい原子であるので、イオン注入によって与えるダメージは小さい。その後、フォトレジストマスクPR1は除去する。   As shown in FIG. 7B, the NMOS transistor region is covered with a photoresist mask PR1, and a p-type impurity B is deeply ion-implanted at a high concentration into the PMOS transistor region to form a low resistance source / drain region SDp. Since B is an atom having a small atomic radius, damage caused by ion implantation is small. Thereafter, the photoresist mask PR1 is removed.

図7Cに示すように、PMOSトランジスタ領域をフォトレジストマスクPR2で覆い、NMOSトランジスタ領域にn型不純物Asを高濃度で深くイオン注入し、低抵抗ソース/ドレイン領域SDnを形成する。Asは、Siより原子半径の大きな原子であり、イオン注入によって与えるダメージは大きい。ここでは、積極的にゲート電極Gnの上部をアモルファス化するイオン注入を行なう。その後、フォトレジストマスクPR2は除去する。   As shown in FIG. 7C, the PMOS transistor region is covered with a photoresist mask PR2, and n-type impurity As is deeply ion-implanted into the NMOS transistor region at a high concentration to form a low resistance source / drain region SDn. As is an atom having an atomic radius larger than that of Si, and damage caused by ion implantation is large. Here, ion implantation for amorphizing the upper portion of the gate electrode Gn is actively performed. Thereafter, the photoresist mask PR2 is removed.

図7Dに示すように、ゲート電極を覆って、基板上にバッファSiO膜67、SiN膜68をCVDで積層し、キャップ膜を形成する。キャップ膜(特にSiN膜68)は、アモルファス化したNMOSゲート電極をアニールによって再結晶化する際、ゲート電極を側方、上方から拘束し、結晶化するゲート電極が体積膨張すると、チャネルに垂直方向の圧縮応力を有効に伝えるための層である。 As shown in FIG. 7D, a buffer SiO 2 film 67 and a SiN film 68 are laminated by CVD on the substrate so as to cover the gate electrode, thereby forming a cap film. The cap film (especially the SiN film 68) restrains the gate electrode from the side and from the top when recrystallizing the amorphous NMOS gate electrode by annealing. It is a layer for effectively transmitting the compressive stress.

図7Eに示すように、NMOSトランジスタ領域をフォトレジストマスクPR3で覆い、PMOSトランジスタ領域のSiN膜68を熱リン酸でウェットエッチングして除去する。Bのイオン注入によりシリコンゲートが受けるダメージは比較的小さいが、若干アモルファス化することも考えられる。PMOSトランジスタにおいて、ゲート電極が再結晶化し、下方のチャネルに圧縮応力を印加すると、図6の表から判るように、キャリアの移動度が低下して性能を低下することになる。このため、PMOSトランジスタからはSiN膜68を除去した。バッファSiO膜67は残して、エクステンションExtp及びゲート絶縁膜を保護する。 As shown in FIG. 7E, the NMOS transistor region is covered with a photoresist mask PR3, and the SiN film 68 in the PMOS transistor region is removed by wet etching with hot phosphoric acid. Although damage to the silicon gate due to the ion implantation of B is relatively small, it may be considered that the silicon gate is slightly amorphous. In the PMOS transistor, when the gate electrode is recrystallized and compressive stress is applied to the lower channel, the carrier mobility is lowered and the performance is lowered as can be seen from the table of FIG. For this reason, the SiN film 68 is removed from the PMOS transistor. The buffer SiO 2 film 67 is left to protect the extension Extp and the gate insulating film.

図7Fに示すように、スパイクアニールによる熱処理を行い、不純物を活性化すると共に、アモルファス化したゲート電極を再結晶化する。ゲート電極のアモルファス化したシリコンがグレイン成長して、ポリシリコンに結晶化する。NMOSトランジスタにおいては、体積膨張するゲート電極がSiN膜68により上方、側方を束縛されているので、下方に膨張しようとし、チャネルに圧縮応力を印加し、チャネル歪を発生するであろう。PMOSトランジスタにおいては、アモルファス化の程度も低く、SiN膜68が除去されているので、ゲート電極が多少体積膨張しても、上方に伸張することが容易であり、実質的に垂直方向の圧縮応力は生じず、チャネル歪は発生しないであろう。   As shown in FIG. 7F, heat treatment by spike annealing is performed to activate the impurities and recrystallize the amorphous gate electrode. Amorphized silicon of the gate electrode is grain-grown and crystallized into polysilicon. In the NMOS transistor, since the volume-expanding gate electrode is constrained by the SiN film 68 on the upper side and the side, it will expand downward, applying compressive stress to the channel and generating channel distortion. In the PMOS transistor, since the degree of amorphization is low and the SiN film 68 is removed, even if the gate electrode expands somewhat, it is easy to expand upward, and substantially compressive stress in the vertical direction. No channel distortion will occur and no channel distortion will occur.

図7Gに示すように、キャップ膜のSiN膜68、バッファSiO膜67をウェットエッチングで除去する。窒化膜は熱リン酸で除去し、酸化膜は希弗酸で除去する。この段階でキャップ膜を除去しても、導入されたチャネル歪は保持される。 As shown in FIG. 7G, the SiN film 68 and the buffer SiO 2 film 67 as the cap film are removed by wet etching. The nitride film is removed with hot phosphoric acid, and the oxide film is removed with dilute hydrofluoric acid. Even if the cap film is removed at this stage, the introduced channel strain is maintained.

図8A,8Bは得られたサンプルの表面の電子顕微鏡写真である。PMOSトランジスタにおいてはゲート電極がサイドウォールスペーサから突出しているように見えるが、NMOSトランジスタにおいては、ゲート電極の上方への突出は小さい。キャップ膜が上方を抑制していたためであろう。   8A and 8B are electron micrographs of the surface of the obtained sample. In the PMOS transistor, the gate electrode seems to protrude from the sidewall spacer, but in the NMOS transistor, the upward protrusion of the gate electrode is small. This is probably because the cap film suppressed the upper side.

図8C,8Dはサンプルの断面の電子顕微鏡写真を示す。PMOSトランジスタのゲート電極は高さ方向に亘って幅はほぼ一定であるが、NMOSトランジスタのゲート電極は上部が横方向に拡がっている。体積膨張によってゲート電極が横方向に拡がったと考えられる。横方向に体積膨張が生じることは、垂直方向の圧縮応力は効率的に生じていないことを示す。   8C and 8D show electron micrographs of the cross section of the sample. The gate electrode of the PMOS transistor has a substantially constant width in the height direction, but the upper part of the gate electrode of the NMOS transistor extends in the horizontal direction. It is considered that the gate electrode expanded in the lateral direction due to the volume expansion. The volume expansion in the lateral direction indicates that the vertical compressive stress is not efficiently generated.

本発明者は、NMOSトランジスタのゲート電極上部の横方向拡がりの原因を以下のように考えた。イオン注入によって、NMOSトランジスタのゲート電極がダメージを受けアモルファス化する際、サイドウォールスペーサもダメージを受ける。ダメージを受けたサイドウォールスペーサは、ゲート電極を側面から拘束する能力が低下し、ゲート電極の横方向拡がりを許したのであろう。そこで、ダメージを受けたサイドウォールスペーサは除去し、健全なキャップ膜を形成してから、熱処理を行ってアモルファス化したゲート電極を再結晶化することを考えた。   The inventor considered the cause of the lateral expansion of the upper part of the gate electrode of the NMOS transistor as follows. When the gate electrode of the NMOS transistor is damaged by the ion implantation and becomes amorphous, the sidewall spacer is also damaged. Damaged sidewall spacers may have reduced the ability to restrain the gate electrode from the side, allowing the gate electrode to expand laterally. Therefore, it was considered to remove the damaged sidewall spacer and form a sound cap film, and then recrystallize the amorphous gate electrode by heat treatment.

図1A−1Hは、第1の実施例によるCMOS型半導体装置の製造方法の主要工程を示すシリコン基板の概略断面図である。   1A to 1H are schematic cross-sectional views of a silicon substrate showing main steps of a method for manufacturing a CMOS type semiconductor device according to the first embodiment.

図1Aに示すように、シリコン基板1に活性領域を取り囲むトレンチをエッチングし、酸化シリコン膜等を埋め込んでシャロートレンチアイソレーション(STI)による素子分離領域2を形成する。選択的にイオン注入を行い、活性領域にp型ウェルPW,n型ウェルNWを形成する。活性領域表面に熱酸化膜等によるゲート絶縁膜3を形成し、その上に化学気相堆積(CVD)により多結晶シリコン膜4を堆積する。レジストパターン(必要に応じてハードマスクを併用する)を用いて多結晶シリコン膜4(及びゲート絶縁膜3)をエッチングし、ゲート電極Gをパターニングする。選択的に不純物をイオン注入し、NMOS用エクステンションExtn、PMOS用エクステンションExtpを形成する。ゲート電極を覆って基板上に、薄いバッファ酸化シリコン膜5とサイドウォールスペーサ用窒化シリコン膜6を堆積し、リアクティブイオンエッチング(RIE)等による異方性エッチングを行い、平坦部上の窒化膜6、酸化膜5を除去し、ゲート電極G側壁上にサイドウォールスペーサSWを残す。   As shown in FIG. 1A, a trench surrounding an active region is etched in a silicon substrate 1 and a silicon oxide film or the like is embedded to form an element isolation region 2 by shallow trench isolation (STI). Ions are selectively implanted to form a p-type well PW and an n-type well NW in the active region. A gate insulating film 3 made of a thermal oxide film or the like is formed on the active region surface, and a polycrystalline silicon film 4 is deposited thereon by chemical vapor deposition (CVD). The polycrystalline silicon film 4 (and the gate insulating film 3) is etched using a resist pattern (a hard mask is used in combination as necessary), and the gate electrode G is patterned. Impurities are selectively ion-implanted to form an NMOS extension Extn and a PMOS extension Extp. A thin buffer silicon oxide film 5 and a sidewall spacer silicon nitride film 6 are deposited on the substrate so as to cover the gate electrode, and anisotropic etching such as reactive ion etching (RIE) is performed to form a nitride film on the flat portion. 6. The oxide film 5 is removed, and the side wall spacer SW is left on the side wall of the gate electrode G.

図1Bに示すように、NMOSトランジスタ領域をレジストマスクPR1で覆い、PMOSトランジスタ領域にp型不純物を深く、高不純物濃度にイオン注入し、低抵抗ソース/ドレイン領域SDpを形成する。たとえばボロンBを加速エネルギ3keV、ドーズ量5×1015cm−2(以下、5E15の様に表記する)でイオン注入する。その後、レジストマスクPR1は除去する。 As shown in FIG. 1B, the NMOS transistor region is covered with a resist mask PR1, and a p-type impurity is deeply implanted into the PMOS transistor region at a high impurity concentration to form a low resistance source / drain region SDp. For example, boron B is ion-implanted with an acceleration energy of 3 keV and a dose of 5 × 10 15 cm −2 (hereinafter expressed as 5E15). Thereafter, the resist mask PR1 is removed.

図1Cに示すように、PMOSトランジスタ領域をレジストマスクPR2で覆い、NMOSトランジスタ領域を露出する。この工程までは、公知の工程であり、公知の任意の他の工程に置き換えることもできる。   As shown in FIG. 1C, the PMOS transistor region is covered with a resist mask PR2, and the NMOS transistor region is exposed. The steps up to this step are known steps, and can be replaced with any other known steps.

図1Dに示すように、n型不純物を深く、高濃度にイオン注入し、低抵抗ソース/ドレイン領域SDnを形成すると共に、ゲート電極Gの上部をアモルファス化する。たとえば、砒素Asを加速エネルギ20keV、ドーズ量6E15でイオン注入する。または、燐Pを加速エネルギ7keV、ドーズ量6E15でイオン注入する。アモルファス化のため、さらに中性不純物であるゲルマニウムGeを加速エネルギ15keV、ドーズ量1E15でイオン注入してもよい。Geの代わりにSiをイオン注入することもできる。n型不純物としてPを用いる時は、ゲート電極に与えるダメージが比較的小さいため、ゲート電極の確実なアモルファス化のためにはGeのイオン注入を併用することが好ましい。その後、レジストマスクPR2は除去する。   As shown in FIG. 1D, n-type impurities are ion-implanted deeply at a high concentration to form a low-resistance source / drain region SDn, and the upper portion of the gate electrode G is made amorphous. For example, arsenic As is ion-implanted with an acceleration energy of 20 keV and a dose of 6E15. Alternatively, phosphorus P is ion-implanted with an acceleration energy of 7 keV and a dose of 6E15. For the amorphization, germanium Ge which is a neutral impurity may be further ion-implanted with an acceleration energy of 15 keV and a dose of 1E15. Si can be ion-implanted instead of Ge. When P is used as the n-type impurity, the damage to the gate electrode is relatively small. Therefore, it is preferable to use Ge ion implantation together in order to make the gate electrode amorphous. Thereafter, the resist mask PR2 is removed.

図1Eに示すように、サイドウォールスペーサSWの少なくとも一部を除去する。上述の様にサイドウォールスペーサをバッファ層と実質的サイドウォールスペーサ層との2層以上の積層構造で形成した場合は、最下層のバッファ層を残し、上層を除去することが好ましい。たとえば、窒化シリコン膜6を熱燐酸でウェットエッチングする。酸化シリコン膜5を残すことにより、エクステンションExtn、ゲート絶縁膜3を保護することができる。   As shown in FIG. 1E, at least a part of the sidewall spacer SW is removed. As described above, when the sidewall spacer is formed by a laminated structure of two or more layers of the buffer layer and the substantially sidewall spacer layer, it is preferable to leave the lowermost buffer layer and remove the upper layer. For example, the silicon nitride film 6 is wet etched with hot phosphoric acid. By leaving the silicon oxide film 5, the extension Extn and the gate insulating film 3 can be protected.

図1Fに示すように、窒化シリコン膜を除去したゲート電極を覆うように、例えば窒化シリコン膜のキャップ膜7を、PECVD又は低圧(LP)CVDで堆積する。ダメージを受けていない健全な窒化シリコン膜7がゲート電極側面、上面を覆う形となる。この状態で不純物活性化及びアモルファス化したゲート電極の再結晶化のための熱処理を例えば1000℃、0秒間のスパイクアニール又は1150℃−1300℃のミリ秒アニールで行う。アモルファス化したNMOSトランジスタのシリコンゲート電極がグレイン成長し、体積増加を生じる。ゲート電極の側方、上面が窒化シリコン膜7で拘束されており、かつ、窒化シリコン膜7自体がアニール時に収縮するため、体積増加は下方に向かい、チャネル領域に深さ方向の圧縮応力を印加する。PMOSトランジスタにおいては、低抵抗ソース/ドレイン領域形成用のイオン注入をBのイオン注入で行ない、ゲート電極に与えるダメージを小さくし、アモルファス化の程度を小さくすることにより、再結晶化の影響を抑制する。   As shown in FIG. 1F, for example, a cap film 7 of a silicon nitride film is deposited by PECVD or low pressure (LP) CVD so as to cover the gate electrode from which the silicon nitride film has been removed. A healthy silicon nitride film 7 that is not damaged covers the side and top surfaces of the gate electrode. In this state, the heat treatment for recrystallization of the gate electrode which has been activated and made amorphous is performed by, for example, spike annealing at 1000 ° C. for 0 second or millisecond annealing at 1150 ° C. to 1300 ° C. The silicon gate electrode of the amorphous NMOS transistor is grain-grown, resulting in an increase in volume. Since the side and upper surfaces of the gate electrode are constrained by the silicon nitride film 7 and the silicon nitride film 7 itself contracts during annealing, the volume increases downward, and compressive stress in the depth direction is applied to the channel region. To do. In the PMOS transistor, the ion implantation for forming the low resistance source / drain region is performed by B ion implantation, the damage to the gate electrode is reduced, and the degree of amorphization is reduced, thereby suppressing the influence of recrystallization. To do.

図1Gに示すように、窒化シリコン膜7をRIE等の異方性エッチングでエッチバックして、サイドウォールスペーサSWに加工する。   As shown in FIG. 1G, the silicon nitride film 7 is etched back by anisotropic etching such as RIE to be processed into a sidewall spacer SW.

図1Hに示すように、基板上にNi膜などを堆積し、熱処理を行なってシリサイド反応を生じさせる。未反応金属をウォッシュアウトし、必要に応じて更に熱処理を行う。このようにして。シリコン表面にシリサイド層SLを形成する。その後、公知の多層配線形成工程を行う。   As shown in FIG. 1H, a Ni film or the like is deposited on the substrate, and heat treatment is performed to cause a silicide reaction. Unreacted metal is washed out, and further heat treatment is performed as necessary. In this way. A silicide layer SL is formed on the silicon surface. Thereafter, a known multilayer wiring forming process is performed.

第1の実施例においては、NMOSトランジスタ、PMOSトランジスタのゲート電極側壁上に第1サイドウォールスペーサを形成し、深く高濃度のソース/ドレイン領域を形成した後、NMOSトランジスタ、PMOSトランジスタのゲート電極側壁上の第1サイドウォールスペーサの少なくとも一部を除去し、ゲート電極をキャップ膜で覆い、活性化兼再結晶化のアニールを行い、キャップ膜を異方性エッチングして第2サイドウォールスペーサを形成した。なお、NMOSトランジスタの第1サイドウォールスペーサは除去しないとゲート電極再結晶化の際、横方向拡がりが生じるが、PMOSトランジスタの第1サイドウォールスペーサは、特に除去しなくてもよい。   In the first embodiment, the first sidewall spacers are formed on the gate electrode sidewalls of the NMOS and PMOS transistors, the source / drain regions are formed deeply, and then the sidewalls of the gate electrodes of the NMOS and PMOS transistors are formed. At least a part of the first sidewall spacer is removed, the gate electrode is covered with a cap film, annealing for activation and recrystallization is performed, and the cap film is anisotropically etched to form a second sidewall spacer. did. If the first sidewall spacer of the NMOS transistor is not removed, lateral expansion occurs during recrystallization of the gate electrode. However, the first sidewall spacer of the PMOS transistor may not be removed.

図2A−2E,2P−2Rは、第1の実施例の変形例を示すシリコン基板の概略断面図である。   2A-2E and 2P-2R are schematic cross-sectional views of a silicon substrate showing a modification of the first embodiment.

図2Aに示すように、図1Dに示すNMOSトランジスタの深いソース/ドレイン領域を形成するイオン注入工程に続き、同一マスクPR2を用いて、NMOSトランジスタのサイドウォールスペーサの少なくとも一部をエッチング除去する。PMOSトランジスタのサイドウォールスペーサはフォトレジストマスクPR2で覆われ、エッチングされない。   As shown in FIG. 2A, following the ion implantation step for forming the deep source / drain region of the NMOS transistor shown in FIG. 1D, at least a part of the sidewall spacer of the NMOS transistor is removed by etching using the same mask PR2. The sidewall spacer of the PMOS transistor is covered with the photoresist mask PR2, and is not etched.

図では、サイドウォールスペーサSWが酸化膜/窒化膜の2層構造で形成され、上層の窒化膜6のみをエッチング除去する場合を示す。サイドウォールスペーサの上層が窒化膜の場合、例えば熱リン酸でウェットエッチングできる。サイドウォールスペーサの上層が酸化膜の場合、例えば希弗酸でウェットエッチングできる。ウェットエッチングに代え、ケミカルドライエッチングを行うこともできる。エクステンションExtn、ゲート絶縁膜3が、サイドウォールスペーサの下層5で覆われた状態を保ち、エッチングから保護される。単層のサイドウォールスペーサの場合は、サイドウォールスペーサを全て除去する。複数層のサイドウォールスペーサを全て除去してもよい。後に形成するキャップ膜の影響が強まる。   In the figure, the side wall spacer SW is formed in a two-layer structure of oxide film / nitride film, and only the upper nitride film 6 is etched away. When the upper layer of the sidewall spacer is a nitride film, wet etching can be performed with, for example, hot phosphoric acid. When the upper layer of the sidewall spacer is an oxide film, wet etching can be performed with, for example, diluted hydrofluoric acid. Instead of wet etching, chemical dry etching can also be performed. The extension Extn and the gate insulating film 3 remain covered with the lower layer 5 of the sidewall spacer and are protected from etching. In the case of a single-layer sidewall spacer, all the sidewall spacers are removed. You may remove all the side wall spacers of multiple layers. The influence of the cap film to be formed later becomes stronger.

図2Bに示すように、NMOSトランジスタのサイドウォールスペーサの少なくとも上層を除去した後、PMOSトランジスタを覆うフォトレジストマスクPR2を除去する。   As shown in FIG. 2B, after removing at least the upper layer of the sidewall spacer of the NMOS transistor, the photoresist mask PR2 covering the PMOS transistor is removed.

図2Cに示すように、例えば窒化シリコン膜のキャップ膜7を堆積する。キャップ膜7を酸化シリコン膜/窒化シリコン膜等の積層としてもよい。NMOSトランジスタでは、第1の実施例同様、キャップ膜7が酸化膜5を介してゲート電極を包み込む。PMOSトランジスタでは、キャップ膜7と酸化膜5の間に窒化膜6が残り、キャップ膜の影響が弱まる。キャップ膜7を形成した後、不純物活性化及びアモルファス化したシリコンゲートの再結晶化のためのスパイクアニール又はミリ秒アニールを行う。NMOSトランジスタにおけるシリコンゲート再結晶化の影響は第1の実施例と同様である。PMOSトランジスタにおいては、シリコンゲートの再結晶化が生じても、その影響は窒化膜6の存在で弱まるであろう。   As shown in FIG. 2C, for example, a cap film 7 of a silicon nitride film is deposited. The cap film 7 may be a stack of silicon oxide film / silicon nitride film or the like. In the NMOS transistor, the cap film 7 wraps the gate electrode through the oxide film 5 as in the first embodiment. In the PMOS transistor, the nitride film 6 remains between the cap film 7 and the oxide film 5, and the influence of the cap film is weakened. After forming the cap film 7, spike annealing or millisecond annealing is performed for recrystallization of the silicon gate that has been activated and made amorphous. The influence of silicon gate recrystallization in the NMOS transistor is the same as in the first embodiment. In the PMOS transistor, even if recrystallization of the silicon gate occurs, the influence will be weakened by the presence of the nitride film 6.

図2Dに示すように、RIEによりキャップ膜の窒化膜7をエッチバックし、平坦部上の窒化膜7を除去する。ゲート電極側壁上の窒化膜7のみが残り、NMOSトランジスタにおいては酸化膜5、窒化膜7の2層構造のサイドウォールスペーサが形成される。PMOSトランジスタにおいては、酸化膜5、窒化膜6、窒化膜7の3層構造のサイドウォールスペーサが形成される。   As shown in FIG. 2D, the nitride film 7 of the cap film is etched back by RIE, and the nitride film 7 on the flat portion is removed. Only the nitride film 7 on the side wall of the gate electrode remains, and a sidewall spacer having a two-layer structure of the oxide film 5 and the nitride film 7 is formed in the NMOS transistor. In the PMOS transistor, a sidewall spacer having a three-layer structure of an oxide film 5, a nitride film 6, and a nitride film 7 is formed.

図2Eに示すように、Ni層を堆積し、熱処理などを行ないシリサイド層SLを形成する。サイドウォールスペーサはシリサイドマスクとして機能する。その後、層間絶縁膜,配線形成工程を行い、所望の多層配線を形成する。   As shown in FIG. 2E, a Ni layer is deposited and heat treatment is performed to form a silicide layer SL. The sidewall spacer functions as a silicide mask. Thereafter, an interlayer insulating film and wiring formation step is performed to form a desired multilayer wiring.

キャップ膜は、NMOSトランジスタにおいて垂直方向の圧縮応力を発生させるために必要であるが、PMOSトランジスタにおいては不要であり、逆効果を生じる場合もある。PMOSトランジスタからキャップ膜を除去してもよい。   The cap film is necessary for generating a vertical compressive stress in the NMOS transistor, but is not necessary in the PMOS transistor, and may have an adverse effect. The cap film may be removed from the PMOS transistor.

図2Pに示すように、図2A−2Cの工程に続き、キャップ膜7の上に、NMOSトランジスタ領域を覆い、PMOSトランジスタ領域を露出するフォトレジストマスクPR3を形成する。フォトレジストマスクPR3から露出したキャップ膜7を除去する。   As shown in FIG. 2P, following the steps of FIGS. 2A-2C, a photoresist mask PR3 is formed on the cap film 7 so as to cover the NMOS transistor region and expose the PMOS transistor region. The cap film 7 exposed from the photoresist mask PR3 is removed.

図2Qに示すように、フォトレジストマスクPR3を除去し、不純物活性化兼アモルファス化したシリコンゲートの再結晶化のための熱処理を行う。PMOSトランジスタ領域では、キャップ膜7が除去されているので、例えゲートシリコンがある程度アモルファス化し、熱処理によって再結晶化しても、応力は上方に逃げる。NMOSトランジスタ領域ではキャップ膜7がゲート電極を取り囲むので、ゲートシリコンの再結晶化による体積膨張により、垂直方向下方に向かう圧縮応力が生じる。   As shown in FIG. 2Q, the photoresist mask PR3 is removed, and a heat treatment for recrystallization of the silicon gate that has been activated and made amorphous is performed. In the PMOS transistor region, since the cap film 7 is removed, even if the gate silicon is made amorphous to some extent and recrystallized by heat treatment, the stress escapes upward. Since the cap film 7 surrounds the gate electrode in the NMOS transistor region, a compressive stress is generated downward in the vertical direction due to volume expansion due to recrystallization of the gate silicon.

図2Rに示すように、PMOSトランジスタを覆うフォトレジストマスクPR4を形成し、
NMOSトランジスタ領域のキャップ膜7を異方性エッチグして、サイドウォールスペーサに加工する。その後フォトレジストマスクPR4は除去する。その後、図2Eに示すサリサイド工程他の工程を行う。
As shown in FIG. 2R, a photoresist mask PR4 covering the PMOS transistor is formed,
The cap film 7 in the NMOS transistor region is anisotropically etched and processed into a sidewall spacer. Thereafter, the photoresist mask PR4 is removed. Thereafter, the salicide process and other processes shown in FIG. 2E are performed.

第1の実施例においては、NMOSトランジスタのチャネルに垂直方向の圧縮応力を印加して特性を向上させるが、PMOSトランジスタの特性を改善するための応力印加対策は採られていない。PMOSトランジスタにも特性を改善する応力を印加するようにすることができる。   In the first embodiment, the compressive stress in the vertical direction is applied to the channel of the NMOS transistor to improve the characteristics, but no stress application measures are taken to improve the characteristics of the PMOS transistor. A stress that improves the characteristics can be applied to the PMOS transistor.

図3A−3Lは、第2の実施例によるCMOS型半導体装置の製造方法の主要工程を示すシリコン基板の概略断面図である。   3A to 3L are schematic cross-sectional views of a silicon substrate showing main steps of a method for manufacturing a CMOS type semiconductor device according to the second embodiment.

図3Aに示すように、図1Aに示す工程の後、ゲート電極を覆って、基板上に酸化シリコンのハードマスク膜8を形成する。このハードマスク膜は、基板エッチング、選択的エピタキシャル成長のマスクとして機能する。   As shown in FIG. 3A, after the step shown in FIG. 1A, a hard mask film 8 of silicon oxide is formed on the substrate so as to cover the gate electrode. This hard mask film functions as a mask for substrate etching and selective epitaxial growth.

図3Bに示すように、NMOSトランジスタ領域をフォトレジストマスクPR5で覆う。   As shown in FIG. 3B, the NMOS transistor region is covered with a photoresist mask PR5.

図3Cに示すように、フォトレジストマスクPR5をエッチングマスクとして用い、PMOSトランジスタ領域のハードマスク膜8をエッチング除去する。ウェットエッチングでもドライエッチングでもよい。この段階で、p型不純物の深く、高濃度のイオン注入を行ない、PMOSトランジスタの低抵抗ソース/ドレイン領域を形成してもよい。   As shown in FIG. 3C, the hard mask film 8 in the PMOS transistor region is removed by etching using the photoresist mask PR5 as an etching mask. Wet etching or dry etching may be used. At this stage, high-concentration ion implantation of p-type impurities may be performed to form a low-resistance source / drain region of the PMOS transistor.

図3Dに示すように、フォトレジストマスクPR5を除去する。NMOSトランジスタ領域は酸化シリコンのハードマスク膜8で覆われた状態である。   As shown in FIG. 3D, the photoresist mask PR5 is removed. The NMOS transistor region is covered with a hard mask film 8 made of silicon oxide.

図3Eに示すように、PMOSトランジスタ領域のソース/ドレイン領域をエッチングしてリセスを形成する。ゲートのシリコンもエッチングされる。   As shown in FIG. 3E, the source / drain region of the PMOS transistor region is etched to form a recess. The gate silicon is also etched.

図3Fに示すように、露出したシリコン表面を清浄化した後、シリコン表面にSi−Ge混晶の選択的エピタキシャル成長を行う。例えば、Siのソースガスとしてジクロルシラン(SiHCl),Geのソースガスとしてゲルマン(GeH)を用い、基板温度500℃−800℃のエピタキシャル成長により、Si−Ge混晶を選択的にSi表面上に成長する。p型不純物をその場ドープしてもよい。ソース/ドレイン領域のリセスにSi−Ge領域9が成長する。Si−Ge混晶は、Siより大きい格子定数を有し、圧縮応力を内蔵する。ソース/ドレイン領域に挟まれたチャネル領域にチャネル長方向の圧縮応力が印加される。なお、ゲートシリコン上にもSi−Ge多結晶が成長する。この状態でPMOSトランジスタ領域にp型不純物のイオン注入を行なってもよい。 As shown in FIG. 3F, after the exposed silicon surface is cleaned, selective epitaxial growth of Si—Ge mixed crystal is performed on the silicon surface. For example, dichlorosilane (SiH 2 Cl 2 ) is used as the Si source gas, germane (GeH 4 ) is used as the Ge source gas, and Si—Ge mixed crystals are selectively formed on the Si surface by epitaxial growth at a substrate temperature of 500 ° C. to 800 ° C. Grow up. A p-type impurity may be doped in situ. A Si—Ge region 9 grows in the recess of the source / drain region. The Si—Ge mixed crystal has a lattice constant larger than that of Si and incorporates a compressive stress. A compressive stress in the channel length direction is applied to the channel region sandwiched between the source / drain regions. Note that Si-Ge polycrystal grows also on the gate silicon. In this state, p-type impurity ions may be implanted into the PMOS transistor region.

図3Gに示すようにハードマスク膜8をウェットエッチング等により除去する。   As shown in FIG. 3G, the hard mask film 8 is removed by wet etching or the like.

図3Hに示すように、PMOSトランジスタ領域をフォトレジストマスクPR6で覆い、NMOSトランジスタ領域にn型不純物の高加速エネルギ、高ドーズ量のイオン注入を行ない、低抵抗ソース/ドレイン領域SDnを形成するとともに、シリコンゲートの上部のアモルファス化を行う。図1D同様の工程である。   As shown in FIG. 3H, the PMOS transistor region is covered with a photoresist mask PR6, and high-acceleration energy and high-dose ion implantation of n-type impurities are performed in the NMOS transistor region to form a low-resistance source / drain region SDn. Then, the upper part of the silicon gate is amorphized. It is the same process as FIG. 1D.

図3Iに示すように、PMOSトランジスタをフォトレジストマスクPR6で覆った状態で、NMOSトランジスタのサイドウォールスペーサの少なくとも一部(実質的部分)の除去を行う。図2A同様の工程である。その後、フォトレジストマスクPR6は除去する。   As shown in FIG. 3I, at least a part (substantial part) of the sidewall spacer of the NMOS transistor is removed in a state where the PMOS transistor is covered with the photoresist mask PR6. It is the same process as FIG. 2A. Thereafter, the photoresist mask PR6 is removed.

図3Jに示すように、窒化シリコン膜単層または酸化シリコン膜と窒化シリコン膜の積層でキャップ膜7を形成し、不純物活性化とアモルファス化したゲートシリコンの再結晶化のための熱処理を行う。図2C同様の工程である。NMOSトランジスタのチャネルに深さ方向の圧縮応力が印加される。   As shown in FIG. 3J, a cap film 7 is formed by a single layer of silicon nitride film or a stack of a silicon oxide film and a silicon nitride film, and heat treatment is performed for impurity activation and recrystallization of the amorphized gate silicon. It is the same process as FIG. 2C. A compressive stress in the depth direction is applied to the channel of the NMOS transistor.

図3Kに示すように、キャップ膜7を異方性エッチングして、平坦部を除去し、サイドウォールスペーサに加工する。図2D同様の工程である。   As shown in FIG. 3K, the cap film 7 is anisotropically etched to remove the flat portion and process into a sidewall spacer. It is the same process as FIG. 2D.

図3Lに示すように、例えばNi層を堆積し、シリサイド化の工程を行う。図2E同様の工程である。その後、多層配線作成工程を行う。   As shown in FIG. 3L, for example, a Ni layer is deposited and a silicidation step is performed. It is the same process as FIG. 2E. Thereafter, a multilayer wiring creation process is performed.

最下層の層間絶縁膜は、通常窒化シリコン等のエッチストッパ膜の上にPSGなどの酸化シリコン膜を堆積して形成する。窒化シリコン膜は成膜方法によって、引っ張りストレス膜とすることも、圧縮応力膜とすることもできる。NMOSトランジスタ上には引張応力膜、PMOSトランジスタ上には圧縮応力膜を形成すると、エッチストッパとして機能すると共に、NMOSトランジスタのチャネル長方向に引張応力、PMOSトランジスタのチャネル長方向に圧縮応力を印加することができる。   The lowermost interlayer insulating film is usually formed by depositing a silicon oxide film such as PSG on an etch stopper film such as silicon nitride. The silicon nitride film can be a tensile stress film or a compressive stress film depending on a film formation method. When a tensile stress film is formed on the NMOS transistor and a compressive stress film is formed on the PMOS transistor, it functions as an etch stopper and applies tensile stress in the channel length direction of the NMOS transistor and compressive stress in the channel length direction of the PMOS transistor. be able to.

図4は、第2の実施例による半導体装置(シリサイド層は図示を省略する)において、PMOSトランジスタを覆って圧縮応力窒化膜10c、NMOSトランジスタを覆って引張応力窒化膜10tを形成した状態を示す。シリコンソースとしてシラン系材料を用い、熱CVDで窒化シリコン膜を成膜して、引張応力窒化シリコン膜を成膜することができる。PE−CVDを用いて引張応力窒化膜を形成することもできる。PMOSトランジスタ領域の引張応力窒化シリコン膜は除去する。次にPECVDにより、又はシリコンソースとしてCを含む材料を用いて窒化シリコン膜を成膜することにより圧縮応力窒化シリコン膜を成膜することができる。NMOSトランジスタ領域上の圧縮応力窒化シリコン膜は除去する。   FIG. 4 shows a state in which a compressive stress nitride film 10c is formed to cover the PMOS transistor and a tensile stress nitride film 10t is formed to cover the NMOS transistor in the semiconductor device according to the second embodiment (silicide layer is not shown). . A tensile stress silicon nitride film can be formed by using a silane-based material as a silicon source and forming a silicon nitride film by thermal CVD. A tensile stress nitride film can also be formed using PE-CVD. The tensile stress silicon nitride film in the PMOS transistor region is removed. Next, a compressive stress silicon nitride film can be formed by PECVD or by forming a silicon nitride film using a material containing C as a silicon source. The compressive stress silicon nitride film on the NMOS transistor region is removed.

以上実施例に沿って、本発明を説明したが、本発明はこれらに限定されるものではない。例えば、成膜方法、エッチング方法、配線形成方法として、特開2006−13322号、特開2007−227565号の発明を実施するための最良の形態の欄に記載された技術を適宜用いてもよい。その他種々の変更、置換、改良、組み合わせ等が可能なことは、当業者に自明であろう。   Although the present invention has been described with reference to the embodiments, the present invention is not limited thereto. For example, as a film forming method, an etching method, and a wiring forming method, the technique described in the column of the best mode for carrying out the invention of Japanese Patent Laid-Open No. 2006-13322 and Japanese Patent Laid-Open No. 2007-227565 may be appropriately used. . It will be apparent to those skilled in the art that various other changes, substitutions, improvements, combinations, and the like are possible.

/ 図1A−1Hは、第1の実施例によるCMOS型半導体装置の製造方法の主要工程を示すシリコン基板の概略壇面図である。1A to 1H are schematic plan views of a silicon substrate showing main steps of a method of manufacturing a CMOS type semiconductor device according to the first embodiment. / 図2A−2E,2P−2Rは、第1の実施例の変形例を示すシリコン基板の概略断面図である。2A-2E and 2P-2R are schematic cross-sectional views of a silicon substrate showing a modification of the first embodiment. / / 図3A−3Lは、第2の実施例によるCMOS型半導体装置の製造方法の主要工程を示すシリコン基板の概略断面図である。3A to 3L are schematic cross-sectional views of a silicon substrate showing main steps of a method for manufacturing a CMOS type semiconductor device according to the second embodiment. 図4は、PMOSトランジスタを覆う圧縮応力窒化膜、NMOSトランジスタを覆う引張応力窒化膜を形成した状態を示すCMOS型半導体装置の概略断面図である。FIG. 4 is a schematic cross-sectional view of a CMOS type semiconductor device showing a state in which a compressive stress nitride film covering a PMOS transistor and a tensile stress nitride film covering an NMOS transistor are formed. 図5は、ゲート長スケーリングに伴う回路特性の変化の例を示すグラフである。FIG. 5 is a graph showing an example of changes in circuit characteristics accompanying gate length scaling. 図6は、NMOS,PMOSにおいてキャリアの移動度を向上させる歪の種類をまとめた表であるFIG. 6 is a table summarizing the types of strains that improve carrier mobility in NMOS and PMOS. / 図7A−7Gは、サンプルの製作手順を示すシリコン基板の断面図である。7A-7G are cross-sectional views of the silicon substrate showing the manufacturing procedure of the sample. 図8A,8B、8C,8Dは得られたサンプルの表面及び断面の電子顕微鏡写真である。8A, 8B, 8C, and 8D are electron micrographs of the surface and cross section of the obtained sample.

符号の説明Explanation of symbols

1 シリコン基板、
2 素子分離領域、
3 ゲート絶縁膜、
4 多結晶シリコン膜(ゲート電極)、
5 酸化シリコン膜、
6 窒化シリコン膜、
7 キャップ膜、
8 ハードマスク膜、
9 Si−Ge領域、
10 絶縁性応力膜。
1 silicon substrate,
2 element isolation region,
3 Gate insulation film,
4 Polycrystalline silicon film (gate electrode),
5 Silicon oxide film,
6 Silicon nitride film,
7 Cap membrane,
8 Hard mask film,
9 Si-Ge region,
10 Insulating stress film.

Claims (5)

(a)シリコン基板のNMOSトランジスタ領域上方に多結晶シリコンのゲート電極を形成する工程と、
(b)前記ゲート電極側壁上に第1サイドウォールスペーサを形成する工程と、
(c)前記NMOSトランジスタ領域にイオン注入を行ない、前記第1サイドウォールスペーサに整合した低抵抗ソース/ドレイン領域を形成するとともに、前記ゲート電極の上部をアモルファス化する工程と、
(d)前記第1サイドウォールスペーサの少なくとも一部を除去する工程と、
(e)前記ゲート電極を覆ってキャップ膜を形成する工程と、
(f)前記低抵抗ソース/ドレイン領域の活性化及び前記アモルファス化されたゲート電極の再結晶化を行う熱処理を行なう工程と、
(g)前記キャップ膜を異方性エッチングして第2サイドウォールスペーサに加工する工程と、
を含む半導体装置の製造方法。
(A) forming a polycrystalline silicon gate electrode above the NMOS transistor region of the silicon substrate;
(B) forming a first sidewall spacer on the gate electrode sidewall;
(C) performing ion implantation on the NMOS transistor region to form a low resistance source / drain region aligned with the first sidewall spacer, and amorphizing the upper portion of the gate electrode;
(D) removing at least a portion of the first sidewall spacer;
(E) forming a cap film covering the gate electrode;
(F) performing a heat treatment for activating the low-resistance source / drain regions and recrystallizing the amorphized gate electrode;
(G) anisotropically etching the cap film into a second sidewall spacer;
A method of manufacturing a semiconductor device including:
前記工程(c)が、n型不純物と中性不純物をイオン注入する請求項1記載の半導体装置の製造方法。   2. The method of manufacturing a semiconductor device according to claim 1, wherein said step (c) ion-implants n-type impurities and neutral impurities. 前記第1サイドウォールスペーサが2層構成であり、前記工程(d)が上層を除去するが、下層を残す請求項1又は2記載の半導体装置の製造方法。   The method of manufacturing a semiconductor device according to claim 1, wherein the first sidewall spacer has a two-layer structure, and the step (d) removes the upper layer but leaves the lower layer. 前記工程(d)がウェットエッチングである請求項3記載の半導体装置の製造方法。   4. The method for manufacturing a semiconductor device according to claim 3, wherein the step (d) is wet etching. 前記工程(d)がケミカルドライエッチングである請求項3記載の半導体装置の製造方法。   4. The method of manufacturing a semiconductor device according to claim 3, wherein the step (d) is chemical dry etching.
JP2007340046A 2007-12-28 2007-12-28 Method of manufacturing semiconductor device Withdrawn JP2009164222A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2007340046A JP2009164222A (en) 2007-12-28 2007-12-28 Method of manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007340046A JP2009164222A (en) 2007-12-28 2007-12-28 Method of manufacturing semiconductor device

Publications (1)

Publication Number Publication Date
JP2009164222A true JP2009164222A (en) 2009-07-23

Family

ID=40966530

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007340046A Withdrawn JP2009164222A (en) 2007-12-28 2007-12-28 Method of manufacturing semiconductor device

Country Status (1)

Country Link
JP (1) JP2009164222A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115547936A (en) * 2022-12-02 2022-12-30 合肥晶合集成电路股份有限公司 Method for manufacturing semiconductor structure

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115547936A (en) * 2022-12-02 2022-12-30 合肥晶合集成电路股份有限公司 Method for manufacturing semiconductor structure
CN115547936B (en) * 2022-12-02 2023-06-16 合肥晶合集成电路股份有限公司 Method for manufacturing semiconductor structure

Similar Documents

Publication Publication Date Title
JP4847152B2 (en) Semiconductor device and manufacturing method thereof
US7592214B2 (en) Method of manufacturing a semiconductor device including epitaxially growing semiconductor epitaxial layers on a surface of semiconductor substrate
JP5752041B2 (en) Method for manufacturing a MOS device having a channel under high stress
JP4561419B2 (en) Manufacturing method of semiconductor device
JP5168274B2 (en) Manufacturing method of semiconductor device
JP5359863B2 (en) Semiconductor device and manufacturing method thereof
US8114727B2 (en) Disposable spacer integration with stress memorization technique and silicon-germanium
KR101600553B1 (en) Methods for fabricating mos devices having epitaxially grown stress-inducing source and drain regions
JP5195747B2 (en) Manufacturing method of semiconductor device
JP5559639B2 (en) Semiconductor device and manufacturing method thereof
US7888194B2 (en) Method of fabricating semiconductor device
JP2010003812A (en) Semiconductor device and method of manufacturing the same
JP5389346B2 (en) MOS field effect transistor and manufacturing method thereof
US8153537B1 (en) Method for fabricating semiconductor devices using stress engineering
JP2009033173A (en) Semiconductor device and method of manufacturing the same
JP2007214208A (en) Semiconductor device and its manufacturing method
CN106711215B (en) Semiconductor element and manufacturing method thereof
JP4997752B2 (en) Manufacturing method of semiconductor device
JP2008263114A (en) Manufacturing method of semiconductor device, and semiconductor device
JP5076367B2 (en) Semiconductor device and manufacturing method thereof
JP2007227721A (en) Semiconductor device, and manufacturing method therefor
JP2009164222A (en) Method of manufacturing semiconductor device
JP5076771B2 (en) Manufacturing method of semiconductor device
JP2005209980A (en) Semiconductor device and method for manufacturing the same
JP2007073695A (en) Semiconductor device and its manufacturing method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100723

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20101208