JP2008523591A - 高誘電率ゲート誘電体および金属ゲート電極をもつ半導体デバイスの作成方法 - Google Patents

高誘電率ゲート誘電体および金属ゲート電極をもつ半導体デバイスの作成方法 Download PDF

Info

Publication number
JP2008523591A
JP2008523591A JP2007544642A JP2007544642A JP2008523591A JP 2008523591 A JP2008523591 A JP 2008523591A JP 2007544642 A JP2007544642 A JP 2007544642A JP 2007544642 A JP2007544642 A JP 2007544642A JP 2008523591 A JP2008523591 A JP 2008523591A
Authority
JP
Japan
Prior art keywords
layer
oxide
metal
silicon nitride
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007544642A
Other languages
English (en)
Inventor
ブラスク,ジャスティン
ベー,サンウ
カヴァリエロス,ジャック
メッツ,マシュー
ドクジー,マーク
ダッタ,サマン
チョウ,ロバート
マイス,ホセ
Original Assignee
インテル コーポレイション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by インテル コーポレイション filed Critical インテル コーポレイション
Publication of JP2008523591A publication Critical patent/JP2008523591A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Formation Of Insulating Films (AREA)
  • Weting (AREA)

Abstract

半導体デバイスを作成する方法に関する。該方法は、基板上で二酸化ケイ素層に窒素を加えて窒化二酸化ケイ素層を形成することを含む。窒化二酸化ケイ素層の上に犠牲層を形成したのち、犠牲層が除去されて溝が生成される。窒化二酸化ケイ素層の上で溝の中に高誘電率ゲート誘電体層が形成され、該高誘電率ゲート誘電体層の上に金属ゲート電極が形成される。

Description

本発明は、半導体デバイス、特に高誘電率(high-k)ゲート誘電体および金属ゲート電極をもつものの作成のための方法に関する。
CMOS電界効果トランジスタは高誘電率ゲート誘電体および金属ゲート電極を含みうる。金属ゲート電極は置換ゲートプロセス(replacement gate process)を使って種々の金属から形成されうる。該プロセスでは、一対のスペーサーによって囲まれた第一のポリシリコン層が除去されて、スペーサーの間に溝を生成する。溝は高誘電率ゲート誘電体層で内側を覆われ、次いで第一の金属で満たされる。第二のポリシリコン層が除去されたのち、結果として得られる溝が高誘電率ゲート誘電体層で内側を覆われ、第一の金属とは異なる第二の金属で満たされる。そのようなプロセスが高誘電率ゲート誘電体層を化学処理された基板上に形成するとき、結果として得られるトランジスタは信頼できないことがある。
高誘電率ゲート誘電体層上に金属ゲート電極を形成するために置換ゲートプロセスを適用するのではなく、減法的なアプローチを使うこともできる。そのようなプロセスでは、金属ゲート電極が高誘電率ゲート誘電体層の上に形成されるが、それは、誘電体層の上に金属層を蒸着させ、金属層をマスキングし、次いで金属層の覆われていない部分およびその下にある誘電体層の部分を除去することによる。そのようなプロセスを使って形成されるトランジスタは信頼できるかもしれないが、最適なパフォーマンスを与えないこともある。
したがって、高誘電率ゲート誘電体および金属ゲート電極を含む半導体デバイスを作成する改良されたプロセスが必要とされている。高パフォーマンスであり、信頼できるものでもあるデバイスを生成しうるようなプロセスが必要とされている。本発明の方法はそのようなプロセスを提供する。
図に示される諸特徴は、正しい縮尺で描かれることは意図されていない。
半導体デバイスを作成する方法が記載される。該方法は、基板上に二酸化ケイ素層を形成し、次いで該層に窒素を加えて窒化二酸化ケイ素の層を形成することを含む。窒化二酸化ケイ素層の上に犠牲層を形成したのち、犠牲層が除去されて溝が生成される。窒化二酸化ケイ素層の上で溝の中に高誘電率ゲート誘電体層が形成され、該高誘電率ゲート誘電体層の上に金属ゲート電極が形成される。
以下の記載では、本発明の完全なる理解を与えるためにいくつかの詳細が述べられるが、当業者には、本発明が、ここに明示的に記載される以外の数多くの方法で実施されうることは明らかであろう。よって本発明は、下記に開示される特定の詳細によって限定されるものではない。
図1a〜1qは、本発明の方法のある実施例を実行するときに形成されうる構造を図示している。最初は、図1aに示すように、二酸化ケイ素層101が基板100の上に熱的に成長させられる。基板100は、半導体デバイスを構築しうる土台の役をしうるいかなる物質でもよい。基板100はたとえば、ケイ素および/またはゲルマニウムを含む。二酸化ケイ素層101は好ましくはほんの3原子層程度の厚さなので、この層の厚さは好ましくは10オングストローム程度未満である。
基板100上に二酸化ケイ素層101を形成したのち、二酸化ケイ素層101に窒素が加えられる。層101には、容認可能なほど信頼できる膜を生成するよう、十分な量の窒素が加えられるべきである。適切な量の窒素を二酸化ケイ素層101に加えて図1bのような窒化二酸化ケイ素層102を形成するには、急速熱窒化(rapid thermal nitridation)プロセスが使われうる。そのようなプロセスでは、二酸化ケイ素層101は、比較的短期間、少なくとも980°C程度の温度で窒素環境中に比較的低濃度で存在するアンモニアに曝露されうる。
ある実施例では、基板100は、窒素中に0.07%のアンモニアを含む混合気体のはいっている炉に入れられる。次いで炉の温度が、たとえば1秒あたり約100°Cの割合で、約980°Cから約1080°Cの間まで上昇させられる。炉の温度が所望のレベル――好ましくは約1000°Cと約1050°Cの間の温度(たとえば1040°C)――に達したのち、二酸化ケイ素層101は、約15秒にわたって、窒素/希薄アンモニア環境から窒素を吸収することが許容されうる。次いで基板100――今や窒化二酸化ケイ素層102で覆われている――が炉から取り出されうる。
二酸化ケイ素層101を窒化二酸化ケイ素層102に変換したのち、窒化二酸化ケイ素層102の上に犠牲層103が形成されうる。犠牲層103は、通常の蒸着(deposition)プロセスを使って窒化二酸化ケイ素層102上に蒸着された、実質的に非ドープのポリシリコン層を含みうる。犠牲層103がポリシリコンを含むとき、好ましくは約100ないし約2000オングストロームの厚さであり、より好ましくは約500ないし約1100オングストロームの厚さである。
ポリシリコン含有層103を形成したのち、犠牲層103の上に硬マスク層130が形成されて、図1cの構造ができる。硬マスク層130は好ましくは窒化ケイ素を含んでおり、好ましくは通常の蒸着プロセスを使って形成される。ある実施例では、硬マスク層130は、その後のいかなるイオン注入ステップの間にもポリシリコン含有層103のいかなるドープをも最小にする十分な厚さがある。その後のイオン注入ステップとは、たとえばデバイスのためのソース領域およびドレイン領域を生成するために実行されるものである。十分厚い硬マスク層を形成することは、ソース領域とドレイン領域がケイ化されるときに、ケイ化物に変換されるポリシリコン含有層103が取るに足りない量であることを保証するためにも望ましいことがある。硬マスク層130は約100ないし約1000オングストロームの間の厚さでありうるが、この層は――窒化ケイ素を含むときは――その後のイオン注入およびケイ化ステップの間にポリシリコン含有層103を保護するために、好ましくは約800ないし約1000オングストロームの厚さである。
硬マスク層130が形成されたのち、硬マスク層130を、その後形成されるソース領域およびドレイン領域が高温アニールにかけられるときに縮みにくくするために、アニール・ステップを実行することが望ましいことがある。その後の高温アニールの際の硬マスク層130の縮み耐性を上げることによって、この層は、そのような高温アニールに続くいかなるケイ化ステップの間にもポリシリコン含有層103を保護する能力を保持しうる。
硬マスク層130が窒化シリコンを含むとき、窒素環境において約600°Cでアニールされうる。ある実施例では、図1cの構造が炉に入れられたのち、温度は1秒あたり約75°Cの割合で約600°Cまで下げられうる。その温度に達したのち、デバイスは、炉から取り出す前に、約30秒から約5分の間の時間(たとえば約2分)にわたってアニールされうる。
好ましい実施形態では、硬マスク層130は、その後のイオン注入およびケイ化ステップの間にポリシリコン含有層103を保護するのに十分な厚さであるべきであるが、代替的な実施形態では、層103を保護するのを助けるために、硬マスク層130上にエッチング停止層(ここには示さず)が形成されうる。そのようなエッチング停止層はたとえば酸窒化ケイ素を含みうる。
硬マスク層130が窒化ケイ素を含むとき、この層は、ポリシリコン含有層103上で層130に対して選択的なドライエッチング・プロセスを使って硬マスク135および140を形成するようパターン化されうる。次いでポリシリコン含有層103は、窒化二酸化ケイ素層102上で層103に対して選択的なドライエッチング・プロセスを使ってパターン化されたポリシリコン含有層104および106を形成するようパターン化されうる。硬マスク135および140およびパターン化されたポリシリコン含有層104および106を形成したのち、窒化二酸化ケイ素層102の露出している部分が除去されて、パターン化された窒化二酸化ケイ素層105および107を生成しうる――それが図1dに示されている。好ましい実施形態では、パターン化された窒化二酸化ケイ素層105および107を形成するためには、通常のウェットエッチング・プロセス使用されうる――ただし、ドライエッチング・プロセスを使用してもよい。
図1dの構造を形成したのち、パターン化されたポリシリコン含有層104および106およびパターン化された窒化二酸化ケイ素層105および107の相反する両側にスペーサーが形成される。それらのスペーサーが窒化ケイ素を含むとき、それらは次のようにして形成されうる。まず、実質的に一様な厚さの窒化ケイ素層――好ましくは約1000オングストローム未満の厚さ――が構造全体にわたって蒸着され、図1eに示される構造が生成される。この構造の生成には、通常の蒸着プロセスが使われうる。
窒化ケイ素層108は、通常のプロセスを使って非等方的にエッチングされて、図1fの構造を生成しうる。そのエッチングステップの結果として、第一のパターン化されたポリシリコン含有層104と第一のパターン化された窒化二酸化ケイ素層層105との相反する両側に、第一および第二のスペーサー109および110が形成される。同時に、第二のパターン化されたポリシリコン含有層106と第二のパターン化された窒化二酸化ケイ素層層107との相反する両側に、第三および第四のスペーサー111および112が形成される。硬マスク135および140が窒化ケイ素を含むならば、これらの硬マスクのかなりの部分は除去されずにいることを保証するために、この非等方エッチングステップの継続時間を限定することが必要であることがある。代替的に、窒化ケイ素層108がエッチングされるときにこの非等方エッチングステップが硬マスクのかなりの部分を除去することを防ぐために、硬マスクの上に(上で示唆したように)エッチング停止層(図示せず)が形成されてもよい。
スペーサー109、110、111、112を形成したのち、誘電体層145がデバイス上に蒸着され、図1gの構造を生成しうる。次いで誘電体層145および硬マスク135および140は、パターン化されたポリシリコン含有層104および106から除去されて、図1hの構造を生成しうる。誘電体層145のその部分を除去し、硬マスク135および140を除去するためには、通常の化学機械研磨(「CMP」)ステップ(単数または複数)が適用されうる。この時点で、硬マスクは、プロセスのこの段階までに役割を果たし終えたので、除去されてもよい。図示していないが、図1hの構造は、通常のプロセスを使って形成されうる他の多くの特徴をも含みうる(たとえば、窒化ケイ素のエッチング停止層、ケイ化されたソース領域およびドレイン領域ならびに一つまたは複数のバッファ層)。
図1hの構造を形成したのち、パターン化されたポリシリコン含有層104および106が除去される。この実施例では、それらの層を同時に除去するために、ウェットエッチング・プロセスが適用される。そのようなウェットエッチング・プロセスは、層104および106の実質的に全部を除去するために、十分な時間にわたって十分な温度で、水酸化物源を含む水溶液に層104および106を曝露することを含みうる。水酸化物源は、体積比で(by volume)脱イオン水中に約0.1パーセントないし約10パーセントの水酸化アンモニウムまたはテトラエチルアンモニウムヒドロキシドを含みうる。
ある実施例では、パターン化されたポリシリコン含有層104および106の除去は、それらを約20°Cないし約30°Cの温度に維持された、体積比で脱イオン水中に約0.1パーセントないし約5パーセントの水酸化アンモニウムを含む溶液に曝露することによって行われうる。好ましくは少なくとも1分持続するこの曝露ステップの間、約700kHzないし約1000kHzの周波数の音響エネルギーを、約3ないし約8W/cm2のエネルギー散逸で加えることが望ましいことがある。たとえば、層104および層106がそれぞれ約800オングストロームの厚さだとすると、それらの除去は、約24°Cで約5分にわたって体積比で脱イオン水中に約1パーセントの水酸化アンモニウムを含む溶液に曝露し、その間、音響エネルギーを約750kHzで――約5W/cm2の散逸で――加えることによって行われうる。
このウェットエッチング・プロセスは、硬マスク135および140(またはそれらの硬マスクと上に載っているエッチング停止層の組み合わせ)が層104または層106のいずれにおけるホウ素濃度も約1.0×e17原子/cm3を超えないことを保証する限り、パターン化されたポリシリコン含有層104および106の実質的に全部を除去するはずである。
別の実施例では、パターン化されたポリシリコン含有層104および106の除去は、それらを体積比で脱イオン水中に約2パーセントないし約10パーセントのテトラエチルアンモニウムヒドロキシドを含む溶液に、少なくとも約10分間、曝露することによって行われうる。好ましくは少なくとも1分持続するこの曝露ステップの間、約700kHzないし約1000kHzの周波数の音響エネルギーを、約3ないし約8W/cm2のエネルギー散逸で加えることが望ましいことがある。たとえば、層104および層106がそれぞれ約800オングストロームの厚さだとすると、それらの除去は、約24°Cで約5分にわたって体積比で脱イオン水中に約1パーセントの水酸化アンモニウムを含む溶液に曝露し、その間、音響エネルギーを約750kHzで――約5W/cm2の散逸で――加えることによって行われうる。たとえば、層104および層106がそれぞれ約800オングストロームの厚さだとすると、それらの除去は、約24°Cで約10分にわたって体積比で脱イオン水中に約5パーセントのテトラエチルアンモニウムヒドロキシドを含む溶液に曝露することによって行われうる。この実施例では、層104および106を除去するのを助けるために音響エネルギーを加えることは必要でないことがありうる。このウェットエッチング・プロセスは、硬マスク135および140(またはそれらの上に載っているエッチング停止層との組み合わせ)が層104または層106のいずれにおけるn型またはp型ドーパントのいずれの濃度も約1.0×e17原子/cm3を超えないことを保証する限り、パターン化されたポリシリコン含有層104および106の実質的に全部を除去するはずである。
図1iに示すように、パターン化されたポリシリコン含有層104および106の除去は、誘電体層145内に溝113および114を形成する――それぞれ、第一および第二のスペーサー109と110の間、第三および第四のスペーサー111と112の間に位置する。層104および106を除去したのち、図1jに示すように、高誘電率ゲート誘電体層115が溝113および114内ならびにパターン化された窒化二酸化ケイ素層105および107上に形成される。高誘電率ゲート誘電体層115はたとえば、酸化ハフニウム、酸化ハフニウムケイ素、酸化ランタン、酸化ランタンアルミニウム、酸化ジルコニウム、酸化ジルコニウムケイ素、酸化チタン、酸化タンタル、酸化バリウムストロンチウムチタン、酸化バリウムチタン、酸化ストロンチウムチタン、酸化イットリウム、酸化アルミニウム、酸化鉛スカンジウムタンタルおよびニオブ酸鉛亜鉛を含みうる。特に好ましいのは酸化ハフニウム、酸化ランタン、酸化ジルコニウムおよび酸化アルミニウムである。高誘電率ゲート誘電体層115を形成するために使われうる物質の若干の例をここに記載しているが、前記層はゲート漏洩(gate leakage)を低減させるはたらきをする他の物質から作られてもよい。
高誘電率ゲート誘電体層115は、パターン化された窒化二酸化ケイ素層105および107上に、通常の原子層化学蒸着(ALCVD: atomic layer chemical vapor deposition)プロセスを使って形成されうる。そのようなプロセスでは、金属酸化物の先駆体(たとえば金属塩化物)および蒸気が、選択された流速で交互にCVD反応炉に供給される。CVD反応炉は選択された圧力で運用されている一方、基板100は選択された温度に維持されている。CVD反応炉は、所望の厚さをもった層を形成するのに十分長い間運用されるべきである。たいていの用途では、高誘電率ゲート誘電体層115は厚さ約40オングストローム未満であるべきであり、より好ましくは約5オングストロームないし約20オングストロームの間の厚さであるべきである。図1jに示されるように、高誘電率ゲート誘電体層115を形成するためにALCVDプロセスが使用されるときは、この層は、溝113および114の底に形成されるのに加えて、溝113および114の側面に形成され、誘電体層145にも形成される。
高誘電率ゲート誘電体層115を形成したのち、図1kに示すように、誘電体層115の上に第一の金属層116が形成されうる。この実施例では、第一の金属層116はp型金属層を含む。p型金属層とは、金属PMOSゲート電極を導出するもとになるいかなる伝導性物質を含んでいてもよい。p型金属層116を形成するために使用されうるp型物質としては、ルテニウム、パラジウム、白金、コバルト、ニッケルおよび伝導性の金属酸化物、たとえば酸化ルテニウムが含まれる。p型金属層116は好ましくは、約4.9eVないし約5.2eVの仕事関数をもち、よく知られた物理蒸着(「PVD」)プロセスまたはCVDプロセスを使って高誘電率ゲート誘電体層115の上に形成されうる。
p型金属層116は、その上に形成されるいかなる物質もその仕事関数に著しく影響しないことを保証するのに十分な厚さであるべきである。その理由で、p型金属層116は好ましくは約25オングストロームないし約300オングストロームの厚さであるべきであり、より好ましくは約50オングストロームないし約100オングストロームの厚さであるべきである。p型金属層116を形成するために使われうる物質の若干の例がここでは記載されているが、その層は他の多くの物質から作ることもできる。たとえば、p型金属層116は、比較的高濃度の窒素を含む金属炭化物層、たとえばチタンカーバイド層を含んでいてもよい。高誘電率ゲート誘電体層115と同様、p型金属層116の一部は溝113および114の内側を覆い、一方、その層の一部は誘電体層145上にはみ出る。
高誘電率ゲート誘電体層115上にp型金属層116を形成したのち、p型金属層116の上に、溝113および114を埋めるマスキング層125が蒸着されうる。マスキング層125は、スピンオングラス(「SOG」)物質を含んでいてもよい。これは、p型金属層116の第二の部分をエッチングするのに先立ってp型金属層116の第一の部分をマスキングするのに使われるものである。図1lに示されるように、SOG層125の第一の部分126は高誘電率ゲート誘電体層115の第一の部分118を覆い、一方、SOG層125の第二の部分127は高誘電率ゲート誘電体層115の第二の部分119を覆う。マスク128(たとえば、パターン化されたフォトレジスト層)はSOG層125の第一の部分126を覆う。SOG層125は、p型金属層116上に蒸着されることができ、マスク128は、通常のプロセスを使って生成されうる。これは当業者には明らかであろう。
次いで、SOG層125の第一の部分126が保持される一方、SOG層125の第二の部分127が除去される。第二の部分127を除去するには通常のSOGエッチング・プロセスが使用されうる。その除去ステップの結果、p型金属層116の部分129が露出する。次いで、p型金属層116の露出した部分129が除去されて、図1mに示すようになる。露出した部分129、マスク128およびSOG層125の第一の部分126を除去したのち、図1nの構造が得られる。露出した部分129、マスク128および第一の部分126を除去するには通常のプロセス・ステップを使用しうる――これは当業者には明らかであろう。
SOG物質を本発明の方法におけるマスキング物質として適用することは、少なくとも次の理由から有益でありうる。そのようなSOG物質は、他の物質、たとえばフォトレジストが十分に埋められないことがありうる狭い溝を埋めうる。さらに、SOG物質を除去するための通常のエッチング・プロセスは、そのような物質を、基底にあるp型金属層のかなりの部分を除去することなく、効率的に除去しうる。
p型金属層116をエッチングしたのち、図1oに示すように、第二の金属層120がp型金属層116の上に蒸着されうる。この実施例では、第二の金属層120はn型金属層を含む。n型金属層とは、金属NMOSゲート電極を導出するもとになるいかなる伝導性物質を含んでいてもよい。n型金属層120を形成するために使用されうるn型物質としては、ハフニウム、ジルコニウム、チタン、タンタル、アルミニウム、ならびに、これらの元素を含む金属炭化物、すなわちチタンカーバイド、ジルコニウムカーバイド、タンタルカーバイド、ハフニウムカーバイドおよびアルミニウムカーバイドが含まれる。n型金属層120は代替的に、アルミニウム化物、たとえばハフニウム、ジルコニウム、チタン、タンタルまたはタングステンを含むアルミニウム化物を含みうる。
n型金属層120は好ましくは、約3.9eVないし約4.2eVの仕事関数をもち、よく知られたPVDプロセスまたはCVDプロセスを使って、高誘電率ゲート誘電体層115の第二の部分119の上に、およびp型金属層116の残っている部分の上に形成されうる。p型金属層116と同様、n型金属層120はその上に形成されるいかなる物質もその仕事関数に著しく影響しないことを保証するのに十分な厚さであるべきである。やはりp型金属層116と同様、n型金属層120は好ましくは約25オングストロームないし約300オングストロームの厚さであるべきであり、より好ましくは約50オングストロームないし約100オングストロームの厚さであるべきである。
この実施例では、高誘電率ゲート誘電体層115の第二の部分119の上、およびp型金属層116の残っている部分の上にn型金属層120を形成したのち、n型金属層120の上に充填金属121が形成される。図1pに示すように、充填金属121は、溝113および114の残りを充填し、誘電体層145を覆う。充填金属121は好ましくは、容易に研磨されうる物質を含み、好ましくは通常の金属蒸着プロセスを使ってデバイス全体にわたって蒸着される。そのような充填金属はたとえば、窒化チタン、タングステン、チタン、アルミニウム、タンタル、窒化タンタル、コバルト、銅またはニッケルを含みうる。特に好ましい実施形態では、充填金属121は窒化チタンを含む。窒化チタンの蒸着は、基底にあるn型金属層120または基底にあるp型金属層および誘電体層に著しく影響しない適切なCVDプロセスまたはPVDプロセスを使って行われうる。
図1pの構造を形成したのち、充填金属121、n型金属層120、p型金属層116および高誘電率ゲート誘電体層115が、誘電体層145より上の部分から除去されて、図1qの構造を生成する。これらの層を誘電体層145から除去するには、適切なCMPまたはエッチング・プロセスが使用されうる。ある好ましい実施例では、CMPおよびエッチング・プロセスの組み合わせが使われる。たとえば、充填金属121を除去するためのCMPステップに続いてn型金属層120、p型金属層116および高誘電率ゲート誘電体層115を除去するためのエッチング・ステップ(単数または複数)が行われるなどである。この実施例では、結果として得られるデバイスは、金属PMOSゲート電極および金属NMOSゲート電極を含む。
充填金属121、n型金属層120、p型金属層116および高誘電率ゲート誘電体層115を誘電体層145より上の部分から除去したのち、結果として得られる構造の上に、通常の蒸着プロセスを使ってキャッピング誘電体層(図示せず)が蒸着されうる。そのようなキャッピング誘電体層の蒸着に続く、デバイスを完成させる諸プロセス・ステップ、たとえばデバイスの接点、金属相互接続およびパシベーション層の形成は、当業者には周知であり、ここでは述べない。
本発明の方法は、置換ゲートプロセスを使って、高誘電率ゲート誘電体層および金属ゲート電極を含む、信頼でき、高いパフォーマンスを提供する半導体デバイスを作成できるようにしうる。以上の記載は本発明の方法において使用されうるある種のステップおよび物質を特定しているが、当業者は多くの修正および代替をなしうることを理解するであろう。したがって、すべてのそのような修正、代替および追加は付属の請求項によって定義される本発明の精神および範囲にはいる。
本発明の方法のある実施形態を実行するときに形成されうる構造の断面を表す。 本発明の方法のある実施形態を実行するときに形成されうる構造の断面を表す。 本発明の方法のある実施形態を実行するときに形成されうる構造の断面を表す。 本発明の方法のある実施形態を実行するときに形成されうる構造の断面を表す。 本発明の方法のある実施形態を実行するときに形成されうる構造の断面を表す。 本発明の方法のある実施形態を実行するときに形成されうる構造の断面を表す。 本発明の方法のある実施形態を実行するときに形成されうる構造の断面を表す。 本発明の方法のある実施形態を実行するときに形成されうる構造の断面を表す。 本発明の方法のある実施形態を実行するときに形成されうる構造の断面を表す。 本発明の方法のある実施形態を実行するときに形成されうる構造の断面を表す。 本発明の方法のある実施形態を実行するときに形成されうる構造の断面を表す。 本発明の方法のある実施形態を実行するときに形成されうる構造の断面を表す。 本発明の方法のある実施形態を実行するときに形成されうる構造の断面を表す。 本発明の方法のある実施形態を実行するときに形成されうる構造の断面を表す。 本発明の方法のある実施形態を実行するときに形成されうる構造の断面を表す。 本発明の方法のある実施形態を実行するときに形成されうる構造の断面を表す。 本発明の方法のある実施形態を実行するときに形成されうる構造の断面を表す。

Claims (20)

  1. 半導体デバイスを作成する方法であって:
    基板上に二酸化ケイ素層を形成する段階と;
    前記二酸化ケイ素層に窒素を加えて窒化二酸化ケイ素層を形成する段階と;
    前記窒化二酸化ケイ素層の上に犠牲層を形成する段階と;
    犠牲層を除去して溝を生成する段階と;
    前記窒化二酸化ケイ素層の上で前記溝の中に高誘電率ゲート誘電体層を形成する段階と;
    前記高誘電率ゲート誘電体層の上に金属ゲート電極を形成する段階、
    とを有する方法。
  2. 前記高誘電率ゲート誘電体層が、酸化ハフニウム、酸化ハフニウムケイ素、酸化ランタン、酸化ランタンアルミニウム、酸化ジルコニウム、酸化ジルコニウムケイ素、酸化タンタル、酸化チタン、酸化バリウムストロンチウムチタン、酸化バリウムチタン、酸化ストロンチウムチタン、酸化イットリウム、酸化アルミニウム、酸化鉛スカンジウムタンタルおよびニオブ酸鉛亜鉛よりなる群から選択される物質を含む、請求項1記載の方法。
  3. 前記窒化二酸化ケイ素層を形成するために急速熱窒化プロセスが適用され、該急速熱窒化プロセスは前記二酸化ケイ素層を少なくとも約980°Cの温度でアンモニアに曝露することを含む、請求項1記載の方法。
  4. 前記窒化二酸化ケイ素層が約10オングストローム未満の厚さであり;
    前記犠牲層が約500オングストロームないし約1100オングストロームの厚さであり、ポリシリコンを含んでおり;
    前記犠牲層の除去が、該犠牲層を水酸化物源を含む水溶液に曝露することによって行われ;
    前記高誘電率ゲート誘電体層が約5オングストロームないし約20オングストロームの厚さである、
    請求項1記載の方法。
  5. 前記金属ゲート電極が、ハフニウム、ジルコニウム、チタン、タンタル、アルミニウム、金属炭化物、アルミニウム化物、ルテニウム、パラジウム、白金、コバルト、ニッケルおよび伝導性金属酸化物よりなる群から選択される物質を含む、請求項1記載の方法。
  6. 半導体デバイスを作成する方法であって:
    基板上に二酸化ケイ素層を形成する段階と;
    前記二酸化ケイ素層に窒素を加えて窒化二酸化ケイ素層を形成する段階と;
    前記窒化二酸化ケイ素層の上にポリシリコン含有層を形成する段階と;
    前記ポリシリコン含有層の上に硬マスク層を形成する段階と;
    前記硬マスク層、前記ポリシリコン含有層および前記窒化二酸化ケイ素層をエッチングして、パターン化されたポリシリコン含有層およびパターン化された窒化二酸化ケイ素層を覆う硬マスクを形成する段階と;
    前記パターン化されたポリシリコン含有層および前記パターン化された窒化二酸化ケイ素層の相反する側に第一のスペーサーおよび第二のスペーサーを形成する段階と;
    前記パターン化されたポリシリコン含有層を、水酸化物源を含む水溶液に曝露して前記パターン化されたポリシリコン含有層を除去し、その際前記窒化二酸化ケイ素層を保持し、前記第一のスペーサーと前記第二のスペーサーとの間に位置する溝を生成する段階と;
    前記パターン化された窒化二酸化ケイ素層の上で前記溝の中に高誘電率ゲート誘電体層を形成する段階と;
    前記高誘電率ゲート誘電体層の上に金属ゲート電極を形成する段階、
    とを有する方法。
  7. 前記窒化二酸化ケイ素層が約10オングストローム未満の厚さであり;
    前記ポリシリコン含有層が約500オングストロームないし約1100オングストロームの厚さであり;
    前記高誘電率ゲート誘電体層が約5オングストロームないし約20オングストロームの厚さである、
    請求項6記載の方法。
  8. 前記高誘電率ゲート誘電体層が、酸化ハフニウム、酸化ハフニウムケイ素、酸化ランタン、酸化ランタンアルミニウム、酸化ジルコニウム、酸化ジルコニウムケイ素、酸化タンタル、酸化チタン、酸化バリウムストロンチウムチタン、酸化バリウムチタン、酸化ストロンチウムチタン、酸化イットリウム、酸化アルミニウム、酸化鉛スカンジウムタンタルおよびニオブ酸鉛亜鉛よりなる群から選択される物質を含み、
    前記金属ゲート電極が、ハフニウム、ジルコニウム、チタン、タンタル、アルミニウム、金属炭化物、アルミニウム化物、ルテニウム、パラジウム、白金、コバルト、ニッケルおよび伝導性金属酸化物よりなる群から選択される物質を含む金属層を含む、
    請求項6記載の方法。
  9. 前記金属層が、ハフニウム、ジルコニウム、チタン、タンタル、アルミニウム、金属炭化物およびアルミニウム化物よりなる群から選択される物質を含み、約3.9eVないし約4.2eVの仕事関数をもつ、
    請求項8記載の方法。
  10. 前記金属層が、ルテニウム、パラジウム、白金、コバルト、ニッケルおよび伝導性金属酸化物よりなる群から選択される物質を含む金属層を含み、約4.9eVないし約5.2eVの仕事関数をもつ、
    請求項8記載の方法。
  11. 半導体デバイスを作成する方法であって:
    基板上に二酸化ケイ素層を形成する段階と;
    前記二酸化ケイ素層に窒素を加えて窒化二酸化ケイ素層を形成する段階と;
    前記窒化二酸化ケイ素層の上にポリシリコン含有層を形成する段階と;
    前記ポリシリコン含有層の上に窒化ケイ素含有層を形成する段階と;
    前記窒化ケイ素含有層、前記ポリシリコン含有層および前記窒化二酸化ケイ素層をエッチングして、第一および第二のパターン化されたポリシリコン含有層ならびに第一および第二のパターン化された窒化二酸化ケイ素層を覆う第一および第二の窒化ケイ素含有硬マスクを形成する段階と;
    前記第一のパターン化されたポリシリコン含有層および前記第一のパターン化された窒化二酸化ケイ素層の相反する側に第一のスペーサーおよび第二のスペーサーを形成し、前記第二のパターン化されたポリシリコン含有層および前記第二のパターン化された窒化二酸化ケイ素層の相反する側に第三のスペーサーおよび第四のスペーサーを形成する段階と;
    前記第一および第二のパターン化されたポリシリコン含有層から前記第一および第二の窒化ケイ素含有硬マスクを除去する段階と;
    前記第一および第二のパターン化されたポリシリコン含有層を、水酸化物源を含む水溶液に曝露して前記第一および第二のパターン化されたポリシリコン含有層を除去し、その際前記第一および第二の窒化二酸化ケイ素層を保持し、前記第一のスペーサーと前記第二のスペーサーとの間に位置する第一の溝および前記第三のスペーサーと前記第四のスペーサーとの間に位置する第二の溝を生成する段階と;
    前記第一および第二の窒化二酸化ケイ素層の上で前記第一および第二の溝の中に高誘電率ゲート誘電体層を形成する段階と;
    前記高誘電率ゲート誘電体層の上に金属層を形成する段階と;
    前記金属層の上に、マスキング層であって、該マスキング層の第一の部分は前記高誘電率ゲート誘電体層の第一の部分を覆い、該マスキング層の第二の部分は前記高誘電率ゲート誘電体層の第二の部分を覆うようなマスキング層を形成する段階と;
    前記マスキング層の前記第一の部分を保持しつつ前記マスキング層の前記第二の部分を除去して前記金属層の部分を露出させる段階と;
    前記金属層の露出した部分を除去して、前記高誘電率ゲート誘電体層の前記第一の部分を覆うが、前記高誘電率ゲート誘電体層の前記第二の部分は覆わない第一の金属層を生成する段階と;
    前記マスキング層の前記第一の部分を除去する段階と;
    前記第一の金属層の上および前記高誘電率ゲート誘電体層の前記第二の部分の上に、前記第一の金属層を覆い、前記高誘電率ゲート誘電体層の前記第二の部分を覆う第二の金属層を形成する段階、
    とを有する方法。
  12. 前記高誘電率ゲート誘電体層が約5オングストロームないし約20オングストロームの厚さであり、酸化ハフニウム、酸化ハフニウムケイ素、酸化ランタン、酸化ランタンアルミニウム、酸化ジルコニウム、酸化ジルコニウムケイ素、酸化タンタル、酸化チタン、酸化バリウムストロンチウムチタン、酸化バリウムチタン、酸化ストロンチウムチタン、酸化イットリウム、酸化アルミニウム、酸化鉛スカンジウムタンタルおよびニオブ酸鉛亜鉛よりなる群から選択される物質を含む、請求項11記載の方法。
  13. 前記第一の金属層が、ルテニウム、パラジウム、白金、コバルト、ニッケルおよび伝導性金属酸化物よりなる群から選択される金属を含み、約4.9eVないし約5.2eVの仕事関数をもち、
    前記第二の金属ゲート電極が、ハフニウム、ジルコニウム、チタン、タンタル、アルミニウム、金属炭化物およびアルミニウム化物よりなる群から選択される金属を含み、約3.9eVないし約4.2eVの仕事関数をもつ、
    請求項11記載の方法。
  14. 前記第一の金属層が約50ないし約100オングストロームの厚さのp型金属層を含み、前記第二の金属層が約50ないし約100オングストロームの厚さのn型金属層を含む請求項11記載の方法であって、さらに前記n型金属層の上に充填金属を形成する段階を有する、請求項11記載の方法。
  15. 前記充填金属が、窒化チタン、タングステン、チタン、アルミニウム、タンタル、窒化タンタル、コバルト、銅およびニッケルよりなる群から選択される、請求項14記載の方法。
  16. 前記窒化二酸化ケイ素層を形成するために急速熱窒化プロセスが適用され、該急速熱窒化プロセスは前記二酸化ケイ素層を少なくとも約980°Cの温度でアンモニアに曝露することを含む、請求項11記載の方法。
  17. 前記窒化シリコン含有層が約800ないし約1000オングストロームの厚さであり、前記マスキング層がスピンオングラスを含む、請求項11記載の方法。
  18. 前記第一および第二のパターン化されたポリシリコン含有層の除去が、前記第一および第二のパターン化されたポリシリコン含有層を、体積比で約0.1パーセントないし約10パーセントの、水酸化アンモニウムおよびテトラエチルアンモニウムヒドロキシドよりなる群から選択される水酸化物源を含む水溶液に曝露することにより行われる、請求項11記載の方法。
  19. 前記第一および第二のパターン化されたポリシリコン含有層が、約20°Cないし約30°Cの温度の前記水溶液に曝露され、前記水溶液は脱イオン水中に体積比で約0.1パーセントないし約5パーセントの水酸化アンモニウムを含むものであり、前記第一および第二のパターン化されたポリシリコン含有層が前記水溶液に曝露される間、約700kHzないし約1000kHzの周波数の音響エネルギーが、約3ないし約8ワット/cm2の散逸で加えられる、請求項18記載の方法。
  20. 前記第一および第二のパターン化されたポリシリコン含有層が、約20°Cないし約30°Cの温度で少なくとも約10分間、前記水溶液に曝露され、前記水溶液は脱イオン水中に体積比で約2パーセントないし約10パーセントのテトラエチルアンモニウムヒドロキシドを含むものである、請求項18記載の方法。
JP2007544642A 2004-12-07 2005-12-07 高誘電率ゲート誘電体および金属ゲート電極をもつ半導体デバイスの作成方法 Pending JP2008523591A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/006,218 US7381608B2 (en) 2004-12-07 2004-12-07 Method for making a semiconductor device with a high-k gate dielectric and a metal gate electrode
PCT/US2005/044696 WO2006063269A2 (en) 2004-12-07 2005-12-07 A method for making a semiconductor device with a high-k gate dielectric and a metal gate electrode

Publications (1)

Publication Number Publication Date
JP2008523591A true JP2008523591A (ja) 2008-07-03

Family

ID=36574857

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007544642A Pending JP2008523591A (ja) 2004-12-07 2005-12-07 高誘電率ゲート誘電体および金属ゲート電極をもつ半導体デバイスの作成方法

Country Status (8)

Country Link
US (1) US7381608B2 (ja)
JP (1) JP2008523591A (ja)
KR (1) KR20070086471A (ja)
CN (1) CN101099241B (ja)
DE (1) DE112005003119T5 (ja)
GB (1) GB2436047B (ja)
TW (1) TWI310588B (ja)
WO (1) WO2006063269A2 (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006351580A (ja) * 2005-06-13 2006-12-28 Sony Corp 半導体装置および半導体装置の製造方法
JP2008530769A (ja) * 2005-01-13 2008-08-07 インターナショナル・ビジネス・マシーンズ・コーポレーション 高い誘電率のSiO2ゲート積層体上に熱的に安定したp型金属炭化物としてTiCを製造する方法
JP2010520651A (ja) * 2007-03-28 2010-06-10 インテル コーポレイション 自己整合エピタキシャルソース及びドレイン張り出し部を有する半導体デバイス
JP2012114445A (ja) * 2010-11-24 2012-06-14 Samsung Electronics Co Ltd 金属ゲート電極を有する半導体素子の製造方法
WO2015099761A1 (en) * 2013-12-27 2015-07-02 Intel Corporation Selective etching for gate all around architectures
US9202761B2 (en) 2011-10-11 2015-12-01 Renesas Electronics Corporation Semiconductor integrated circuit device and manufacturing method for semiconductor integrated circuit device
KR101909091B1 (ko) * 2012-05-11 2018-10-17 삼성전자 주식회사 반도체 장치 및 그 제조 방법
WO2021075708A1 (ko) * 2019-10-15 2021-04-22 충남대학교산학협력단 선택적 액적 흐름 표면 및 이의 제작방법

Families Citing this family (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060267113A1 (en) * 2005-05-27 2006-11-30 Tobin Philip J Semiconductor device structure and method therefor
US7737770B2 (en) * 2006-03-31 2010-06-15 Intel Corporation Power switches having positive-channel high dielectric constant insulated gate field effect transistors
US8193641B2 (en) * 2006-05-09 2012-06-05 Intel Corporation Recessed workfunction metal in CMOS transistor gates
US20080076216A1 (en) * 2006-09-25 2008-03-27 Sangwoo Pae Method to fabricate high-k/metal gate transistors using a double capping layer process
US7812414B2 (en) * 2007-01-23 2010-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid process for forming metal gates
US7820552B2 (en) * 2007-03-13 2010-10-26 International Business Machines Corporation Advanced high-k gate stack patterning and structure containing a patterned high-k gate stack
US20080242012A1 (en) * 2007-03-28 2008-10-02 Sangwoo Pae High quality silicon oxynitride transition layer for high-k/metal gate transistors
US20090008725A1 (en) * 2007-07-03 2009-01-08 International Business Machines Corporation Method for deposition of an ultra-thin electropositive metal-containing cap layer
DE102007046849B4 (de) * 2007-09-29 2014-11-06 Advanced Micro Devices, Inc. Verfahren zur Herstellung von Gateelektrodenstrukturen mit großem ε nach der Transistorherstellung
US7767560B2 (en) * 2007-09-29 2010-08-03 Intel Corporation Three dimensional strained quantum wells and three dimensional strained surface channels by Ge confinement method
US7892911B2 (en) * 2008-01-10 2011-02-22 Applied Materials, Inc. Metal gate electrodes for replacement gate integration scheme
US20090194554A1 (en) * 2008-02-06 2009-08-06 Gary Scott Bliss Wipes Canister
US8536660B2 (en) * 2008-03-12 2013-09-17 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid process for forming metal gates of MOS devices
US7670894B2 (en) * 2008-04-30 2010-03-02 Intel Corporation Selective high-k dielectric film deposition for semiconductor device
US8035165B2 (en) * 2008-08-26 2011-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Integrating a first contact structure in a gate last process
US7804130B1 (en) * 2008-08-26 2010-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned V-channel MOSFET
US20100052077A1 (en) * 2008-08-27 2010-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. High-k metal gate structure including buffer layer
US8084824B2 (en) * 2008-09-11 2011-12-27 United Microelectronics Corp. Metal gate transistor and method for fabricating the same
US7871915B2 (en) * 2008-09-26 2011-01-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming metal gates in a gate last process
US8198685B2 (en) * 2008-12-23 2012-06-12 Taiwan Semiconductor Manufacturing Co., Ltd. Transistors with metal gate and methods for forming the same
KR101656443B1 (ko) * 2009-11-20 2016-09-22 삼성전자주식회사 금속 게이트 스택 구조물을 갖는 씨모스 소자
KR101634748B1 (ko) 2009-12-08 2016-07-11 삼성전자주식회사 트랜지스터의 제조방법 및 그를 이용한 집적 회로의 형성방법
CN102110650A (zh) 2009-12-29 2011-06-29 中国科学院微电子研究所 一种半导体器件及其制造方法
KR101656444B1 (ko) * 2010-01-25 2016-09-09 삼성전자주식회사 상보형 mos 트랜지스터, 상기 상보형 mos 트랜지스터를 포함하는 반도체 장치, 및 상기 반도체 장치를 포함하는 반도체 모듈
US8048810B2 (en) * 2010-01-29 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for metal gate N/P patterning
US8330227B2 (en) * 2010-02-17 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated semiconductor structure for SRAM and fabrication methods thereof
US8637390B2 (en) * 2010-06-04 2014-01-28 Applied Materials, Inc. Metal gate structures and methods for forming thereof
EP2395333B1 (en) 2010-06-09 2017-09-13 Nxp B.V. Light sensor
US8404533B2 (en) 2010-08-23 2013-03-26 United Microelectronics Corp. Metal gate transistor and method for fabricating the same
US8629014B2 (en) * 2010-09-20 2014-01-14 International Business Machines Corporation Replacement metal gate structures for effective work function control
US8546211B2 (en) * 2010-11-17 2013-10-01 International Business Machines Corporation Replacement gate having work function at valence band edge
CN102479708B (zh) * 2010-11-25 2015-06-03 中芯国际集成电路制造(北京)有限公司 Mos晶体管的形成方法
US8450169B2 (en) * 2010-11-29 2013-05-28 International Business Machines Corporation Replacement metal gate structures providing independent control on work function and gate leakage current
US8574990B2 (en) 2011-02-24 2013-11-05 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gate
US8642457B2 (en) 2011-03-03 2014-02-04 United Microelectronics Corp. Method of fabricating semiconductor device
US8501634B2 (en) 2011-03-10 2013-08-06 United Microelectronics Corp. Method for fabricating gate structure
US8519487B2 (en) 2011-03-21 2013-08-27 United Microelectronics Corp. Semiconductor device
US8802524B2 (en) 2011-03-22 2014-08-12 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gates
US8324118B2 (en) 2011-03-28 2012-12-04 United Microelectronics Corp. Manufacturing method of metal gate structure
US8518811B2 (en) * 2011-04-08 2013-08-27 Infineon Technologies Ag Schottky diodes having metal gate electrodes and methods of formation thereof
US8704294B2 (en) * 2011-06-13 2014-04-22 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US8969154B2 (en) * 2011-08-23 2015-03-03 Micron Technology, Inc. Methods for fabricating semiconductor device structures and arrays of vertical transistor devices
US20130059434A1 (en) * 2011-09-07 2013-03-07 Tao Yang Method for manufacturing electrodes and wires in gate last process
US8921238B2 (en) 2011-09-19 2014-12-30 United Microelectronics Corp. Method for processing high-k dielectric layer
US8846513B2 (en) * 2011-09-23 2014-09-30 Globalfoundries Inc. Semiconductor device comprising replacement gate electrode structures and self-aligned contact elements formed by a late contact fill
US8426277B2 (en) 2011-09-23 2013-04-23 United Microelectronics Corp. Semiconductor process
US9000568B2 (en) 2011-09-26 2015-04-07 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US9076889B2 (en) 2011-09-26 2015-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. Replacement gate semiconductor device
US8802579B2 (en) 2011-10-12 2014-08-12 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US8440511B1 (en) 2011-11-16 2013-05-14 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US8669618B2 (en) * 2011-12-15 2014-03-11 United Microelectronics Corp. Manufacturing method for semiconductor device having metal gate
US8941184B2 (en) * 2011-12-16 2015-01-27 International Business Machines Corporation Low threshold voltage CMOS device
US8637940B2 (en) * 2011-12-20 2014-01-28 Infineon Technologies Austria Ag Semiconductor device with self-charging field electrodes and compensation regions
CN103177945B (zh) * 2011-12-20 2016-03-30 中芯国际集成电路制造(上海)有限公司 高介电常数金属栅极制造方法
US8716118B2 (en) * 2012-01-06 2014-05-06 International Business Machines Corporation Replacement gate structure for transistor with a high-K gate stack
US8987096B2 (en) 2012-02-07 2015-03-24 United Microelectronics Corp. Semiconductor process
KR101887414B1 (ko) * 2012-03-20 2018-08-10 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9478627B2 (en) 2012-05-18 2016-10-25 United Microelectronics Corp. Semiconductor structure and process thereof
US8501636B1 (en) 2012-07-24 2013-08-06 United Microelectronics Corp. Method for fabricating silicon dioxide layer
US9136206B2 (en) * 2012-07-25 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Copper contact plugs with barrier layers
KR101912579B1 (ko) 2012-09-07 2018-10-30 삼성전자 주식회사 반도체 장치의 제조 방법
US8896030B2 (en) * 2012-09-07 2014-11-25 Intel Corporation Integrated circuits with selective gate electrode recess
US9117878B2 (en) 2012-12-11 2015-08-25 United Microelectronics Corp. Method for manufacturing shallow trench isolation
US9064857B2 (en) * 2012-12-19 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. N metal for FinFET
US9391149B2 (en) 2013-06-19 2016-07-12 Infineon Technologies Austria Ag Semiconductor device with self-charging field electrodes
US9153444B2 (en) * 2013-06-19 2015-10-06 Varian Semiconductor Equipment Associates, Inc. Process flow for replacement metal gate transistors
US9728623B2 (en) 2013-06-19 2017-08-08 Varian Semiconductor Equipment Associates, Inc. Replacement metal gate transistor
US9892922B1 (en) * 2013-07-05 2018-02-13 Altera Corporation Methods for fabricating integrated circuits with triple gate oxide devices
US8951884B1 (en) 2013-11-14 2015-02-10 United Microelectronics Corp. Method for forming a FinFET structure
KR102125749B1 (ko) * 2013-12-27 2020-07-09 삼성전자 주식회사 반도체 장치 및 이의 제조 방법
US9385120B2 (en) 2014-06-05 2016-07-05 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
KR102394887B1 (ko) 2014-09-01 2022-05-04 삼성전자주식회사 반도체 장치의 제조 방법
KR102240022B1 (ko) 2014-11-26 2021-04-15 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
CN106298656A (zh) * 2015-05-20 2017-01-04 联华电子股份有限公司 半导体元件制造方法
US10050147B2 (en) * 2015-07-24 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
CN107492499A (zh) * 2016-06-13 2017-12-19 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
US10643904B2 (en) * 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
CN108695321B (zh) * 2017-04-07 2021-09-03 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US10607895B2 (en) * 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102495258B1 (ko) * 2018-04-24 2023-02-03 삼성전자주식회사 반도체 장치
US11004687B2 (en) * 2019-02-11 2021-05-11 Applied Materials, Inc. Gate contact over active processes
US11527621B2 (en) * 2020-08-05 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Gate electrode deposition and structure formed thereby
CN115881549B (zh) * 2023-01-19 2023-05-09 合肥晶合集成电路股份有限公司 半导体结构的制作方法以及半导体结构

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6210999B1 (en) * 1998-12-04 2001-04-03 Advanced Micro Devices, Inc. Method and test structure for low-temperature integration of high dielectric constant gate dielectrics into self-aligned semiconductor devices
JP2001351917A (ja) * 2000-06-05 2001-12-21 Toshiba Corp 半導体装置の製造方法及び半導体装置
JP2002110815A (ja) * 2000-09-27 2002-04-12 Toshiba Corp 半導体装置及びその製造方法
JP2003045995A (ja) * 2001-07-26 2003-02-14 Toshiba Corp 半導体装置及びその製造方法
JP2003516633A (ja) * 1999-12-07 2003-05-13 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド アンモニア中でのアニールを利用して超薄ゲート絶縁体を確立する方法
JP2004158593A (ja) * 2002-11-06 2004-06-03 Toshiba Corp 絶縁ゲート型電界効果トランジスタを含む半導体装置及びその製造方法
US6797622B2 (en) * 2002-07-31 2004-09-28 Intel Corporation Selective etching of polysilicon

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US45080A (en) * 1864-11-15 Combined portable sheep rack
US197790A (en) * 1877-12-04 Improvement in grubber and stump-extractor
US58374A (en) * 1866-10-02 Improved method of preserving flour, grain
US32303A (en) * 1861-05-14 Time-telltale
US86504A (en) * 1869-02-02 Improvement in metal and rubber tires combined
US654906A (en) * 1897-11-11 1900-07-31 Daniel Brand Marsh Apparatus for examining condition of the lungs and heart.
US670568A (en) * 1901-01-02 1901-03-26 William Henry Spencer Fruit-gatherer.
US696345A (en) * 1901-12-24 1902-03-25 Herbert Edward Law Massaging instrument.
US6063698A (en) 1997-06-30 2000-05-16 Motorola, Inc. Method for manufacturing a high dielectric constant gate oxide for use in semiconductor integrated circuits
US6261887B1 (en) 1997-08-28 2001-07-17 Texas Instruments Incorporated Transistors with independently formed gate structures and method
US6043157A (en) * 1997-12-18 2000-03-28 Advanced Micro Devices Semiconductor device having dual gate electrode material and process of fabrication thereof
US20020197790A1 (en) 1997-12-22 2002-12-26 Kizilyalli Isik C. Method of making a compound, high-K, gate and capacitor insulator layer
US6177303B1 (en) * 1998-09-28 2001-01-23 U.S. Philips Corporation Method of manufacturing a semiconductor device with a field effect transistor
GB2358737A (en) 1999-03-01 2001-08-01 Nec Corp Methods for manufacturing a complimentary integrated circuit
US6255698B1 (en) 1999-04-28 2001-07-03 Advanced Micro Devices, Inc. Separately optimized gate structures for n-channel and p-channel transistors in an integrated circuit
US6093590A (en) 1999-09-14 2000-07-25 Worldwide Semiconductor Manufacturing Corp. Method of fabricating transistor having a metal gate and a gate dielectric layer with a high dielectric constant
US6184072B1 (en) 2000-05-17 2001-02-06 Motorola, Inc. Process for forming a high-K gate dielectric
JP2002198441A (ja) 2000-11-16 2002-07-12 Hynix Semiconductor Inc 半導体素子のデュアル金属ゲート形成方法
US6475874B2 (en) 2000-12-07 2002-11-05 Advanced Micro Devices, Inc. Damascene NiSi metal gate high-k transistor
US6544906B2 (en) 2000-12-21 2003-04-08 Texas Instruments Incorporated Annealing of high-k dielectric materials
KR100387259B1 (ko) 2000-12-29 2003-06-12 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US6410376B1 (en) 2001-03-02 2002-06-25 Chartered Semiconductor Manufacturing Ltd. Method to fabricate dual-metal CMOS transistors for sub-0.1 μm ULSI integration
US6365450B1 (en) 2001-03-15 2002-04-02 Advanced Micro Devices, Inc. Fabrication of P-channel field effect transistor with minimized degradation of metal oxide gate
US6514828B2 (en) 2001-04-20 2003-02-04 Micron Technology, Inc. Method of fabricating a highly reliable gate oxide
US6642131B2 (en) 2001-06-21 2003-11-04 Matsushita Electric Industrial Co., Ltd. Method of forming a silicon-containing metal-oxide gate dielectric by depositing a high dielectric constant film on a silicon substrate and diffusing silicon from the substrate into the high dielectric constant film
US6420279B1 (en) 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
US6573193B2 (en) 2001-08-13 2003-06-03 Taiwan Semiconductor Manufacturing Co., Ltd Ozone-enhanced oxidation for high-k dielectric semiconductor devices
US6797599B2 (en) 2001-08-31 2004-09-28 Texas Instruments Incorporated Gate structure and method
US6667246B2 (en) 2001-12-04 2003-12-23 Matsushita Electric Industrial Co., Ltd. Wet-etching method and method for manufacturing semiconductor device
US6620713B2 (en) 2002-01-02 2003-09-16 Intel Corporation Interfacial layer for gate electrode and high-k dielectric layer and methods of fabrication
US6696345B2 (en) 2002-01-07 2004-02-24 Intel Corporation Metal-gate electrode for CMOS transistor applications
US6794234B2 (en) 2002-01-30 2004-09-21 The Regents Of The University Of California Dual work function CMOS gate technology based on metal interdiffusion
US6617209B1 (en) 2002-02-22 2003-09-09 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6617210B1 (en) 2002-05-31 2003-09-09 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6770568B2 (en) 2002-09-12 2004-08-03 Intel Corporation Selective etching using sonication
US6746967B2 (en) 2002-09-30 2004-06-08 Intel Corporation Etching metal using sonication
US6689675B1 (en) 2002-10-31 2004-02-10 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6713358B1 (en) 2002-11-05 2004-03-30 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6787440B2 (en) 2002-12-10 2004-09-07 Intel Corporation Method for making a semiconductor device having an ultra-thin high-k gate dielectric
US6709911B1 (en) 2003-01-07 2004-03-23 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6716707B1 (en) 2003-03-11 2004-04-06 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6696327B1 (en) 2003-03-18 2004-02-24 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6210999B1 (en) * 1998-12-04 2001-04-03 Advanced Micro Devices, Inc. Method and test structure for low-temperature integration of high dielectric constant gate dielectrics into self-aligned semiconductor devices
JP2003516633A (ja) * 1999-12-07 2003-05-13 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド アンモニア中でのアニールを利用して超薄ゲート絶縁体を確立する方法
JP2001351917A (ja) * 2000-06-05 2001-12-21 Toshiba Corp 半導体装置の製造方法及び半導体装置
JP2002110815A (ja) * 2000-09-27 2002-04-12 Toshiba Corp 半導体装置及びその製造方法
JP2003045995A (ja) * 2001-07-26 2003-02-14 Toshiba Corp 半導体装置及びその製造方法
US6797622B2 (en) * 2002-07-31 2004-09-28 Intel Corporation Selective etching of polysilicon
JP2004158593A (ja) * 2002-11-06 2004-06-03 Toshiba Corp 絶縁ゲート型電界効果トランジスタを含む半導体装置及びその製造方法

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008530769A (ja) * 2005-01-13 2008-08-07 インターナショナル・ビジネス・マシーンズ・コーポレーション 高い誘電率のSiO2ゲート積層体上に熱的に安定したp型金属炭化物としてTiCを製造する方法
JP2006351580A (ja) * 2005-06-13 2006-12-28 Sony Corp 半導体装置および半導体装置の製造方法
JP4626411B2 (ja) * 2005-06-13 2011-02-09 ソニー株式会社 半導体装置および半導体装置の製造方法
JP2010520651A (ja) * 2007-03-28 2010-06-10 インテル コーポレイション 自己整合エピタキシャルソース及びドレイン張り出し部を有する半導体デバイス
JP2012114445A (ja) * 2010-11-24 2012-06-14 Samsung Electronics Co Ltd 金属ゲート電極を有する半導体素子の製造方法
US9202761B2 (en) 2011-10-11 2015-12-01 Renesas Electronics Corporation Semiconductor integrated circuit device and manufacturing method for semiconductor integrated circuit device
US10056406B2 (en) 2011-10-11 2018-08-21 Renesas Electronics Corporation Semiconductor integrated circuit device comprising MISFETs in SOI and bulk subtrate regions
US10263012B2 (en) 2011-10-11 2019-04-16 Renesas Electronics Corporation Semiconductor integrated circuit device comprising MISFETs in SOI and bulk substrate regions
KR101909091B1 (ko) * 2012-05-11 2018-10-17 삼성전자 주식회사 반도체 장치 및 그 제조 방법
WO2015099761A1 (en) * 2013-12-27 2015-07-02 Intel Corporation Selective etching for gate all around architectures
WO2021075708A1 (ko) * 2019-10-15 2021-04-22 충남대학교산학협력단 선택적 액적 흐름 표면 및 이의 제작방법

Also Published As

Publication number Publication date
GB2436047A (en) 2007-09-12
GB2436047B (en) 2011-03-16
GB0712808D0 (en) 2007-08-08
WO2006063269A2 (en) 2006-06-15
CN101099241A (zh) 2008-01-02
TWI310588B (en) 2009-06-01
US20060121678A1 (en) 2006-06-08
TW200639947A (en) 2006-11-16
WO2006063269A3 (en) 2006-09-21
KR20070086471A (ko) 2007-08-27
US7381608B2 (en) 2008-06-03
CN101099241B (zh) 2011-07-06
DE112005003119T5 (de) 2008-04-17

Similar Documents

Publication Publication Date Title
JP2008523591A (ja) 高誘電率ゲート誘電体および金属ゲート電極をもつ半導体デバイスの作成方法
US7138323B2 (en) Planarizing a semiconductor structure to form replacement metal gates
US7208361B2 (en) Replacement gate process for making a semiconductor device that includes a metal gate electrode
US7390709B2 (en) Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
US7422936B2 (en) Facilitating removal of sacrificial layers via implantation to form replacement metal gates
US7160767B2 (en) Method for making a semiconductor device that includes a metal gate electrode
US7220635B2 (en) Method for making a semiconductor device with a metal gate electrode that is formed on an annealed high-k gate dielectric layer
US7126199B2 (en) Multilayer metal gate electrode
US7183184B2 (en) Method for making a semiconductor device that includes a metal gate electrode
JP5090173B2 (ja) 高誘電率ゲート誘電体層及びシリサイドゲート電極を有する半導体デバイスの製造方法
US20050272191A1 (en) Replacement gate process for making a semiconductor device that includes a metal gate electrode
US7144783B2 (en) Reducing gate dielectric material to form a metal gate electrode extension
US7439113B2 (en) Forming dual metal complementary metal oxide semiconductor integrated circuits
US20070029627A1 (en) Reducing the dielectric constant of a portion of a gate dielectric
US7192856B2 (en) Forming dual metal complementary metal oxide semiconductor integrated circuits
US20060046523A1 (en) Facilitating removal of sacrificial layers to form replacement metal gates
US20050250258A1 (en) Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
US20060094180A1 (en) Method for making a semiconductor device with a high-k gate dielectric layer and a silicide gate electrode
US7425490B2 (en) Reducing reactions between polysilicon gate electrodes and high dielectric constant gate dielectrics
US20050287746A1 (en) Facilitating removal of sacrificial layers to form replacement metal gates
US20060148150A1 (en) Tailoring channel dopant profiles

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101215

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110405

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110630

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110707

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110802

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110809

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110831

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110907

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20111206