JP2008211079A - Barrier film and forming method thereof, and multilayer interconnection structure and manufacturing method thereof - Google Patents

Barrier film and forming method thereof, and multilayer interconnection structure and manufacturing method thereof Download PDF

Info

Publication number
JP2008211079A
JP2008211079A JP2007047944A JP2007047944A JP2008211079A JP 2008211079 A JP2008211079 A JP 2008211079A JP 2007047944 A JP2007047944 A JP 2007047944A JP 2007047944 A JP2007047944 A JP 2007047944A JP 2008211079 A JP2008211079 A JP 2008211079A
Authority
JP
Japan
Prior art keywords
film
gas
wiring
zrbn
barrier film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007047944A
Other languages
Japanese (ja)
Inventor
Masanobu Hatanaka
正信 畠中
Kanako Tsumagari
加奈子 津曲
Michio Ishikawa
道夫 石川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ulvac Inc
Original Assignee
Ulvac Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ulvac Inc filed Critical Ulvac Inc
Priority to JP2007047944A priority Critical patent/JP2008211079A/en
Priority to KR1020097020079A priority patent/KR101181389B1/en
Priority to PCT/JP2008/053163 priority patent/WO2008105360A1/en
Priority to KR1020117019031A priority patent/KR20110099064A/en
Priority to US12/528,811 priority patent/US8043963B2/en
Priority to CN2011102702679A priority patent/CN102290372A/en
Priority to CN2008800062867A priority patent/CN101627459B/en
Priority to TW097106539A priority patent/TWI392025B/en
Publication of JP2008211079A publication Critical patent/JP2008211079A/en
Priority to US13/273,612 priority patent/US8367542B2/en
Pending legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To provide a method of forming a barrier film except a Ta film and the barrier film obtained by the method, and to provide a multilayer interconnection structure including the barrier film and a method of manufacturing the multilayer interconnection structure. <P>SOLUTION: On the surface of a film formation object having an insulating film on which a hole and a wiring groove are formed, feed gas comprising Zr(BH<SB>4</SB>)<SB>4</SB>gas reacts by Chemical Vapor Deposition (CVD) to gas obtained by exciting reaction gas formed by N<SB>2</SB>gas by an excitation means, and a ZrBN film 41 used as the barrier film is formed. <P>COPYRIGHT: (C)2008,JPO&INPIT

Description

本発明は、バリア膜の形成方法及びバリア膜、並びに多層配線構造の作製方法及び多層配線構造に関する。   The present invention relates to a barrier film forming method, a barrier film, a multilayer wiring structure manufacturing method, and a multilayer wiring structure.

近年、半導体分野の薄膜製造技術において微細加工の要求が加速しており、それに伴い様々な問題が生じている。   In recent years, demands for microfabrication have been accelerated in thin film manufacturing technology in the semiconductor field, and various problems have arisen accordingly.

例えば、配線材料としては、抵抗率が小さく、エレクトロマイグレーションが発生しにくい等の理由から、銅が使用されることが多い。しかし、銅は、エッチングが困難であり、下地層(シリコン酸化膜)中に拡散しやすいという性質があるため、デバイスの信頼性が低下するという問題が生じている。   For example, copper is often used as the wiring material because of its low resistivity and the difficulty of electromigration. However, since copper is difficult to etch and has the property of easily diffusing into the underlying layer (silicon oxide film), there is a problem that the reliability of the device is lowered.

この問題を解決するために、多層配線構造における多層間接続孔の内壁表面にCVD法等でバリア膜を形成し、その上に銅薄膜を形成して配線層とすることにより、銅薄膜と下地層(シリコン酸化膜)とが直接接触しないようにして、銅の拡散を防いでいる。このようなバリア膜としては、Ta膜が知られている(例えば、特許文献1参照)。
特開2004−6856号公報(特許請求の範囲等)。
In order to solve this problem, a barrier film is formed on the inner wall surface of the connection hole between the multilayers in the multilayer wiring structure by a CVD method or the like, and a copper thin film is formed thereon to form a wiring layer. Copper diffusion is prevented by preventing direct contact with the formation (silicon oxide film). A Ta film is known as such a barrier film (see, for example, Patent Document 1).
Japanese Unexamined Patent Application Publication No. 2004-6856 (claims, etc.).

ところで、Ta膜をバリア膜として用いる場合の多層配線構造を形成する工程の一例を図5(a)〜(e)に示す。図5(a)〜(e)は、多層配線構造の作製工程を示すための基板の断面図である。   An example of a process for forming a multilayer wiring structure when a Ta film is used as a barrier film is shown in FIGS. FIGS. 5A to 5E are cross-sectional views of a substrate for illustrating a manufacturing process of a multilayer wiring structure.

図5(a)の成膜対象である基板Sには、トランジスタ等の素子が形成されており、第1の配線Cu膜51、10〜30nm程度の膜厚で形成されたキャップ層52、層間絶縁膜として300〜1000nm程度の膜厚で形成された第1シリコン酸化膜53、配線溝エッチングの際にエッチングストップ膜として機能する30〜200nm程度の膜厚で形成された窒化タンタル膜54、第2の層間絶縁膜として300〜1000nm程度の膜厚で形成された第2シリコン酸化膜55が順次積層されている。   An element such as a transistor is formed on the substrate S to be formed in FIG. 5A. The first wiring Cu film 51, the cap layer 52 formed with a film thickness of about 10 to 30 nm, the interlayer A first silicon oxide film 53 formed with a film thickness of about 300 to 1000 nm as an insulating film, a tantalum nitride film 54 formed with a film thickness of about 30 to 200 nm functioning as an etching stop film during wiring groove etching, A second silicon oxide film 55 having a thickness of about 300 to 1000 nm is sequentially stacked as the second interlayer insulating film.

これらの各膜は、公知の方法によって形成されており、例えば、電気メッキ法等によりCu膜51が形成されており、また、CVD法により、キャップ層52、第1シリコン酸化膜53及び第2シリコン酸化膜55が形成されている。   Each of these films is formed by a known method. For example, the Cu film 51 is formed by an electroplating method or the like, and the cap layer 52, the first silicon oxide film 53, and the second film are formed by a CVD method. A silicon oxide film 55 is formed.

これらの積層膜には、通常の露光法によりフォトレジストパターンを形成し、異方性エッチングにより形成されたホール56、及び配線溝57が設けられている。このホール56及び配線溝57を含めた第2の酸化シリコン膜55上に、図5(b)に示すように、スパッタリング法により、バリア膜としてのTa膜58を形成する。   In these laminated films, a photoresist pattern is formed by a normal exposure method, and holes 56 and wiring grooves 57 formed by anisotropic etching are provided. As shown in FIG. 5B, a Ta film 58 as a barrier film is formed on the second silicon oxide film 55 including the holes 56 and the wiring grooves 57 by sputtering.

次いで、図5(c)に示すように、Ta膜58が形成されたホール56及び配線溝57を含む表面全体に第2のCu膜59を形成してホール56及び配線溝57を埋め込み、続いて図5(d)に示すように、第2の酸化シリコン膜55の平坦部551上のTa膜58上に形成されていたCu膜59を化学機械研磨(CMP)法により研磨して除去する。さらに、図5(e)に示すように、Cu膜59が除去されて露出したTa層58をCMP法により研磨して除去し、配線溝に銅膜のみが埋め込まれた構造を形成できる。   Next, as shown in FIG. 5C, a second Cu film 59 is formed on the entire surface including the hole 56 and the wiring groove 57 in which the Ta film 58 is formed, and the hole 56 and the wiring groove 57 are buried. As shown in FIG. 5D, the Cu film 59 formed on the Ta film 58 on the flat portion 551 of the second silicon oxide film 55 is removed by polishing by a chemical mechanical polishing (CMP) method. . Further, as shown in FIG. 5E, the Ta layer 58 exposed by removing the Cu film 59 can be polished and removed by CMP to form a structure in which only the copper film is embedded in the wiring trench.

以上のような工程に従って、銅配線の形成とビアの形成を繰り返すことにより多層配線構造が得られることになる。   A multilayer wiring structure can be obtained by repeating the formation of the copper wiring and the via in accordance with the above-described steps.

しかしながら、上記のようにバリア膜としてTa膜を用いると、平坦部551上に形成されたTa膜58を除去する場合(図5(e)参照)に、Taが第2の酸化シリコン膜上に残って特性不良の原因となってしまうという問題がある。   However, when the Ta film is used as the barrier film as described above, Ta is formed on the second silicon oxide film when the Ta film 58 formed on the flat portion 551 is removed (see FIG. 5E). There is a problem that it remains and causes characteristic defects.

そこで、本発明の課題は、上記従来技術の問題点を解決することにあり、Ta膜以外のバリア膜の形成方法及びその方法によりえられたバリア膜を提供することにある。また、本発明の課題は、このバリア膜を含む多層配線構造及び多層配線構造の作製方法を提供することにある。   Accordingly, an object of the present invention is to solve the above-mentioned problems of the prior art, and to provide a method for forming a barrier film other than the Ta film and a barrier film obtained by the method. Another object of the present invention is to provide a multilayer wiring structure including the barrier film and a method for manufacturing the multilayer wiring structure.

本発明のバリア膜の形成方法は、ホール及び配線溝が形成されている絶縁膜を有する成膜対象物の表面上で、CVD法により、Zr(BH)ガスからなる原料ガスと、Nガスからなる反応ガスを励起手段によって励起せしめて得られたガスとを反応させ、バリア膜としてのZrBN膜を形成することを特徴とする。 According to the method for forming a barrier film of the present invention, a source gas composed of a Zr (BH 4 ) 4 gas is formed on a surface of a film formation target having an insulating film in which holes and wiring grooves are formed by a CVD method, and N A ZrBN film as a barrier film is formed by reacting a reaction gas composed of two gases with a gas obtained by exciting the reaction gas with an excitation means.

また、本発明の別のバリア膜の形成方法は、Zr(BH)ガスからなる原料ガスのみ供給する吸着工程と、Nガスからなる反応ガスを励起手段によって励起せしめて得られたガスのみ供給する反応工程とを繰り返し、吸着工程でホール及び配線溝が形成されている絶縁膜を有する成膜対象物の表面に原料ガスを吸着させ、反応工程で吸着した原料ガスと励起された反応ガスとを反応させ、ALD法により、バリア膜としてのZrBN膜を形成することを特徴とする。 In addition, another barrier film forming method of the present invention includes an adsorption step in which only a source gas composed of Zr (BH 4 ) 4 gas is supplied, and a gas obtained by exciting a reaction gas composed of N 2 gas by an excitation means. And the reaction process of supplying only the gas, the source gas is adsorbed on the surface of the film formation target having the insulating film in which holes and wiring grooves are formed in the adsorption process, and the excited reaction with the source gas adsorbed in the reaction process A ZrBN film as a barrier film is formed by reacting with a gas and using an ALD method.

本発明のバリア膜は、ZrBNからなる膜であり、Taを含まず、かつ、CVD法又はALD法によって簡易に作製できるものである。ホール及び配線溝が形成されている絶縁膜を有する成膜対象物の表面に、バリア膜として上記方法によりZrBN膜を作製することで、絶縁膜上のZrBN膜は絶縁性をしめすので、従来のTaのバリア膜とは異なって、除去する必要がない。   The barrier film of the present invention is a film made of ZrBN, does not contain Ta, and can be easily produced by a CVD method or an ALD method. Since the ZrBN film on the surface of the object to be formed having an insulating film in which holes and wiring grooves are formed is formed as a barrier film by the above method, the ZrBN film on the insulating film exhibits an insulating property. Unlike the Ta barrier film, there is no need to remove it.

この場合に、前記成膜対象物である基板を載置している載置台の設定温度を260℃未満として、基板を加熱しながらZrBN膜を形成することが好ましい。かかる温度範囲で形成されたZrBN膜は、絶縁膜上に形成されると絶縁性の性質を示す。   In this case, it is preferable that the ZrBN film is formed while heating the substrate, with the set temperature of the mounting table on which the substrate, which is the film formation target, being placed, being less than 260 ° C. A ZrBN film formed in such a temperature range exhibits insulating properties when formed on an insulating film.

本発明のバリア膜は、上記いずれかのバリア膜の形成方法により形成されたことを特徴とする。かかるバリア膜は、上記いずれかのバリア膜の形成方法により形成されたことで、従来のTaのバリア膜とは異なって、絶縁膜上に形成されると絶縁性の性質を示すことから、除去する必要がない。   The barrier film of the present invention is formed by any one of the above barrier film forming methods. Since such a barrier film is formed by any one of the above-described barrier film forming methods, it has an insulating property when formed on an insulating film, unlike a conventional Ta barrier film. There is no need to do.

本発明の多層配線構造の作製方法は、基板上に、少なくとも、配線膜、キャップ層、第1の絶縁膜及び第2の絶縁膜がこの順で積層され、これらの積層膜に、配線膜と接続するホール及び配線溝が形成されている多層配線構造のホール及び配線溝を含んだ表面上で、CVD法により、Zr(BH)ガスからなる原料ガスと、Nガスからなる反応ガスを励起手段によって励起せしめて得られたガスとを反応させ、バリア膜としてのZrBN膜を形成し、次いで、ZrBN膜が形成されたホール及び配線溝に銅配線を埋め込むことを特徴とする。 In the method for manufacturing a multilayer wiring structure of the present invention, at least a wiring film, a cap layer, a first insulating film, and a second insulating film are laminated in this order on a substrate. A source gas composed of Zr (BH 4 ) 4 gas and a reactive gas composed of N 2 gas are formed by CVD on the surface including the holes and wiring grooves of the multilayer wiring structure in which holes and wiring grooves to be connected are formed. A ZrBN film as a barrier film is formed by reacting with a gas obtained by exciting the substrate with excitation means, and then a copper wiring is buried in the hole and the wiring groove in which the ZrBN film is formed.

また、本発明の別の多層配線構造の作製方法は、基板上に、少なくとも、配線膜、キャップ層、第1の絶縁膜及び第2の絶縁膜がこの順で積層され、これらの積層膜に、配線膜と接続するホール及び配線溝が形成されている多層配線構造のホール及び配線溝を含んだ表面上で、CVD法により、Zr(BH)ガスからなる原料ガスと、Nガスからなる反応ガスを励起手段によって励起せしめて得られたガスとを反応させ、バリア膜としてのZrBN膜を形成し、次いで、ZrBN膜が形成されたホール及び配線溝に銅配線を埋め込むことを特徴とする。 In another multilayer wiring structure manufacturing method of the present invention, at least a wiring film, a cap layer, a first insulating film, and a second insulating film are stacked in this order on a substrate, and these stacked films are formed on the stacked films. A source gas composed of Zr (BH 4 ) 4 gas and N 2 gas are formed by CVD on the surface including the holes and wiring grooves of the multilayer wiring structure in which holes and wiring grooves connected to the wiring film are formed. A reaction gas comprising a reaction gas is reacted with a gas obtained by exciting the reaction gas to form a ZrBN film as a barrier film, and then a copper wiring is embedded in the hole and the wiring groove in which the ZrBN film is formed. And

ホール及び配線溝が形成されている絶縁膜を有する多層配線構造の表面に、バリア膜としてZrBN膜を作製すると、ZrBN膜が、その下にある層が導電性か、又は絶縁性かによって、異なる性質、即ち、下にある層が導電性であれば、ZrBN膜は導電性の性質を示し、下にある層が絶縁性であれば、ZrBN膜は絶縁性をしめす。かかるZrBN膜をバリア膜として利用すれば、ホールの底部のCu膜上に形成されたZrBN膜は、導電性の性質となり、絶縁膜上に形成されたZrBN膜は、絶縁性の性質を有するものであるので、Cu膜でホール及び配線溝を埋め込んだ後に除去する必要がない。   When a ZrBN film is formed as a barrier film on the surface of a multilayer wiring structure having an insulating film in which holes and wiring grooves are formed, the ZrBN film differs depending on whether the underlying layer is conductive or insulating. If the property, ie, the underlying layer is conductive, the ZrBN film exhibits electrical conductivity, and if the underlying layer is insulative, the ZrBN film exhibits insulation. If such a ZrBN film is used as a barrier film, the ZrBN film formed on the Cu film at the bottom of the hole has a conductive property, and the ZrBN film formed on the insulating film has an insulating property. Therefore, it is not necessary to remove the hole and the wiring groove after filling them with the Cu film.

前記多層配線構造の作製方法において、バリア膜の形成に関し、成膜対象物である基板を載置している載置台の設定温度を260℃未満として、基板を加熱しながらZrBN膜を形成することが好ましい。かかる温度範囲であれば、ZrBN膜の上記導電性及び絶縁性の選択性が得られるからである。   In the manufacturing method of the multilayer wiring structure, with respect to the formation of the barrier film, the ZrBN film is formed while heating the substrate with the set temperature of the mounting table on which the substrate, which is the film formation target, being mounted being less than 260 ° C. Is preferred. This is because the conductivity and insulation selectivity of the ZrBN film can be obtained within such a temperature range.

本発明の多層配線構造は、基板上に、少なくとも、配線膜、キャップ層、第1の絶縁膜及び第2の絶縁膜がこの順で積層され、これらの積層膜に配線膜と接続するホール及び配線溝が形成されている多層配線構造に、ホール及び配線溝を含んだ表面上にバリア膜としてZrBN膜が形成され、ZrBN膜が形成されたホール及び配線溝に銅配線が埋め込まれてなることを特徴とする。かかる多層配線構造は、絶縁層上にバリア膜が残存しないので、性能が劣化しない。   In the multilayer wiring structure of the present invention, at least a wiring film, a cap layer, a first insulating film, and a second insulating film are stacked in this order on a substrate, and a hole connected to the wiring film on these stacked films and In the multilayer wiring structure in which the wiring trench is formed, a ZrBN film is formed as a barrier film on the surface including the hole and the wiring trench, and the copper wiring is embedded in the hole and the wiring trench in which the ZrBN film is formed. It is characterized by. Such a multilayer wiring structure does not deteriorate the performance because no barrier film remains on the insulating layer.

前記多層配線構造が、上記いずれかの多層配線構造の作製方法により作製されたことが好ましい。これらの作製方法により、選択性を有する多層配線構造を簡易に得ることが可能である。   It is preferable that the multilayer wiring structure is manufactured by any one of the multilayer wiring structure manufacturing methods described above. By these manufacturing methods, it is possible to easily obtain a multilayer wiring structure having selectivity.

本発明のバリア膜の形成方法によれば、Ta膜とは異なり、絶縁膜上に形成されたZrBN膜は、絶縁性の性質を示すという優れた効果を奏する。本発明の多層配線構造及び多層配線構造の作製方法によれば、Ta膜とは異なり、ホール及び配線溝をCu膜で埋め込んだ後に除去する必要がないため、作製工程全体が短く、バリア膜が絶縁膜上に残存して性能劣化をもたらすことがないという優れた効果を奏する。   According to the barrier film forming method of the present invention, unlike the Ta film, the ZrBN film formed on the insulating film has an excellent effect of exhibiting insulating properties. According to the multilayer wiring structure and the fabrication method of the multilayer wiring structure of the present invention, unlike the Ta film, it is not necessary to remove the holes and the wiring trenches after they are filled with the Cu film. There is an excellent effect of remaining on the insulating film and causing no deterioration in performance.

本発明のバリア膜の形成方法は、ホール、トレンチが形成されている絶縁膜を有する成膜対象物の表面上で、Zr(BH)ガスからなる原料ガスと、Nガスからなる反応ガスを励起手段によって励起せしめて得られたガスとを反応させ、ZrBN膜を形成するものである。 In the method for forming a barrier film of the present invention, a reaction consisting of a source gas composed of Zr (BH 4 ) 4 gas and an N 2 gas is performed on the surface of a film formation target having an insulating film in which holes and trenches are formed. A ZrBN film is formed by reacting a gas obtained by exciting the gas with an excitation means.

成膜対象物は、図5の(a)に示したホール、配線溝が形成された基板である。   The film formation target is a substrate on which holes and wiring grooves shown in FIG. 5A are formed.

本発明のバリア膜の形成方法は、CVD法(Chemical vapor deposition)によってもよいし、ALD(Atomic layer deposition)法によってもよい。   The barrier film forming method of the present invention may be a CVD (Chemical Vapor Deposition) method or an ALD (Atomic Layer Deposition) method.

CVD法の場合、原料ガスは、粉体状のZr(BH)200gに対して、バブリングガス(例えばArガス)を10〜2000sccmを供給して得られるガスである。また、反応ガスの流量は、10〜2000sccmが好ましい。反応ガスが2000sccmより多いと、反応ガスを励起手段により励起せしめた場合に反応ガスが失活しやすく、10sccmより少ないと、反応が進まず、成膜できないからである。 In the case of the CVD method, the raw material gas is a gas obtained by supplying 10 to 2000 sccm of bubbling gas (for example, Ar gas) to 200 g of powdery Zr (BH 4 ) 4 . The flow rate of the reaction gas is preferably 10 to 2000 sccm. This is because if the reaction gas is more than 2000 sccm, the reaction gas is easily deactivated when the reaction gas is excited by the excitation means, and if it is less than 10 sccm, the reaction does not proceed and film formation cannot be performed.

また、吸着工程と反応工程とを数回〜数百回繰り返して膜を形成するALD法の場合には、例えば、吸着工程における原料ガスは、粉体状のZr(BH)200gに対して、バブリングガス(例えばArガス)を10〜2000sccmを供給して得られるものである。また、反応工程における反応ガスの流量は、10〜2000sccmが好ましい。反応ガスが2000sccmより多いと、反応ガスを励起手段により励起せしめた場合に反応ガスが失活しやすく、10sccmより少ないと、反応が進まず、成膜できないからである。 Further, in the case of the ALD method in which the film is formed by repeating the adsorption process and the reaction process several times to several hundred times, for example, the raw material gas in the adsorption process is based on 200 g of powdery Zr (BH 4 ) 4 Thus, bubbling gas (for example, Ar gas) is supplied at 10 to 2000 sccm. The flow rate of the reaction gas in the reaction step is preferably 10 to 2000 sccm. This is because if the reaction gas is more than 2000 sccm, the reaction gas is easily deactivated when the reaction gas is excited by the excitation means, and if it is less than 10 sccm, the reaction does not proceed and film formation cannot be performed.

励起手段としては、反応ガスを励起できる手段であればどのようなものであってもよいが、例えば、プラズマ励起手段により、プラズマを生成し、このプラズマに反応ガスを接触せしめて反応ガスを励起せしめてもよい。   The excitation means may be any means as long as it can excite the reaction gas. For example, the plasma is generated by the plasma excitation means, and the reaction gas is brought into contact with the plasma to excite the reaction gas. You may squeeze it.

上記いずれかの方法でZrBN膜を成膜すると、導電性膜上に形成されたZrBN膜は導電性の性質をしめし、絶縁膜上に形成されたZrBN膜は絶縁性の性質を示す。この場合、成膜時の基板温度は260℃未満であることが好ましい。かかる温度範囲であれば、Zr(BH)ガスからなる原料ガスと、Nガスからなる反応ガスを励起手段によって励起せしめて得られたガスとが反応して得られたZrBN膜は、上記のように下にある層の影響を受けてその物性が変化するという選択性を有するものとなる。このようなZrBN膜をバリア膜とすれば、多層配線構造を作製する場合に、バリア膜を図5(e)に示すように除去する必要がない。 When the ZrBN film is formed by any one of the above methods, the ZrBN film formed on the conductive film exhibits conductive properties, and the ZrBN film formed on the insulating film exhibits insulating properties. In this case, the substrate temperature during film formation is preferably less than 260 ° C. In such a temperature range, the ZrBN film obtained by reacting the raw material gas composed of Zr (BH 4 ) 4 gas and the gas obtained by exciting the reaction gas composed of N 2 gas by the excitation means, As described above, it has the selectivity that its physical properties change under the influence of the underlying layer. If such a ZrBN film is used as a barrier film, it is not necessary to remove the barrier film as shown in FIG.

このような本発明のZrBN膜の成膜方法を実施するための成膜装置の一例を図1を用いて以下説明する。   An example of a film forming apparatus for carrying out such a ZrBN film forming method of the present invention will be described below with reference to FIG.

成膜装置は、シャワーヘッド構造1が天井部に設けられた成膜チャンバー2からなる。成膜装置には、反応ガスの励起手段が設けられていることが好ましい。反応ガスの励起手段としては、プラズマによるプラズマ励起手段、触媒金属による触媒励起手段等があげられる。図1に示す成膜装置は、例としてマイクロ波を供給してプラズマを形成する励起手段がシャワーヘッド構造1に設けられているものであり、シャワーヘッド構造1は、上部の同軸型共振キャビティ11と、同軸型共振キャビティ11の底部に接続して設けられた反応ガス導入室12と、反応ガス導入室12に接続して設けられたシャワーヘッド部13と、マイクロ波供給手段14とを備える。また、シャワーヘッド構造1には、各ガスの導入手段として、反応ガス導入手段15と、原料ガス導入手段16とが、設けられている。   The film forming apparatus includes a film forming chamber 2 in which a shower head structure 1 is provided on a ceiling. The film forming apparatus is preferably provided with a means for exciting a reactive gas. Examples of the reaction gas excitation means include plasma excitation means using plasma, catalyst excitation means using catalyst metal, and the like. In the film forming apparatus shown in FIG. 1, an excitation means for supplying a microwave to form plasma is provided in the shower head structure 1 as an example. The shower head structure 1 includes an upper coaxial resonance cavity 11. A reaction gas introduction chamber 12 provided connected to the bottom of the coaxial resonance cavity 11, a shower head portion 13 provided connected to the reaction gas introduction chamber 12, and a microwave supply means 14. In addition, the showerhead structure 1 is provided with a reaction gas introduction unit 15 and a raw material gas introduction unit 16 as each gas introduction unit.

同軸型共振キャビティ11は、例えば銅製やアルミ製で、この同軸型共振キャビティ11には、キャビティの天井壁と底壁とを貫通して非金属パイプ111が設けられている。この非金属パイプ111の上端部には、反応ガス導入手段15のガス管151が接続され、ガス管151は、流量制御手段152を介して図示しないガス源に接続している。なお、ガス導入手段15は、Nガスを導入するだけでなく、プロセスに応じて2以上のガスを導入できるようにするために、ガス管151が途中で分岐して、各分岐したガス管に流量制御手段152を設けて、それぞれ図示しないガス源に接続して2以上のガスを導入できるように構成される。この非金属パイプ111としては、石英管、サファイア管又はアルミナ管を用いることができるが、パーティクルをより低減すべく、サファイア管かアルミナ管を用いることが好ましい。 The coaxial resonance cavity 11 is made of, for example, copper or aluminum, and the coaxial resonance cavity 11 is provided with a non-metallic pipe 111 that penetrates the ceiling wall and the bottom wall of the cavity. A gas pipe 151 of the reaction gas introduction means 15 is connected to the upper end portion of the nonmetal pipe 111, and the gas pipe 151 is connected to a gas source (not shown) via the flow rate control means 152. The gas introduction means 15 not only introduces N 2 gas but also allows two or more gases to be introduced according to the process, so that the gas pipe 151 branches in the middle, and each branched gas pipe Is provided with a flow rate control means 152, which is connected to a gas source (not shown) so that two or more gases can be introduced. As the non-metallic pipe 111, a quartz tube, a sapphire tube, or an alumina tube can be used, but a sapphire tube or an alumina tube is preferably used in order to further reduce particles.

この非金属パイプ111の上部には、その周囲を覆うように、同心円状の可動自在の上部導体112が設けられ、また、この上部導体112の下方では、同軸型共振キャビティ11の底壁が下部導体113として機能している。二つの導体間では、非金属パイプ111は露出しており、この露出部111aにマイクロ波が照射される。なお、図1中では、同軸型共振キャビティ11の底壁が下部導体113として機能しているが、下部導体113を、別の部材として同軸型共振キャビティ11の底部に設けてもよい。   A concentric movable upper conductor 112 is provided at the top of the non-metallic pipe 111 so as to cover the periphery of the pipe, and below the upper conductor 112, the bottom wall of the coaxial resonance cavity 11 is located at the bottom. It functions as the conductor 113. The non-metallic pipe 111 is exposed between the two conductors, and the exposed portion 111a is irradiated with microwaves. In FIG. 1, the bottom wall of the coaxial resonance cavity 11 functions as the lower conductor 113, but the lower conductor 113 may be provided as a separate member at the bottom of the coaxial resonance cavity 11.

非金属パイプ111の露出部111aの領域においてプラズマを生成するために、マイクロ波供給手段14が、同軸型共振キャビティ11の側壁面の露出部111aに対応する位置に設けられている。このマイクロ波供給手段14は、マイクロ波を発振するマグネトロン141と、このマグネトロン141を作動させるためのマイクロ波電源142と、マグネトロン141に接続され、マグネトロン141から発振された共振周波数(例えば、2.45GHz)のマイクロ波を同軸型共振キャビティ11に供給するアンテナ143と、アンテナ143とマグネトロン141とをつなぐ同軸ケーブル144とからなる。マイクロ波電源142を作動せしめると、マグネトロン141からマイクロ波が発振され、このマイクロ波が同軸ケーブル144を通って、壁面に設けられたアンテナ143に到達する。そして、アンテナ143からマイクロ波が同軸型共振キャビティ11内に供給されると、非金属パイプ111上部から導入されている反応ガスが露出部111aの領域においてプラズマ状態に変化し、ガスの流路である非金属パイプ111の下部から、プラズマ化したガスとして反応ガス導入室12へ供給される。このように、本装置では、マイクロ波を伝播するための導波管を設けていないので、マイクロ波を発振すると、すぐにプラズマを生成できる。なお、図1中、アンテナ143を一つだけ設ける例を説明したが、2以上設けてもよい。また、上記したように上部導体112は可動であるので、その位置を変えて上部導体112と下部導体113との間の電界の発生状態を変えることで、プラズマの生成状態を変えることが可能である。   In order to generate plasma in the region of the exposed portion 111 a of the non-metallic pipe 111, the microwave supply means 14 is provided at a position corresponding to the exposed portion 111 a on the side wall surface of the coaxial resonance cavity 11. This microwave supply means 14 is connected to a magnetron 141 that oscillates a microwave, a microwave power source 142 for operating the magnetron 141, and a magnetron 141, and a resonance frequency (for example, 2.. The antenna 143 supplies a microwave of 45 GHz to the coaxial resonance cavity 11, and the coaxial cable 144 connects the antenna 143 and the magnetron 141. When the microwave power source 142 is operated, a microwave is oscillated from the magnetron 141, and the microwave passes through the coaxial cable 144 and reaches the antenna 143 provided on the wall surface. When microwaves are supplied from the antenna 143 into the coaxial resonance cavity 11, the reaction gas introduced from the upper part of the non-metallic pipe 111 changes to a plasma state in the region of the exposed portion 111a, and the gas flow path From the lower part of a certain non-metallic pipe 111, it is supplied to the reaction gas introduction chamber 12 as a plasma gas. Thus, since this apparatus does not include a waveguide for propagating microwaves, plasma can be generated immediately when the microwaves are oscillated. Note that although an example in which only one antenna 143 is provided in FIG. 1 has been described, two or more antennas may be provided. Since the upper conductor 112 is movable as described above, the plasma generation state can be changed by changing the position of the upper conductor 112 and changing the electric field generation state between the upper conductor 112 and the lower conductor 113. is there.

ところで、一般に、プラズマ生成空間においてプラズマが生成されると、プラズマ生成空間の電界分布が変化して共振周波数が変化し、プラズマの生成効率が悪くなってしまう。この場合に、マイクロ波供給手段を調整すると、マイクロ波発振と、プラズマ生成との間でタイムラグが生じる。   By the way, in general, when plasma is generated in the plasma generation space, the electric field distribution in the plasma generation space changes, the resonance frequency changes, and the plasma generation efficiency deteriorates. In this case, adjusting the microwave supply means causes a time lag between the microwave oscillation and the plasma generation.

そこで、図1の成膜装置では、プラズマ生成の前後で共振周波数が変化しないように、同軸型共振キャビティ11内の高さLが、励振波長の1/2の整数倍となるように構成している。これは、同軸型共振キャビティ11の電界分布がプラズマ生成前にはTMモードになっているが、プラズマ生成後にはTEMモードになることに鑑みて、各モードにおける電気的等価回路からプラズマ生成前後の各共振周波数を求め、これらの共振周波数を等しくなるように計算することにより、得られたものである。上記構成により、プラズマ生成前後で、共振周波数の変化を抑えることが可能である。   Therefore, the film forming apparatus of FIG. 1 is configured such that the height L in the coaxial resonance cavity 11 is an integral multiple of 1/2 of the excitation wavelength so that the resonance frequency does not change before and after plasma generation. ing. This is because the electric field distribution of the coaxial resonance cavity 11 is in the TM mode before the plasma generation, but in view of the fact that it becomes the TEM mode after the plasma generation, from the electrical equivalent circuit in each mode before and after the plasma generation. Each resonance frequency is obtained, and these resonance frequencies are calculated to be equal to each other. With the above configuration, it is possible to suppress a change in resonance frequency before and after plasma generation.

このように同軸型共振キャビティ11内の高さLを設定してもなお、プラズマ生成後にキャビティ内の周波数がわずかながら変動する場合もあるので、第1のシャワーヘッド構造1のマイクロ波供給手段14に、励磁電流制御回路を設けることが好ましい。この制御回路は、同軸型共振キャビティ11内でのプラズマ発生前後の周波数をモニターして、この周波数が変化した場合に、変化分に対応する信号を受け取り、この信号に相当する電流を励磁電流としてマグネトロン141内の図示しない励磁コイルに送ることで供給するマイクロ波の波長が一定になるように、構成される。   Even if the height L in the coaxial resonant cavity 11 is set in this way, the frequency in the cavity may vary slightly after plasma generation, so the microwave supply means 14 of the first showerhead structure 1 may be changed. It is preferable to provide an excitation current control circuit. This control circuit monitors the frequency before and after the plasma generation in the coaxial resonance cavity 11, receives a signal corresponding to the change when this frequency changes, and uses the current corresponding to this signal as the excitation current. The wavelength of the microwave supplied by sending it to an exciting coil (not shown) in the magnetron 141 is configured to be constant.

また、同軸型共振キャビティ11内の周波数が変化した状態でマイクロ波を発振すると、プラズマ生成室内部で反射波が生じる場合には、この反射波を検出し、この検出した反射波と、発振したマイクロ波の進行波との位相差に相当する電圧を、マグネトロン内の陽極電極に重畳して印加して、共振周波数に近づくように動作する陽極電圧制御回路を設けてもよい。この場合、反射波はマイクロ波供給手段において熱に変換されるので、陽極電圧制御回路を設けた場合に反射波に起因する熱によって回路がダメージを受けないように注意する必要がある。さらに、下部導体113の中に、発振波長の4分の1の長さに相当するチョーク構造を設けて、露出部111aから漏洩されるマイクロ波を抑制するように構成しても良い。   Further, when a microwave is oscillated with the frequency in the coaxial resonance cavity 11 changed, when a reflected wave is generated in the plasma generation chamber, the reflected wave is detected, and the detected reflected wave is oscillated. An anode voltage control circuit that operates so as to approach the resonance frequency by applying a voltage corresponding to the phase difference from the traveling wave of the microwaves to the anode electrode in the magnetron may be provided. In this case, since the reflected wave is converted into heat in the microwave supply means, when an anode voltage control circuit is provided, care must be taken so that the circuit is not damaged by the heat caused by the reflected wave. Furthermore, a choke structure corresponding to a quarter of the oscillation wavelength may be provided in the lower conductor 113 to suppress microwave leaked from the exposed portion 111a.

このようにシャワーヘッド構造1は、同軸型共振キャビティ11内の高さLを励振波長の1/2の整数倍になるように構成し、一定の共振周波数を発振できるとともに、励磁電流制御回路及び陽極電圧制御回路を設けることで、プラズマ生成前後で仮に共振周波数がずれたとしても周波数を自動的にマッチングするように構成されている。さらに、図1の成膜装置は、マイクロ波発振とプラズマ生成にタイムラグが発生しないので、プラズマの生成を極めて短い間隔、例えば0.5秒くらいから制御できる。   As described above, the shower head structure 1 is configured such that the height L in the coaxial resonance cavity 11 is an integral multiple of 1/2 of the excitation wavelength, and can oscillate a constant resonance frequency. By providing the anode voltage control circuit, the frequency is automatically matched even if the resonance frequency is shifted before and after plasma generation. Furthermore, since the film formation apparatus of FIG. 1 does not generate a time lag between microwave oscillation and plasma generation, plasma generation can be controlled from an extremely short interval, for example, about 0.5 seconds.

この同軸型共振キャビティ11の非金属パイプ111内でプラズマにより励起された反応ガスは、反応ガス導入室12を経て、シャワーヘッド部13へ導入される。反応ガス導入室12は、例えばアルミ製であり、その内壁には、パーティクル発生防止のために石英製インナーを設けることが好ましい。この場合、図1中に示したように、ガス導入室12の内壁の下方領域(シャワーヘッド部13側)に石英製インナーを設けてもよいが、好ましくは、内壁全面に石英製インナーを設けることである。また、ラジカル状態のガスを死活し難くするように、反応ガス導入室12の内壁表面をアルマイト加工してもよい。   The reaction gas excited by plasma in the non-metallic pipe 111 of the coaxial resonance cavity 11 is introduced into the shower head unit 13 through the reaction gas introduction chamber 12. The reaction gas introduction chamber 12 is made of, for example, aluminum, and it is preferable that an inner wall made of quartz is provided on the inner wall to prevent the generation of particles. In this case, as shown in FIG. 1, a quartz inner may be provided in a lower region (on the shower head 13 side) of the inner wall of the gas introduction chamber 12, but preferably a quartz inner is provided on the entire inner wall. That is. Further, the surface of the inner wall of the reaction gas introduction chamber 12 may be anodized so that it is difficult to kill and activate radical gas.

また、反応ガス導入室12は、図示しない冷却手段によって、冷却されてもよい。反応ガス導入室12とシャワーヘッド部13との間には、セラミックフランジ122(例えば、厚さ10mm)を設けてあり、固定具123及び124で固定されている。このセラミックフランジ122は、シャワーヘッド部13の熱により反応ガス導入室12が加熱されないように熱を遮断するために設けられたものであり、真空シール性、耐熱性、熱遮断性からアルミナセラミックであることが好ましい。   Further, the reaction gas introduction chamber 12 may be cooled by a cooling means (not shown). A ceramic flange 122 (for example, a thickness of 10 mm) is provided between the reaction gas introduction chamber 12 and the shower head unit 13 and is fixed by fixtures 123 and 124. The ceramic flange 122 is provided to cut off heat so that the reaction gas introduction chamber 12 is not heated by the heat of the shower head unit 13, and is made of alumina ceramic from the viewpoint of vacuum sealability, heat resistance, and heat cut-off. Preferably there is.

シャワーヘッド部13は、円盤状部材13aと、リング状部材13bと、第1のシャワー板13cと、第2のシャワー板13dとからなり、適宜、固定具123で固定されている。円盤状部材13aには、好ましくは、図示しないヒーター及び熱電対が設けられ、ヒーターにより、シャワーヘッド部13を所定の温度(例えば150℃くらい)になるように加熱し、この加熱された温度を熱電対で測定し、モニターできるように構成される。また、円盤状部材13aには、反応ガス導入室12と連通する開口部が形成されており、この開口部と、リング状部材13bの開口部とから、反応ガスが導入され、拡散される反応ガス拡散室131が構成されている。反応ガス拡散室131は、石英製のインナーが内壁全体に設けられ、その底面には、複数の反応ガス噴出孔132が形成されている。この反応ガス噴出孔132は、第1のシャワー板13c及び第2のシャワー板13dを貫通しシャワーヘッド部13の底面まで達している。   The shower head unit 13 includes a disk-shaped member 13a, a ring-shaped member 13b, a first shower plate 13c, and a second shower plate 13d, and is appropriately fixed with a fixture 123. The disk-shaped member 13a is preferably provided with a heater and a thermocouple (not shown), and the heater is used to heat the shower head unit 13 to a predetermined temperature (for example, about 150 ° C.). It is configured to measure and monitor with a thermocouple. The disk-shaped member 13a is formed with an opening communicating with the reaction gas introduction chamber 12, and a reaction gas is introduced and diffused from the opening and the opening of the ring-shaped member 13b. A gas diffusion chamber 131 is configured. In the reaction gas diffusion chamber 131, a quartz inner is provided on the entire inner wall, and a plurality of reaction gas ejection holes 132 are formed on the bottom surface thereof. This reactive gas ejection hole 132 passes through the first shower plate 13 c and the second shower plate 13 d and reaches the bottom surface of the shower head unit 13.

さらに、円盤状部材13aには、原料ガス導入手段16に接続する原料ガス導入管133が設けられてる。ここで、原料ガス導入手段16は、冷却ジャケット161に覆われた原料タンク162内へ原料を入れ、これを低差圧マスフローコントローラーのようなマスフローコントローラー163を用いて、バブリングガスとしてのAr、N等の不活性ガスの流量を直接制御しながらバブリングして原料を昇華せしめ原料ガスを得て、この原料ガスを原料ガス導入管133へ導入するように構成されている。原料タンク162を冷却ジャケット161によって0℃に冷却保温している場合、原料ガスの蒸気圧は3.7mmHgであるので、チャンバー圧力を3.7mmHgよりも低くする。 Further, the disk-shaped member 13 a is provided with a source gas introduction pipe 133 connected to the source gas introduction means 16. Here, the raw material gas introduction means 16 puts the raw material into the raw material tank 162 covered with the cooling jacket 161, and uses this as a bubbling gas by using a mass flow controller 163 such as a low differential pressure mass flow controller. The raw material gas is sublimated by bubbling while directly controlling the flow rate of the inert gas such as 2 to obtain the raw material gas, and this raw material gas is introduced into the raw material gas introduction pipe 133. When the raw material tank 162 is cooled and kept at 0 ° C. by the cooling jacket 161, the vapor pressure of the raw material gas is 3.7 mmHg, so the chamber pressure is made lower than 3.7 mmHg.

原料ガス導入管133は、ガス通路134、即ちリング状部材13bの外周部に設けられたガス通路134a及び第1のシャワー板13cの外周部に設けられたガス通路134bを介して第2のシャワー板13dに形成された原料ガス拡散室135に接続されている。このガス通路134は、1以上の多段に構成され、各段は、2n−1(nは段数)で表される数のガス通路134a及び134bを有している。そして、ガス通路134は、前記原料ガス導入管133と1段目のガス通路134aとの接続位置から最終段のガス通路134bと原料ガス拡散室135との各接続位置までの距離が全て等しいように構成されている。このガス通路134について図2及び図3を用いて詳細に説明する。図2は、(a)リング状部材13b、(b)第1のシャワー板13c及び(c)第2のシャワー板13dの横断面図であり、図3は、原料ガス導入管133、ガス通路134及び原料ガス拡散室135の配置関係を説明するための説明図である。 The source gas introduction pipe 133 is connected to the second shower through the gas passage 134, that is, the gas passage 134a provided in the outer peripheral portion of the ring-shaped member 13b and the gas passage 134b provided in the outer peripheral portion of the first shower plate 13c. It is connected to a source gas diffusion chamber 135 formed on the plate 13d. The gas passage 134 is configured in one or more multi-stages, and each stage has the number of gas passages 134a and 134b represented by 2 n-1 (n is the number of stages). The gas passages 134 are all equal in distance from the connection position between the source gas introduction pipe 133 and the first stage gas passage 134a to each connection position between the last stage gas passage 134b and the source gas diffusion chamber 135. It is configured. The gas passage 134 will be described in detail with reference to FIGS. 2 is a cross-sectional view of (a) the ring-shaped member 13b, (b) the first shower plate 13c, and (c) the second shower plate 13d, and FIG. 3 shows the source gas introduction pipe 133 and the gas passage. It is explanatory drawing for demonstrating the arrangement | positioning relationship of 134 and source gas diffusion chamber 135. FIG.

ガス通路134は、リング状部材13bに設けられた円弧状の1つのガス通路134aと、第1のシャワー板13cの反応ガス噴出孔132が形成されている領域の周辺部に設けられた円弧状の2つのガス通路134bとからなる。ガス通路134aの中央上部には、原料ガス導入管133が接続されている。そして、ガス通路134aの両端の底部にはそれぞれ接続孔134cが形成され、この各接続孔134cは、第1のシャワー板13cに設けられたガス通路134bのそれぞれの中央上部に接続され、ガス通路134aとガス通路134bとは連通している。   The gas passage 134 has an arc shape provided in the periphery of a region where one arc-shaped gas passage 134a provided in the ring-shaped member 13b and the reaction gas ejection hole 132 of the first shower plate 13c are formed. The two gas passages 134b. A source gas introduction pipe 133 is connected to the upper center of the gas passage 134a. And the connection hole 134c is formed in the bottom part of the both ends of the gas channel 134a, respectively, and each connection hole 134c is connected to each center upper part of the gas channel 134b provided in the 1st shower board 13c, and a gas channel 134a communicates with the gas passage 134b.

また、ガス通路134bのそれぞれの両端の底部には、接続孔134dが形成され、この接続孔134dは、第2のシャワー板13dに設けられた原料ガス拡散室135の四隅の上部に接続され、原料ガス拡散室135に原料ガスが接続孔134dを介して均一に吐出されるように構成されている。   Further, a connection hole 134d is formed at the bottom of each end of the gas passage 134b, and the connection hole 134d is connected to the upper part of the four corners of the source gas diffusion chamber 135 provided in the second shower plate 13d, The source gas is configured to be uniformly discharged into the source gas diffusion chamber 135 through the connection holes 134d.

このように、前記原料ガス拡散室135と原料ガス導入管133とを接続するガス通路134は、2段構成であり、1段目のガス通路134aは、その中央に前記原料ガス導入管133が接続され、2段目のガス通路134bは、その中央に前段のガス通路134aの両端の底部に設けられた接続孔134cが接続されて前段のガス通路134aと連通し、かつ、その各ガス通路134bの両端の底部に形成された接続孔134dにより、原料ガス拡散室135に接続されて、1つのガス流路として構成されている。そして、このガス通路134では、原料ガス導入管133から各接続孔134dまでの距離はどれも等しくなるように構成されているので、原料ガスが同時に原料ガス拡散室に同量到達し、均一に原料ガス拡散室135に拡散できる。なお、図中では、ガス通路を2段構成として接続孔134dを4つ設けているが、ガス通路を3段以上に構成して接続孔134dの数を増やしてもよい。例えば、第1のシャワー板の底部に、第1のシャワー板と同様の反応ガス噴出孔を形成し、かつ、ガス通路を4つ形成した第3のシャワー板を設け、この第3のシャワー板の4つのガス通路の各中央上部に第1のシャワー板の接続孔が接続するようにし、この第3のシャワー板の各ガス通路の両端部に第2のシャワー板の原料ガス拡散室への接続孔をそれぞれ形成し、即ち、接続孔を8つ設け、それに併せて原料ガス拡散室の形状を設計して、より均等にガスが原料ガス拡散室内に拡散されるように構成してもよい。また、図中では原料ガス拡散室は四角形としたが、円形や他の多角形でもよい。   Thus, the gas passage 134 connecting the source gas diffusion chamber 135 and the source gas introduction pipe 133 has a two-stage configuration, and the source gas introduction pipe 133 is located at the center of the first stage gas passage 134a. The second-stage gas passage 134b is connected to the center thereof by connecting holes 134c provided at the bottoms of both ends of the preceding-stage gas passage 134a so as to communicate with the preceding-stage gas passage 134a. It is connected to the source gas diffusion chamber 135 by connection holes 134d formed at the bottoms of both ends of 134b and is configured as one gas flow path. In this gas passage 134, since the distance from the source gas introduction pipe 133 to each connection hole 134d is equal, the source gas reaches the source gas diffusion chamber at the same amount and is uniformly distributed. It can diffuse into the source gas diffusion chamber 135. In the figure, the gas passage has a two-stage configuration and four connection holes 134d are provided. However, the number of the connection holes 134d may be increased by configuring the gas passage with three or more stages. For example, a third shower plate having a reaction gas ejection hole similar to the first shower plate and having four gas passages is provided at the bottom of the first shower plate. The connection hole of the first shower plate is connected to the upper center of each of the four gas passages, and the source gas diffusion chamber of the second shower plate is connected to both ends of each gas passage of the third shower plate. Each of the connection holes may be formed, that is, eight connection holes may be provided, and the shape of the source gas diffusion chamber may be designed accordingly, so that the gas is more evenly diffused into the source gas diffusion chamber. . In the drawing, the source gas diffusion chamber is rectangular, but it may be circular or other polygonal.

この原料ガス拡散室135には、原料ガス噴出孔136が設けられており、この原料ガス噴出孔136もシャワーヘッド部13の底面まで貫通している。この場合、均一に原料ガスが真空チャンバー内へ噴き出すように、噴出孔のコンダクタンスを小さくすることが好ましい。例えば、図1及び2に示す装置では、原料ガス噴出孔136を、孔径Φ0.7〜1mm程度、孔深さ10mm程度として、原料ガスを均一に真空チャンバー内へ供給できるように構成している。   The source gas diffusion chamber 135 is provided with a source gas ejection hole 136 that also penetrates to the bottom surface of the shower head unit 13. In this case, it is preferable to reduce the conductance of the ejection holes so that the source gas is uniformly ejected into the vacuum chamber. For example, in the apparatus shown in FIGS. 1 and 2, the source gas ejection holes 136 have a hole diameter of about 0.7 to 1 mm and a hole depth of about 10 mm so that the source gas can be uniformly supplied into the vacuum chamber. .

反応ガス噴出孔132はシャワーヘッド部13の底面まで貫通しているので、このシャワーヘッド部13の底面には、反応ガス噴出孔132と、原料ガス噴出孔136とがそれぞれ一定の距離をあけてマトリクス状に並んでおり、これによって、基板上にかたよりなく原料ガス及び反応ガスが照射されるように構成されている。各原料ガス噴出孔136の中心間距離と各反応ガス噴出孔132の中心間距離とは、同じ距離(例えば、14mm)に設定されている。この場合、原料ガス噴出孔136の直径より反応ガス噴出孔132の直径の方が大きく、例えば、原料ガス噴出孔136の直径を1mmとすると、反応ガス噴出孔132の直径は5mmである。   Since the reactive gas ejection hole 132 penetrates to the bottom surface of the shower head unit 13, the reactive gas ejection hole 132 and the source gas ejection hole 136 are spaced apart from each other at the bottom surface of the shower head unit 13. They are arranged in a matrix, and are configured so that the source gas and the reaction gas are irradiated on the substrate without depending on the method. The distance between the centers of each source gas ejection hole 136 and the distance between the centers of each reaction gas ejection hole 132 are set to the same distance (for example, 14 mm). In this case, the diameter of the reaction gas ejection hole 132 is larger than the diameter of the source gas ejection hole 136. For example, when the diameter of the source gas ejection hole 136 is 1 mm, the diameter of the reaction gas ejection hole 132 is 5 mm.

このように構成されたシャワーヘッド部13では、反応ガス導入手段15から、非金属パイプ111、反応ガス導入室12を経てシャワーヘッド部13へ導入された反応ガスは、反応ガス拡散室131全体に広がり、各反応ガス噴出孔132を経て成膜チャンバー2内に供給される。また、原料ガス導入管133から導入された原料ガスは、ガス通路134にガス通路134aの中心部から導入され、ガス通路134aの左右に均等に分かれて接続孔134cを介して下段に形成された各ガス通路134bへ拡散する。そして、ガス通路134bを左右に均等に分かれて進み、接続孔134dから、原料ガス拡散室135へ均一に拡散し、その後、原料ガス拡散室135の底面の各原料ガス噴出孔136から成膜チャンバー2内に均一に供給される。   In the shower head unit 13 configured as described above, the reaction gas introduced from the reaction gas introduction means 15 into the shower head unit 13 through the non-metallic pipe 111 and the reaction gas introduction chamber 12 is transferred to the entire reaction gas diffusion chamber 131. It spreads and is supplied into the film forming chamber 2 through each reactive gas ejection hole 132. The source gas introduced from the source gas introduction pipe 133 is introduced into the gas passage 134 from the center of the gas passage 134a, and is divided into the right and left sides of the gas passage 134a and formed in the lower stage through the connection holes 134c. It diffuses into each gas passage 134b. Then, the gas passage 134b is equally divided into left and right, and is uniformly diffused from the connection hole 134d to the source gas diffusion chamber 135, and thereafter, from each source gas ejection hole 136 on the bottom surface of the source gas diffusion chamber 135, the film forming chamber. 2 is uniformly supplied.

成膜チャンバー2のシャワーヘッド構造1に対向する位置には、基板Sを載置する基板載置台21が設けられており、基板載置台21は、昇降自在に構成されている。この基板載置台21には、加熱手段が設けられ、基板載置台21上に載置された基板Sを所定の温度に加熱することが可能である。成膜チャンバー2の側壁部には、壁面に原料ガスが付着してパーティクルが発生することを防止するためのシールド22が設けられている。また、側壁部には、成膜時に成膜チャンバー2内の圧力を測定するための圧力計23が設けられている。さらに、成膜チャンバー2の底部には、ターボ分子ポンプ24が設けられ、成膜チャンバー内のガスを底部から排気可能に構成している。   A substrate mounting table 21 on which the substrate S is mounted is provided at a position facing the shower head structure 1 of the film forming chamber 2, and the substrate mounting table 21 is configured to be movable up and down. The substrate mounting table 21 is provided with a heating means, and can heat the substrate S mounted on the substrate mounting table 21 to a predetermined temperature. A shield 22 is provided on the side wall of the film forming chamber 2 to prevent the source gas from adhering to the wall surface and generating particles. Further, a pressure gauge 23 for measuring the pressure in the film forming chamber 2 at the time of film formation is provided on the side wall portion. Further, a turbo molecular pump 24 is provided at the bottom of the film forming chamber 2 so that the gas in the film forming chamber can be exhausted from the bottom.

ところで、図1に示した成膜装置を用いて極めて多量に基板を処理して成膜を行うと、非金属パイプ111の内側がエッチングされる場合がある。そこで、エッチングを抑制するために、同軸型共振キャビティ内を冷却する冷却ガス導入手段を有していることが好ましく、また、非金属パイプ111を、冷却用流体を流せる流路を形成した非金属(例えば、アルミナや石英)製の2重パイプに変更していることが好ましい。   By the way, when a very large amount of substrate is processed using the film forming apparatus shown in FIG. 1, the inside of the non-metallic pipe 111 may be etched. Therefore, in order to suppress the etching, it is preferable to have a cooling gas introducing means for cooling the inside of the coaxial resonance cavity, and the nonmetallic pipe 111 is formed with a non-metallic flow passage through which a cooling fluid can flow. It is preferable to change to a double pipe made of (for example, alumina or quartz).

この場合、冷却用流体は、マイクロ波の発振周波数に共振しない流体媒体であることが必要であり、例えば、発振周波数が2.45GHzの場合、3M社製・商品名フロリナートの、FC−87、FC−72、FC−84、FC−77、FC−75、FC−3283、FC−40、FC−43、FC−70、FC−5312や、アウジモント ソシエタ ペル アチオニ製・商品名ガルデン(登録商標)を用いることができる。また、エチレングリコールもしくはエチレングリコール主体とした液体媒体を用いることもできる。さらに、ドライNガス、ドライArガス、ドライHeガス、ドライOガスなどの気体を用いることもできる。 In this case, the cooling fluid needs to be a fluid medium that does not resonate with the oscillation frequency of the microwave. For example, when the oscillation frequency is 2.45 GHz, FC-87, manufactured by 3M Corporation, trade name Fluorinert, FC-72, FC-84, FC-77, FC-75, FC-3283, FC-40, FC-43, FC-70, FC-5212, made by Augmont Societa per Atoni Can be used. Also, a liquid medium mainly composed of ethylene glycol or ethylene glycol can be used. Furthermore, gases such as dry N 2 gas, dry Ar gas, dry He gas, and dry O 2 gas can also be used.

また、上記ではプラズマ生成手段を用いた装置について説明したが、反応ガスの流路に、加熱手段を備えた触媒金属からなるワイヤーを設けて、反応ガスを加熱したワイヤーに接触させ、励起されるように構成してもよい。また、上記ではプラズマ生成手段がシャワーヘッド構造に設けられていたが、例えば、成膜チャンバー2内にプラズマを生成し、成膜チャンバー2内で反応ガスをプラズマ化するように構成してもよい。   Moreover, although the apparatus using a plasma production | generation means was demonstrated above, the wire which consists of a catalyst metal provided with the heating means was provided in the flow path of the reaction gas, and the reaction gas was contacted with the heated wire and excited. You may comprise as follows. In the above description, the plasma generating means is provided in the shower head structure. However, for example, plasma may be generated in the film forming chamber 2 and the reaction gas may be converted into plasma in the film forming chamber 2. .

図1に示した成膜装置を用いたCVD法について、以下説明する。   A CVD method using the film forming apparatus shown in FIG. 1 will be described below.

基板Sを基板載置台21に載置し、基板載置台21に設けられた図示しない加熱手段により、基板載置台21の設定温度を260℃未満に設定し、基板Sを加温する。次いで、反応ガス導入手段15から、水素ガスを例えば200sccm導入しながら、ターボ分子ポンプ24の圧力バルブを調製して成膜チャンバー2内の圧力を400Paに昇圧し、数分間保持する。この昇圧保持の間に、基板を十分に加熱せしめて基板温度を200℃以上になるようにすれば、第1のCu膜の表面を還元できるという効果もある。この場合、特に250℃以上となっていることが好ましい。   The substrate S is mounted on the substrate mounting table 21, the set temperature of the substrate mounting table 21 is set to less than 260 ° C. by heating means (not shown) provided on the substrate mounting table 21, and the substrate S is heated. Next, while introducing 200 sccm of hydrogen gas from the reaction gas introduction means 15, the pressure valve of the turbo molecular pump 24 is prepared to increase the pressure in the film forming chamber 2 to 400 Pa and hold for several minutes. If the substrate is heated sufficiently during the boosting and holding so that the substrate temperature becomes 200 ° C. or higher, the surface of the first Cu film can be reduced. In this case, it is particularly preferable that the temperature is 250 ° C. or higher.

設定温度が260℃未満、好ましくは200〜250℃となるように加熱した後、水素ガスの供給を停止して、成膜チャンバー2内を引き切り状態にする。なお、この場合基板温度は設定温度より数℃〜十数℃低い。次いで、成膜チャンバー2内の圧力が700Paになるようにようにし、反応ガス導入手段15から反応ガスとしてのNガスを10〜2000sccm条件で非金属パイプ111(又は2重パイプ)へ導入する。同時に、マイクロ波供給手段14により投入パワーを0.1〜5kW(好ましくは0.5kW)としてマグネトロン141からマイクロ波が発振され、このマイクロ波が、同軸ケーブル144を通ってアンテナ143に到達し、同軸型共振キャビティ11内に供給され、Nガスが露出部111aの領域においてプラズマ状態に変化し、プラズマ化したNガスとして反応ガス導入室12へ供給される。 After heating so that the set temperature is less than 260 ° C., preferably 200 to 250 ° C., the supply of hydrogen gas is stopped, and the inside of the film forming chamber 2 is pulled out. In this case, the substrate temperature is lower than the set temperature by several degrees C. to several tens of degrees C. Next, the pressure in the film forming chamber 2 is set to 700 Pa, and N 2 gas as a reaction gas is introduced from the reaction gas introduction means 15 into the non-metallic pipe 111 (or double pipe) under the condition of 10 to 2000 sccm. . At the same time, a microwave is oscillated from the magnetron 141 with an input power of 0.1 to 5 kW (preferably 0.5 kW) by the microwave supply means 14, and this microwave reaches the antenna 143 through the coaxial cable 144, The N 2 gas is supplied into the coaxial resonance cavity 11 and changes into a plasma state in the region of the exposed portion 111a, and is supplied to the reaction gas introduction chamber 12 as plasma N 2 gas.

続いて、例えば原料タンク162内の原料Zr(BH)200gに対しArのバブリングガス100sccmを導入し、バブリングして得たZr(BH)ガスからなる原料ガスを、原料ガス導入管133から導入する。所定の成膜時間後(例えば2分)成膜を行った後、原料ガスの供給を停止する。マイクロ波の供給を停止し、Nガスの供給も停止し、その後、成膜チャンバー2を引き切り状態にする。 Subsequently, for example, 100 sccm of Ar bubbling gas is introduced into 200 g of the raw material Zr (BH 4 ) 4 in the raw material tank 162, and the raw material gas composed of Zr (BH 4 ) 4 gas obtained by bubbling is supplied to the raw material gas introduction pipe. Introduced from 133. After film formation is performed after a predetermined film formation time (for example, 2 minutes), the supply of the source gas is stopped. The supply of microwaves is stopped, the supply of N 2 gas is also stopped, and then the film formation chamber 2 is pulled out.

ALD法の場合、反応ガスと原料ガスとの供給のタイミングをずらすものであり、CVD法の場合とガス導入までは同一である。成膜チャンバー2内の圧力が700Paになるようにようにした後に、吸着工程を行う。まず、例えば原料タンク162内の原料Zr(BH)200gに対しArのバブリングガス100sccmを導入し、バブリングして得たZr(BH)ガスからなる原料ガスを、原料ガス導入管133から導入する。所定の時間、成膜対象物に対して吸着せしめた後、原料ガスの供給を停止する。次いで、反応工程を行う。反応ガス導入手段15から反応ガスとしてのNガスを10〜2000sccm条件で非金属パイプ111(又は2重パイプ)へ導入する。同時に、マイクロ波供給手段14により投入パワーを0.1〜5kW(好ましくは0.5kW)としてマグネトロン141からマイクロ波が発振され、このマイクロ波が、同軸ケーブル144を通ってアンテナ143に到達し、同軸型共振キャビティ11内に供給され、Nガスが露出部111aの領域においてプラズマ状態に変化し、プラズマ化したNガスとして反応ガス導入室12へ供給される。続いて、マイクロ波の供給を停止し、Nガスの供給も停止し、その後、吸着工程を再度行い、所望の厚さになるまで工程を繰り返す。なお、上記ではマイクロ波の供給を反応工程ごとに停止したが、吸着工程及び反応工程を通してマイクロ波を供給し続けてもよい。この場合も、上記のように、導電性材料上に成膜された部分では、ZrBN膜は導電性の性質を示し、絶縁性材料上に成膜された部分では、ZrBN膜は絶縁性の性質を示す。 In the case of the ALD method, the supply timing of the reaction gas and the raw material gas is shifted, and the process up to the gas introduction is the same as in the case of the CVD method. After the pressure in the film forming chamber 2 is set to 700 Pa, an adsorption process is performed. First, for example, 100 sccm of Ar bubbling gas is introduced into 200 g of the raw material Zr (BH 4 ) 4 in the raw material tank 162, and the raw material gas composed of Zr (BH 4 ) 4 gas obtained by bubbling is supplied to the raw material gas introduction pipe 133. Introduce from. After adsorbing the film formation target for a predetermined time, the supply of the source gas is stopped. Subsequently, a reaction process is performed. N 2 gas as a reaction gas is introduced from the reaction gas introduction means 15 into the non-metallic pipe 111 (or double pipe) under the condition of 10 to 2000 sccm. At the same time, a microwave is oscillated from the magnetron 141 with an input power of 0.1 to 5 kW (preferably 0.5 kW) by the microwave supply means 14, and this microwave reaches the antenna 143 through the coaxial cable 144, The N 2 gas is supplied into the coaxial resonance cavity 11 and changes into a plasma state in the region of the exposed portion 111a, and is supplied to the reaction gas introduction chamber 12 as plasma N 2 gas. Subsequently, the supply of microwaves is stopped, the supply of N 2 gas is also stopped, and then the adsorption process is performed again, and the process is repeated until a desired thickness is obtained. Although the microwave supply is stopped for each reaction process in the above, the microwave may be continuously supplied through the adsorption process and the reaction process. Also in this case, as described above, the ZrBN film exhibits the conductive property in the portion formed on the conductive material, and the ZrBN film has the insulating property in the portion formed on the insulating material. Indicates.

かかるZrBN膜をバリア膜として用いる多層配線構造について、図4を用いて以下説明する。図4(a)〜(d)は、多層配線構造の作製工程を説明するための多層配線構造の断面図である。図5(a)〜(e)に示された構成要素と同一の構成要素については、同一の符号を示す。   A multilayer wiring structure using such a ZrBN film as a barrier film will be described below with reference to FIG. FIGS. 4A to 4D are cross-sectional views of the multilayer wiring structure for explaining the manufacturing process of the multilayer wiring structure. The same components as those shown in FIGS. 5A to 5E are denoted by the same reference numerals.

まず、図5(a)に示された成膜対象物(図4(a)と同一のものである)に対して、上記のCVD法及びALD法に基づいてZrBN膜41をバリア膜として3〜20nm成膜する。   First, for the film formation target shown in FIG. 5A (the same as that shown in FIG. 4A), the ZrBN film 41 is used as a barrier film based on the above CVD method and ALD method. Form a film of ˜20 nm.

続いて、電気メッキ法等によりZrBN膜が形成されたホール56、配線溝57を埋設するように、かつ、第2の酸化シリコン膜55の平坦部551上にCu配線層59を形成する。このCu膜59表面に対してCMPにより表面平坦化処理を行い、配線溝57に埋め込まれずに配線溝から平坦部551の余分なCu配線材料を研磨する。ZrBN膜41は除去する必要がないので、これによって、従来のTa膜をバリア膜として用いた場合よりも簡易に、本発明の多層配線構造は作製することが可能である。また、得られた多層配線構造は、バリア膜が絶縁膜上に残ることがないので、性能が劣化しにくい。
以下、実験例により、本発明のバリア膜の物性について説明する。
Subsequently, a Cu wiring layer 59 is formed on the flat portion 551 of the second silicon oxide film 55 so as to fill the hole 56 and the wiring groove 57 in which the ZrBN film is formed by electroplating or the like. A surface flattening process is performed on the surface of the Cu film 59 by CMP, and excess Cu wiring material of the flat portion 551 is polished from the wiring groove without being embedded in the wiring groove 57. Since it is not necessary to remove the ZrBN film 41, the multilayer wiring structure of the present invention can be fabricated more simply than when a conventional Ta film is used as a barrier film. In addition, the obtained multilayer wiring structure is unlikely to deteriorate in performance because the barrier film does not remain on the insulating film.
Hereinafter, physical properties of the barrier film of the present invention will be described with reference to experimental examples.

(実験例1)
例えば原料タンク162内の原料Zr(BH)200gに対しArのバブリングガス100sccmを導入しバブリングして、Zr(BH)ガスからなる原料ガスを得て、マイクロ波パワー500W、成膜圧力700Paとし、その他の条件を以下に示すC1〜C5条件としてSiO膜上にZrBN膜を形成し、SEMによって膜厚を確認し、また、シート抵抗値及び比抵抗を測定した。
C1条件:反応ガスとしてHガス100sccm、成膜時間2分、載置台温度240℃
C2条件:反応ガスとしてNガス100sccm、成膜時間2分、載置台温度260℃
C3条件:反応ガスとしてNHガス100sccm、成膜時間2分、載置台温度240℃
C4条件:反応ガスとしてNガス100sccm、成膜時間8分、載置台温度240℃
C5条件:反応ガスとしてNガス100sccm、成膜時間2分、載置台温度240℃
C6条件:反応ガスとしてNガス100sccm、成膜時間8分、載置台温度210℃
C7条件:反応ガスとしてNガス100sccm、成膜時間8分、載置台温度230℃
結果を、表1に示す。なお、各単位は、膜厚がnm、シート抵抗値がΩ/□、比抵抗がμΩcmである。
(表1)

Figure 2008211079
(実験例2)
Cu膜上に、実験例1における条件C4及びC7と同一の条件でZrBN膜を形成し、それぞれ条件C8及びC9とした。得られたZrBN膜の膜厚をSEMによって確認し、また、得られたZrBN膜のシート抵抗値及び比抵抗を測定した。結果を表2に示す。
(表2)
Figure 2008211079
以上の各実験例の条件C4及びC7とC8及びC9とを比較すると、ZrBN膜の物性が下地層により変化すること、即ち、下層膜が絶縁物であるSiO膜である場合には、絶縁膜として機能し、他方で下層膜が導電性であるCu膜である場合には、導電膜として機能することが分かった。このことは、条件C6から、膜厚依存性がないことも明らかとなった。また、条件C2から温度が260℃となるとこのような選択性は現れず、また、条件C1及びC3からは、Nガス以外のガスではかかる選択性は現れないことがわかった。 (Experimental example 1)
For example, 100 g of Ar bubbling gas is introduced into 200 g of the raw material Zr (BH 4 ) 4 in the raw material tank 162 and bubbled to obtain a raw material gas composed of Zr (BH 4 ) 4 gas. A ZrBN film was formed on the SiO 2 film under a pressure of 700 Pa and other conditions as C1 to C5 shown below, the film thickness was confirmed by SEM, and the sheet resistance value and specific resistance were measured.
C1 conditions: H 2 gas 100 sccm as reaction gas, film formation time 2 minutes, mounting table temperature 240 ° C.
C2 condition: N 2 gas 100 sccm as reaction gas, film formation time 2 minutes, mounting table temperature 260 ° C.
C3 conditions: NH 3 gas 100 sccm as reaction gas, film formation time 2 minutes, mounting table temperature 240 ° C.
C4 condition: N 2 gas 100 sccm as reaction gas, film formation time 8 minutes, mounting table temperature 240 ° C.
C5 conditions: N 2 gas 100 sccm as reaction gas, film formation time 2 minutes, mounting table temperature 240 ° C.
C6 conditions: N 2 gas 100 sccm as reaction gas, film formation time 8 minutes, mounting table temperature 210 ° C.
C7 conditions: N 2 gas 100 sccm as reaction gas, film formation time 8 minutes, mounting table temperature 230 ° C.
The results are shown in Table 1. Each unit has a film thickness of nm, a sheet resistance value of Ω / □, and a specific resistance of μΩcm.
(Table 1)
Figure 2008211079
(Experimental example 2)
On the Cu film, a ZrBN film was formed under the same conditions as the conditions C4 and C7 in Experimental Example 1, and the conditions were C8 and C9, respectively. The film thickness of the obtained ZrBN film was confirmed by SEM, and the sheet resistance value and specific resistance of the obtained ZrBN film were measured. The results are shown in Table 2.
(Table 2)
Figure 2008211079
Comparing the conditions C4 and C7 with C8 and C9 in each of the above experimental examples, the physical properties of the ZrBN film change depending on the underlayer, that is, if the lower layer film is a SiO 2 film as an insulator, the insulation It has been found that when it is a Cu film that functions as a film and the lower layer film is conductive, it functions as a conductive film. This also became clear from the condition C6 that there was no film thickness dependency. Further, it has been found that such a selectivity does not appear when the temperature is 260 ° C. from the condition C2, and such a selectivity does not appear in the gas other than the N 2 gas from the conditions C1 and C3.

(実験例3)
実験例1のC4及び実験例2のC8により形成されたZrBN膜の組成をオージェ分析により確認した。結果を表3に示す。
(Experimental example 3)
The composition of the ZrBN film formed by C4 of Experimental Example 1 and C8 of Experimental Example 2 was confirmed by Auger analysis. The results are shown in Table 3.

(表3)

Figure 2008211079
表3により得られた膜の組成は、同一であり、下層膜によって組成が変わらないことが確認された。 (Table 3)
Figure 2008211079
The compositions of the films obtained from Table 3 were the same, and it was confirmed that the composition did not change depending on the lower layer film.

本発明によれば、CVD法又はALD法を実施して、ZrBNからなるバリア膜を形成できる。したがって、本発明は、半導体技術において利用可能である。   According to the present invention, a barrier film made of ZrBN can be formed by performing a CVD method or an ALD method. Therefore, the present invention can be used in semiconductor technology.

本発明の実施に用いられる成膜装置のシャワーヘッド構造1を説明するための断面模式図である。It is a cross-sectional schematic diagram for demonstrating the shower head structure 1 of the film-forming apparatus used for implementation of this invention. (a)リング状部材13b、(b)第1のシャワー板13c及び(c)第2のシャワー板13dの横断面図である。It is a cross-sectional view of (a) ring-shaped member 13b, (b) first shower plate 13c and (c) second shower plate 13d. 原料ガス導入管133、ガス通路134及び原料ガス拡散室135の配置関係を説明するための説明図である。FIG. 5 is an explanatory diagram for explaining an arrangement relationship of a source gas introduction pipe 133, a gas passage 134, and a source gas diffusion chamber 135. (a)〜(d)は、本発明の多層配線構造の作製工程を説明するための断面図である。(A)-(d) is sectional drawing for demonstrating the manufacturing process of the multilayer wiring structure of this invention. (a)〜(e)は、従来の多層配線構造の作製工程を説明するための断面図である。(A)-(e) is sectional drawing for demonstrating the manufacturing process of the conventional multilayer wiring structure.

符号の説明Explanation of symbols

1 シャワーヘッド構造
2 成膜チャンバー
11 同軸型共振キャビティ
12 ガス導入室
13 シャワーヘッド部
13a 円盤状部材
13b リング状部材
13c シャワー板
13d シャワー板
14 マイクロ波供給手段
15 反応ガス導入手段
16 原料ガス導入手段
21 基板載置台
22 シールド
23 圧力計
24 ターボ分子ポンプ
41 ZrBN膜
51 Cu膜
52 キャップ層
53 第1シリコン酸化膜
54 窒化タンタル膜
55 第2シリコン酸化膜
56 ホール
57 配線溝
58 Ta膜
59 銅膜
111 非金属パイプ
111a 露出部
112 上部導体
113 下部導体
122 セラミックフランジ
123 固定具
123,124 固定具
131 反応ガス拡散室
132 反応ガス噴出孔
132 各反応ガス噴出孔
133 前記原料ガス導入管
133 原料ガス導入管
134 ガス通路
134a、134b ガス通路
134c、134d 接続孔
135 原料ガス拡散室
136 原料ガス噴出孔
141 マグネトロン
142 マイクロ波電源
143 アンテナ
144 同軸ケーブル
151 ガス管
152 流量制御手段
161 冷却ジャケット
162 原料タンク
163 マスフローコントローラー
551 平坦部
S 基板
DESCRIPTION OF SYMBOLS 1 Shower head structure 2 Film-forming chamber 11 Coaxial resonance cavity 12 Gas introduction chamber 13 Shower head part 13a Disc-shaped member 13b Ring-shaped member 13c Shower plate 13d Shower plate 14 Microwave supply means 15 Reactive gas introduction means 16 Raw material gas introduction means 16 21 substrate mounting table 22 shield 23 pressure gauge 24 turbo molecular pump 41 ZrBN film 51 Cu film 52 cap layer 53 first silicon oxide film 54 tantalum nitride film 55 second silicon oxide film 56 hole 57 wiring groove 58 Ta film 59 copper film 111 Non-metallic pipe 111 a Exposed portion 112 Upper conductor 113 Lower conductor 122 Ceramic flange 123 Fixtures 123 and 124 Fixture 131 Reactive gas diffusion chamber 132 Reactive gas ejection hole 132 Reactive gas ejection hole 133 The source gas introduction pipe 133 Gas gas introduction pipe 134 Gas passages 134a, 134b Gas passages 134c, 134d Connection hole 135 Raw material gas diffusion chamber 136 Raw material gas ejection hole 141 Magnetron 142 Microwave power supply 143 Antenna 144 Coaxial cable 151 Gas pipe 152 Flow rate control means 161 Cooling jacket 162 Raw material Tank 163 Mass flow controller 551 Flat part S Substrate

Claims (9)

ホール及び配線溝が形成されている絶縁膜を有する成膜対象物の表面上で、CVD法により、Zr(BH)ガスからなる原料ガスと、Nガスからなる反応ガスを励起手段によって励起せしめて得られたガスとを反応させ、バリア膜としてのZrBN膜を形成することを特徴とするバリア膜の形成方法。 On the surface of the film formation target having an insulating film in which holes and wiring grooves are formed, a source gas composed of Zr (BH 4 ) 4 gas and a reaction gas composed of N 2 gas are excited by a CVD method using an excitation means. A method for forming a barrier film, comprising reacting a gas obtained by excitation to form a ZrBN film as a barrier film. Zr(BH)ガスからなる原料ガスのみ供給する吸着工程と、Nガスからなる反応ガスを励起手段によって励起せしめて得られたガスのみ供給する反応工程とを繰り返し、吸着工程でホール及び配線溝が形成されている絶縁膜を有する成膜対象物の表面に原料ガスを吸着させ、反応工程で吸着した原料ガスと励起された反応ガスとを反応させ、ALD法により、バリア膜としてのZrBN膜を形成することを特徴とするバリア膜の形成方法。 The adsorption process for supplying only the raw material gas consisting of Zr (BH 4 ) 4 gas and the reaction process for supplying only the gas obtained by exciting the reaction gas consisting of N 2 gas by the excitation means are repeated. A source gas is adsorbed on the surface of a film formation target having an insulating film in which a wiring groove is formed, and the source gas adsorbed in the reaction step is reacted with the excited reaction gas. A method for forming a barrier film, comprising forming a ZrBN film. 前記成膜対象物である基板を載置している載置台の設定温度を260℃未満として、基板を加熱しながらZrBN膜を形成することを特徴とする請求項1又は2に記載のバリア膜の形成方法。   3. The barrier film according to claim 1, wherein the ZrBN film is formed while heating the substrate, with a set temperature of the mounting table on which the substrate, which is the film formation target, being placed, being less than 260 ° C. 3. Forming method. 請求項1〜3のいずれかに記載されたバリア膜の形成方法により形成されたことを特徴とするバリア膜。   A barrier film formed by the method for forming a barrier film according to claim 1. 基板上に、少なくとも、配線膜、キャップ層、第1の絶縁膜及び第2の絶縁膜がこの順で積層され、これらの積層膜に、配線膜と接続するホール及び配線溝が形成されている多層配線構造のホール及び配線溝を含んだ表面上で、CVD法により、Zr(BH)ガスからなる原料ガスと、Nガスからなる反応ガスを励起手段によって励起せしめて得られたガスとを反応させ、バリア膜としてのZrBN膜を形成し、次いで、ZrBN膜が形成されたホール及び配線溝に銅配線を埋め込むことを特徴とする多層配線構造の作製方法。 On the substrate, at least a wiring film, a cap layer, a first insulating film, and a second insulating film are laminated in this order, and holes and wiring grooves connected to the wiring film are formed in these laminated films. A gas obtained by exciting a source gas composed of Zr (BH 4 ) 4 gas and a reactive gas composed of N 2 gas by the excitation means on the surface including the holes and wiring grooves of the multilayer wiring structure by the CVD method. And forming a ZrBN film as a barrier film, and then embedding a copper wiring in the hole and wiring groove in which the ZrBN film is formed. 基板上に、少なくとも、配線膜、キャップ層、第1の絶縁膜及び第2の絶縁膜がこの順で積層され、これらの積層膜に配線膜と接続するホール及び配線溝が形成された多層配線構造のホール及び配線溝を含む表面に、Zr(BH)ガスからなる原料ガスのみ供給する吸着工程と、Nガスからなる反応ガスを励起手段によって励起せしめて得られたガスのみ供給する反応工程とを繰り返して、吸着工程で原料ガスを吸着させ、反応工程で吸着した原料ガスと励起された反応ガスとを反応させて、ALD法により、ZrBN膜を形成し、次いで、ZrBN膜が形成されたホール及び配線溝に銅配線を埋め込むことを特徴とする多層配線構造の作製方法。 A multilayer wiring in which at least a wiring film, a cap layer, a first insulating film, and a second insulating film are laminated in this order on the substrate, and holes and wiring grooves connected to the wiring film are formed in these laminated films. An adsorption process for supplying only a source gas composed of Zr (BH 4 ) 4 gas and a gas obtained by exciting a reaction gas composed of N 2 gas by an excitation means are supplied to the surface including the holes and wiring grooves of the structure. The reaction step is repeated, the source gas is adsorbed in the adsorption step, the source gas adsorbed in the reaction step is reacted with the excited reaction gas, a ZrBN film is formed by the ALD method, and then the ZrBN film is A method of manufacturing a multilayer wiring structure, wherein a copper wiring is embedded in the formed hole and wiring groove. 前記バリア膜の形成において、成膜対象物である基板を載置している載置台の設定温度を260℃未満として、基板を加熱しながらZrBN膜を形成することを特徴とする請求項5又は6に記載の多層配線構造の作製方法。   6. The formation of the barrier film, wherein the ZrBN film is formed while heating the substrate, with the set temperature of the mounting table on which the substrate, which is the film formation target, being mounted being set to less than 260 ° C. 6. A method for producing a multilayer wiring structure according to 6. 基板上に、少なくとも、配線膜、キャップ層、第1の絶縁膜及び第2の絶縁膜がこの順で積層され、これらの積層膜に配線膜と接続するホール及び配線溝が形成されている多層配線構造に、ホール及び配線溝を含んだ表面上にバリア膜としてZrBN膜が形成され、ZrBN膜が形成されたホール及び配線溝に銅配線が埋め込まれてなることを特徴とする多層配線構造。   A multilayer in which at least a wiring film, a cap layer, a first insulating film, and a second insulating film are laminated in this order on a substrate, and holes and wiring grooves connected to the wiring film are formed in these laminated films. A multilayer wiring structure comprising a wiring structure in which a ZrBN film is formed as a barrier film on a surface including holes and wiring grooves, and copper wiring is embedded in the holes and wiring grooves formed with the ZrBN film. 前記多層配線構造が、請求項5〜7のいずれかの多層配線構造の作製方法により作製されたことを特徴とする多層配線構造。
A multilayer wiring structure produced by the method for producing a multilayer wiring structure according to claim 5.
JP2007047944A 2007-02-27 2007-02-27 Barrier film and forming method thereof, and multilayer interconnection structure and manufacturing method thereof Pending JP2008211079A (en)

Priority Applications (9)

Application Number Priority Date Filing Date Title
JP2007047944A JP2008211079A (en) 2007-02-27 2007-02-27 Barrier film and forming method thereof, and multilayer interconnection structure and manufacturing method thereof
KR1020097020079A KR101181389B1 (en) 2007-02-27 2008-02-25 Semiconductor device manufacturing method and semiconductor device manufacturing apparatus
PCT/JP2008/053163 WO2008105360A1 (en) 2007-02-27 2008-02-25 Semiconductor device manufacturing method and semiconductor device manufacturing apparatus
KR1020117019031A KR20110099064A (en) 2007-02-27 2008-02-25 Semiconductor device manufacturing method and semiconductor device manufacturing apparatus
US12/528,811 US8043963B2 (en) 2007-02-27 2008-02-25 Semiconductor device manufacturing method and semiconductor device manufacturing apparatus
CN2011102702679A CN102290372A (en) 2007-02-27 2008-02-25 Semiconductor device manufacturing method and semiconductor device manufacturing apparatus
CN2008800062867A CN101627459B (en) 2007-02-27 2008-02-25 Semiconductor device manufacturing method and semiconductor device manufacturing apparatus
TW097106539A TWI392025B (en) 2007-02-27 2008-02-26 Method and apparatus for manufacturing semiconductor device
US13/273,612 US8367542B2 (en) 2007-02-27 2011-10-14 Semiconductor device manufacturing method and semiconductor device manufacturing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007047944A JP2008211079A (en) 2007-02-27 2007-02-27 Barrier film and forming method thereof, and multilayer interconnection structure and manufacturing method thereof

Publications (1)

Publication Number Publication Date
JP2008211079A true JP2008211079A (en) 2008-09-11

Family

ID=39787111

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007047944A Pending JP2008211079A (en) 2007-02-27 2007-02-27 Barrier film and forming method thereof, and multilayer interconnection structure and manufacturing method thereof

Country Status (1)

Country Link
JP (1) JP2008211079A (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010010625A (en) * 2008-06-30 2010-01-14 Ulvac Japan Ltd Manufacturing apparatus of semiconductor device and manufacturing method of semiconductor device
WO2011059036A1 (en) * 2009-11-12 2011-05-19 株式会社 アルバック Semiconductor device manufacturing method
WO2011059035A1 (en) * 2009-11-12 2011-05-19 株式会社 アルバック Semiconductor device manufacturing method
JP2012142359A (en) * 2010-12-28 2012-07-26 Ulvac Japan Ltd Dielectric film forming method, and dielectric film forming apparatus
JP2012238644A (en) * 2011-05-10 2012-12-06 Ulvac Japan Ltd ZrBO FILM FORMATION DEVICE
JP2013219146A (en) * 2012-04-06 2013-10-24 Ulvac Japan Ltd Semiconductor device manufacturing method
JP2015119069A (en) * 2013-12-19 2015-06-25 東京エレクトロン株式会社 Substrate processing apparatus

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4239536A (en) * 1977-09-09 1980-12-16 Sumitomo Electric Industries, Ltd. Surface-coated sintered hard body
JP2003017496A (en) * 2001-04-27 2003-01-17 Fujitsu Ltd Semiconductor device and method for manufacturing the same
WO2004055235A1 (en) * 2002-12-13 2004-07-01 Walter Ag Method for chemical vapour deposition (cvd) of zrbxcynz (or x+y+z=1) layers and a cutting tool coated with said layer
JP2004259753A (en) * 2003-02-24 2004-09-16 Fujitsu Ltd Semiconductor device and its manufacturing method
JP2006009144A (en) * 2004-05-21 2006-01-12 Ulvac Japan Ltd Vacuum film-forming apparatus
JP2006057162A (en) * 2004-08-23 2006-03-02 Ulvac Japan Ltd Method for forming barrier film
WO2008056742A1 (en) * 2006-11-09 2008-05-15 Ulvac, Inc. Barrier film forming method

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4239536A (en) * 1977-09-09 1980-12-16 Sumitomo Electric Industries, Ltd. Surface-coated sintered hard body
JP2003017496A (en) * 2001-04-27 2003-01-17 Fujitsu Ltd Semiconductor device and method for manufacturing the same
WO2004055235A1 (en) * 2002-12-13 2004-07-01 Walter Ag Method for chemical vapour deposition (cvd) of zrbxcynz (or x+y+z=1) layers and a cutting tool coated with said layer
JP2004259753A (en) * 2003-02-24 2004-09-16 Fujitsu Ltd Semiconductor device and its manufacturing method
JP2006009144A (en) * 2004-05-21 2006-01-12 Ulvac Japan Ltd Vacuum film-forming apparatus
JP2006057162A (en) * 2004-08-23 2006-03-02 Ulvac Japan Ltd Method for forming barrier film
WO2008056742A1 (en) * 2006-11-09 2008-05-15 Ulvac, Inc. Barrier film forming method

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010010625A (en) * 2008-06-30 2010-01-14 Ulvac Japan Ltd Manufacturing apparatus of semiconductor device and manufacturing method of semiconductor device
WO2011059036A1 (en) * 2009-11-12 2011-05-19 株式会社 アルバック Semiconductor device manufacturing method
WO2011059035A1 (en) * 2009-11-12 2011-05-19 株式会社 アルバック Semiconductor device manufacturing method
JPWO2011059036A1 (en) * 2009-11-12 2013-04-04 株式会社アルバック Manufacturing method of semiconductor device
JP5362029B2 (en) * 2009-11-12 2013-12-11 株式会社アルバック Manufacturing method of semiconductor device
JP2012142359A (en) * 2010-12-28 2012-07-26 Ulvac Japan Ltd Dielectric film forming method, and dielectric film forming apparatus
JP2012238644A (en) * 2011-05-10 2012-12-06 Ulvac Japan Ltd ZrBO FILM FORMATION DEVICE
JP2013219146A (en) * 2012-04-06 2013-10-24 Ulvac Japan Ltd Semiconductor device manufacturing method
JP2015119069A (en) * 2013-12-19 2015-06-25 東京エレクトロン株式会社 Substrate processing apparatus

Similar Documents

Publication Publication Date Title
TWI392025B (en) Method and apparatus for manufacturing semiconductor device
JP5275038B2 (en) Formation method of barrier film
JP5392215B2 (en) Film forming method and film forming apparatus
JP2008211079A (en) Barrier film and forming method thereof, and multilayer interconnection structure and manufacturing method thereof
JP5969306B2 (en) Method for forming Cu wiring
TWI476294B (en) Method of depositing dielectric films using microwave plasma
JP5082411B2 (en) Deposition method
US10096548B2 (en) Method of manufacturing Cu wiring
EP2863416A1 (en) Method for etching copper layer
JP3761474B2 (en) Plasma processing equipment
TW202104640A (en) Methods and apparatus for filling a feature disposed in a substrate
WO2013125647A1 (en) Semiconductor-device manufacturing method and semiconductor device
US8691709B2 (en) Method of forming metal carbide barrier layers for fluorocarbon films
TWI469199B (en) Method for controlling dangling bonds in fluorocarbon films
KR20160138078A (en) Film forming method, semiconductor device manufacturing method, and semiconductor device
JP2004083944A (en) Method for manufacturing metallic film and apparatus for manufacturing metallic film
JPH1074708A (en) Formation of copper wiring

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20091207

RD01 Notification of change of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7421

Effective date: 20091207

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20091207

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121017

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121211

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130220

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130911