JP2008177564A - ディープサブミクロントランジスタ技術用のhigh−k/金属ゲートスタックをパッシベートするためのFベースのゲートエッチングの使用 - Google Patents

ディープサブミクロントランジスタ技術用のhigh−k/金属ゲートスタックをパッシベートするためのFベースのゲートエッチングの使用 Download PDF

Info

Publication number
JP2008177564A
JP2008177564A JP2008003462A JP2008003462A JP2008177564A JP 2008177564 A JP2008177564 A JP 2008177564A JP 2008003462 A JP2008003462 A JP 2008003462A JP 2008003462 A JP2008003462 A JP 2008003462A JP 2008177564 A JP2008177564 A JP 2008177564A
Authority
JP
Japan
Prior art keywords
layer
fluorine
gate
gate stack
containing plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008003462A
Other languages
English (en)
Other versions
JP5435530B2 (ja
Inventor
Nadine Collaert
ナディーネ・コラエルト
Paul Zimmerman
ポール・ジマーマン
Marc Demand
マルク・ドゥマン
Werner Boullart
ウェルナー・ボウラルト
Adelina K Shickova
アデリナ・ク・シコヴァ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Katholieke Universiteit Leuven
Interuniversitair Microelektronica Centrum vzw IMEC
Original Assignee
Katholieke Universiteit Leuven
Interuniversitair Microelektronica Centrum vzw IMEC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Katholieke Universiteit Leuven, Interuniversitair Microelektronica Centrum vzw IMEC filed Critical Katholieke Universiteit Leuven
Publication of JP2008177564A publication Critical patent/JP2008177564A/ja
Application granted granted Critical
Publication of JP5435530B2 publication Critical patent/JP5435530B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28097Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a metallic silicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28176Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28247Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon passivation or protection of the electrode, e.g. using re-oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • H01L29/4958Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo with a multiple layer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • H01L29/4975Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2 being a silicide layer, e.g. TiSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)

Abstract

【課題】プレーナ装置またはマルチゲート装置(MuGFET)のHfベースの誘電体ゲートスタックに、フッ素を導入し、負バイアス温度安定性および正バイアス温度安定性(NBTIおよびPBTI)を大幅に改良する、新規で、効果的で、費用対効果の高い方法を提供する。
【解決手段】新規な方法は、フッ素を導入するためにSFベースの金属エッチング化学反応を用い、これにより標準プロセスフローの熱量の後に、界面の優秀なHパッシベーションが得られる。この方法の優位点は、この方法がFを導入するための金属ゲートエッチングを用いて、追加の注入や処理が不要であることである。新たな方法を用いた大幅なBTIの改良に加えて、MuGFET装置において、より良いVthの制御性と増加した駆動電流を得ることができる。
【選択図】図1

Description

好適な具体例は、プレーナ装置およびマルチゲート装置のゲートパターニングの分野に関する。
好適な具体例は、特に、ゲートスタックのパターニング中にゲートスタック中に、同時にフッ素を導入することに関する。
好適な具体例は、更に、増加した寿命を有し、大幅にバイアス温度不安定性(BTI:Bias Temperature instability)を改良した半導体装置の製造に関する。
好適な具体例では、特に、短いチャネルの装置に対して有益である。
小型化されたCMOS技術(45nmノードまたはそれ以下)では、high−kおよび金属ゲート材料の導入により、装置のきびしい閾値電圧の不安定さや性能の低下による、大きな困難に直面する。この問題は、多量のバルク欠陥と、high−k/金属ゲートスタックの界面状態に関連する。加えて、ゲート誘電体の、優先的な横方向の再酸化は、特に、短いチャネルの装置にとって重大な問題である。誘電体の適当なパッシベーション(保護)は、この問題を解決するために重要である。
界面およびバルクの欠陥を低減するための可能な手段として、フッ素によるHfベースのhigh−k誘電体材料のパッシベーションは、Hのパッシベーションに代わって魅力的であり、多く研究された。この理由は、Fとの間で形成されるHfやSiのボンドは、Hf−HやSi−Hのボンドに比較してずっと強力であることである。形成されたボンドの強度は、ディープサブミクロンのデバイスの標準的な高温CMOSプロセスを通った場合でもパッシベーションが維持され、より強固な欠陥パッシベーションは通常のデバイス操作条件ではよりよい耐性を持ち、BTIの性質を改良する。
フッ素を導入するための従来の方法は、注入技術による手段である。しかしながら、ゲートスタック成長中の多くの注入が、誘電体全体をパッシベートするために必要とされる。注入技術を用いた、high−kスタックを含むHfO中へのフッ素の取り込みが、Seo らにより開示されている(IEDM Technical Digest, p.647-650, 2005)。しかしながら、ゲートスタック形成中のフッ層の注入は、Mogul et al (IEEE Tans. Electron Dev., pp. 388-394, 1997)に記載されたように、制御できない酸化物の再成長を招くかもしれない。
結論として、high−k誘電体材料中にフッ素を取り込む(パッシベーション)ための、より魅力的な方法が、未だ必要とされる。
IEDM Technical Digest, p. 647-650, 2005 IEEE Tans. Electron Dev., pp. 388-394, 1997
本発明は、high−k材料中にフッ素を取り込むことにより、特にSi−HボンドおよびHf−HボンドをSi−FボンドおよびHf−Fにより(特にシリコン基板とHfOの界面で)取り込みまたは置き換えることにより、HfOのようなhigh−k材料を含む半導体装置の寿命と性能を向上させるための方法を提供する。
本発明は、high−k誘電体中にフッ素を導入するための新規な方法を提供する。
新規な方法は、反応性の高いFイオンとラジカルを、金属ゲートのエッチング中に導入することによる。
更に、本発明は、追加の処理工程を必要とせずにフッ素パッシベーションの全ての利益を提供できる、high−k誘電体にフッ素を導入する費用対効果の高い方法を提供する。
本発明は、hikg−k(即ち、SiOの誘電率より高い誘電率、k>kSiO2)を有する誘電体層のフッ素パッシベーション(または誘電体層中へのフッ素の導入)を行う方法を提供する。かかる方法は、
少なくともチャネル層(または基板、例えばSi、SOI)、high−k誘電体層、および金属ゲート層を含む(または、からなる)ゲートスタック層を提供する工程と、
炭素を含まないフッ素含有プラズマに、ゲートスタック層を晒す工程と、
晒されたゲートスタック層を、700℃より高い温度で熱処理する工程とを含む。
好適には、本発明の方法では、フッ素含有プラズマは、炭素化合物を含まないプラズマであり、ゲート構造のパターニング(エッチング)中にゲート構造の側壁上に炭素が堆積するのを避ける。
好適には、本発明の方法では、フッ素含有プラズマにゲートスタック層を晒す工程は、ゲートスタック層のパターニング中に行われる。
好適には、本発明の方法では、フッ素含有プラズマにゲートスタック層を晒す工程は、ゲースタック層のドライエッチングと同時またはその後(直後)に行われる。
好適には、ゲートスタック層をドライエッチングする工程と、フッ素含有プラズマにゲートスタックを晒す工程とは、連続して行われる。
好適には、本発明の方法では、フッ素含有プラズマにゲートスタック層を晒す工程は、フッ素含有プラズマを用いた金属ゲートのドライエッチング工程からなる。
好適には、フッ素含有プラズマは、SF含有プラズマである。
更に好適には、フッ素含有プラズマは、更にOを含む。
更に好適には、フッ素含有プラズマは、更にNを含む。
好適には、フッ素含有プラズマは、SFとOの混合物を含む(または、からなる)。
好適には、本発明の方法では、フッ素含有プラズマは、SFとNの混合物を含む(または、からなる)。
好適には、本発明の方法では、フッ素含有プラズマにゲートスタックを晒す工程に先立って、BCl含有プラズマにゲートスタック層を晒す。
更に好適には、本発明の方法では、フッ素含有プラズマにゲートスタックを晒す工程に先立って、BCl含有プラズマを用いて、金属ゲートがエッチングされ、好適には部分的にエッチングされる。
更に好適には、好適には金属ゲート層の初期膜厚の10%から50%である残った金属ゲート層が、フッ素含有プラズマを用いてエッチングされる。
換言すれば、本発明の方法では、金属ゲート層の膜厚の10%から50%が残された場合にBCl含有プラズマに晒す工程が停止し、続いてフッ素含有プラズマに晒される間に、金属ゲート層の膜厚の10%から50%が、更にフッ素含有プラズマによりエッチングされる。
更に好適には、BCl含有プラズマは、更にClを含む。
好適には、本発明の方法では、ゲートスタック層は、更に金属ゲート層の上に多結晶Si(Pおly−Si)のようなキャップ層を含む。
好適には、本発明の方法では、金属ゲート層は、TiN、TaN、TiN/TaN、TaC、WN、TiW、WSi、MoSi、Mo、Ti、またはWを含む(または、からなる)層である。
更に好適には、金属ゲート層は、TiN、TaN、TiN/TaN、またはWを含む(または、からなる)層である。
好適には、金属ゲート層は、デュアルメタルゲートである。
好適には、誘電体層は、Hfを含む層である。
更に好適には、Hfを含む層は、HfOを含む(または、からなる)層である。
更に好適には、Hfを含む層は、ハフニウムシリコン酸化物(HfSi)を含む(または、からなる)層である。
好適には、チャネル層は、少なくともSiを含む(または、好適にはからなる)。
好適には、チャネル層は、少なくともGeを含む(または、好適にはからなる)。
好適には、チャネル層は、少なくともIII/V族元素を含む(または、好適にはからなる)。
好適には、熱処理は、少なくとも2分間行われる。
好適には、本発明の方法では、熱処理工程は、選択エピタキシャル成長(SEG)プロセス中、または急速熱アニール(RTA)工程中に行われる。
更に好適には、熱処理工程は、選択エピタキシャル成長(SEG)プロセス中に行われる。
好適には、本発明にかかる方法は、半導体装置の製造のために使用される。
更に好適には、半導体装置は、マルチゲート装置(例えばFinFET)であり、そのチャネル層はフィンである。
本発明の他の目的は、本発明にかかる方法を用いて半導体装置を得ることである。
更に好適には、半導体装置は、FinFETのようなマルチゲート装置である。
本発明の内容中で使用される「high−k」の用語は、半導体の分野で良く知られた意味に用いられる。
更に好適には、「high−k」の用語は、SiOの誘電率より高い誘電率、k>kSiO2の意味に用いられる。
好ましい具体例の目的は、high−k材料中にフッ素を取り込むことにより、更に、Si−FボンドおよびHf−FボンドによりSi−HボンドおよびHf−Hボンドを取り込み、または(特にシリコン基板とHfOの界面において)置き換えることにより、HfOのようなhigh−k材料を含む半導体装置の寿命と特性を向上させることである。
好ましい具体例の目的は、high−k誘電体中にフッ素を導入する新しい方法を提供することである。新規な方法は、金属ゲートエッチング中に、高反応性のFイオンとラディカルを導入することによる。
更に、好ましい具体例の目的は、追加の処理工程を必要とせず、フッ素パッシベーションの全ての利益が得られる、high−k誘電体にフッ素を導入する費用対効果の高い方法を提供である。
好適な具体例では、半導体装置のゲートスタックをパターニングする方法が提供される。
半導体装置は、プレーナまたはマルチゲート半導体装置のいずれでも良い。
ゲートスタックは、少なくとも金属ゲート層とhigh−k誘電体層を含む。
選択的に、ゲートスタックは、更に、金属ゲート層の上にキャップ層(例えば多結晶Si)を含んでも良い。
ゲートスタックの層の少なくとも1つをパターニングする間、high−k誘電体層がフッ化される。
方法は、少なくともゲート誘電体層と、ゲート誘電体層の上の金属ゲート層と、ゲート誘電体層の下のチャネル層とを含む層からなるスタックを提供する工程から始まる。
本発明の方法では、金属ゲート層は、TiN、TaN、TiN/TaN、TaC、WN、TiW、WSi、MoSi、Mo、Ti、またはWを含む(またはからなる)層である。
好適な具体例によれば、金属ゲート層は、TiN、TaN、TiN/TaN、またはWを含有層(からなる層)である。
好適な具体例では、high−k誘電体層は、例えばHfOまたはハフニウムシリコン酸化物(HfSi)のようなHf含有層である。
好適な具体例によれば、チャネル層は、少なくともSi、Ge、またはIII/V族元素の組から選択される。
半導体装置がマルチゲート装置(例えばFinFET)の場合、チャネル層はフィンでよい。
好適な具体例の方法は、ドライエッチングの手段によりゲートスタック層をパターニングし、パターニング中に、少なくとも1つのドライエッチング工程および/またはフッ素含有プラズマを用いた露出工程を行い、フッ素がゲートスタック層の中に取り込まれる。
好適な具体例では、フッ素含有プラズマは、SF/OのようなSF含有プラズマである。
好適な具体例では、フッ素含有プラズマは、SF/NのようなSF含有プラズマである。
ゲートスタックのパターニング後に、好適には、ゲートスタック層中のフッ素を活性化するために熱処理が行われ、フッ素がhigh−k誘電体層中に拡散され、ゲートスタック層の間の界面にFボンドが形成される。
好適な具体例では、熱処理工程は、700℃より高い温度で行われる。
好適な具体例では、熱処理工程は、700℃より高い温度で数分間(又は少なくとも2分間)行われる。
好適な具体例では、熱処理工程は、選択エピタキシャル成長(SEG)プロセス中に行われる、これは、特に、マルチゲート装置(MugFET、FinFET等)のようなノンプレーナ装置に魅力的であり、これにより標準SEG処理工程が、フィンの再成長に使用される。SEG中有にフッ素は拡散でき、high−k誘電体と結びつく(例えば、Hf−Fボンドを界面に形成する)。
更に、上述の方法の使用により、少なくとも1つの金属ゲートとhigh−k誘電体層を含むゲートスタックがエッチングされ、フッ素がゲートスタック層中に取り込まれ、ゲートスタック層の間の界面に安定なFボンドが実現される。
また、上記好適な具体例にかかる方法により得られる半導体装置が提供される。
第1の態様では、半導体装置中にゲートスタックをパターニングする方法が提供される。このゲートスタックは、少なくとも金属ゲート層とhigh−k誘電体層を含み、これによりゲートスタックの層の少なくとも1つをパターニングする間に、high−k誘電体層がフッ化されて、ダングリングボンドがパッシベートされる。この方法は、少なくとも、少なくともゲート誘電体層とゲート誘電体層の上の金属ゲート層と、ゲート誘電体層の下のチャネル層とを含む層からなるスタックを提供する工程と、ドライエッチングの手段でゲートスタック層をパターニングし、パターニング中に、少なくとも1つのドライエッチング工程および/またはフッ素含有プラズマを用いた露出工程が行われ、ゲートスタック層中にフッ素が取り込まれる工程と、ゲートスタック層中のフッ素を活性化して、フッ素をhigh−k誘電体層中に拡散させ、ゲートスタック層の間の界面で安定したFボンドを形成する熱処理工程と、を含む。
第1の態様の具体例では、半導体装置は、プレーナまたはマルチゲートの半導体装置である。
本発明の方法では、金属ゲート層は、TiN、TaN、TiN/TaN、TaC、WN、TiW、WSi、MoSi、Mo、Ti、またはWを含む(またはからなる)層であっても良い。
第1の態様の具体例では、金属ゲート層は、TiN、TaN、TiN/TaN、またはWを含有層(からなる層)である。
第1の態様の具体例では、high−k誘電体層は、例えばHfOまたはハフニウムシリコン酸化物(HfSi)のようなHf含有層である。
第1の態様の具体例では、チャネル層は、少なくともSi、Ge、またはIII/V族元素の組から選択される。
第1の態様の具体例では、フッ素含有プラズマは、SF/OのようなSF含有プラズマである。
第1の態様の具体例では、フッ素含有プラズマは、SF/NのようなSF含有プラズマである。
第1の態様の具体例では、熱処理工程は、700℃より高い温度で行われる。
第1の態様の具体例では、熱処理工程は、700℃より高い温度で数分間(又は少なくとも2分間)行われる。
第1の態様の具体例では、熱処理工程は、選択エピタキシャル成長プロセス中に行われる。
第1の態様の具体例では、ゲートスタックは更に、金属ゲート層の上にキャップ層(例えば多結晶Si)を含む。
少なくとも1つの金属ゲートとhigh−k誘電体層とを含むゲートスタックをエッチングし、これによって、フッ素をゲートスタック層中に取り込み、ゲートスタック層の間の界面に安定したFボンドを形成する、上記態様または具体例のいずれかにかかる方法の使用を提供する。
上記態様や具体例のいずれかにかかる方法によって得られた半導体装置が提供される。
以下の記載は、ゲートスタック層のパターニング中にフッ素を取り込むことによりhigh−k誘電体層をパッシベートする方法を示す。多くの変形や修正が可能であることが認識される。
好適な具体例は、新しい、効果的な、そして費用対効果のある、high−k誘電体材料を含むスタックにフッ素を導入する方法に関し、high−kは、プレーナ半導体装置またはマルチゲート半導体装置(MuGFET)の一部であるスタックを含む。
好適な具体例の方法は、更に、負(ネガティブ)および正(ポジティブ)のバイアス温度安定性(NBTIおよびPBTI)の双方を十分に改良する。
好適な具体例では、high−k誘電体材料またはゲート誘電体は、好適には、例えばHfOのようなHfベース材料である。high−kを含むスタックは、更に、ゲート電極を含み、ゲート電極は好適には金属ゲートであり、金属ゲートの好ましい例は、TiN、TiN/TaNまたはTaNであるが、好適な具体例はそれらの金属ゲート層のみに限定されるものではない。
好適な具体例の方法は、Fをhigh−k誘電体に導入するために、フッ素含有プラズマ(例えば、SFベースの金属ゲートエッチング化学反応)を使用し、これにより、標準プロセスフロー中の熱処理の後に、ゲートスタック層の界面において優れたFパッシベーションが得られる。
好適な具体例の方法は、フッ素を導入するためのゲートスタックのパターニング中に、追加のフッ素注入や処理を必要としない、フッ素ベース(例えばSFベース)のプラズマへの露出を行うことにより、従来の方法を越える特徴を有する。重要なバイアス温度安定性(BTI)の改良に加えて、第1に、MuGFETにおいて、よりよいVth制御と増加した駆動電流が達成できる。
好適な具体例を使用することにより、(ドライエッチチャンバ中での)パターニング中に、高い反応性のフッ素イオンとラディカルを、high−k誘電体中に導入することが可能となる。この方法は更に、追加の処理工程を必要とせずにフッ素パッシベーションの全ての利益を提供する、費用対効果の良好な方法であることを特徴とする。
好適な具体例の方法を用いたフッ素のゲート誘電体への取り込みは、更に、直列の処理工程として特徴づけられ、これにより、フッ素ベース(例えばSFベース)のゲートスタックのエッチング化学反応は、ゲートスタック(例えば金属ゲート層)をエッチングするだけでなく、同時にhigh−k誘電体層中にフッ素を取り込み、界面において誘電体層のパッシベートに必要とされるフッ素量が達成され、high−k誘電体層にフッ素を取り込むのに必要な追加の処理工程を要しない。
好適な具体例では、ゲートスタック(更には、high−k誘電体層)へのフッ素の取り込みは、フッ素含有プラズマを用いた金属ゲート層のドライエッチング中に行われる。このプラズマは、好適には、SF/OのようなSF含有プラズマである。フッ素は、好適には、ゲートスタックに取り込むのに十分なフッ素である。フッ素は、ゲートスタックの側壁に蓄積されるが、更に、パターニング後に行われる熱処理工程により、更に輸送/拡散しても良い。
他の好適な具体例では、ゲートスタック(更には、high−k誘電体層)へのフッ素の取り込みは、金属ゲート層のドライエッチング中に行われる。金属ゲート層のパターニングは、少なくとも2つの工程で行われる。第1の工程は、BCl含有プラズマ(例えばBCl/Cl)で金属ゲート層のバルクをエッチングする工程で、第2の工程は、フッ素含有プラズマ(例えばSF/O)で残りの金属ゲート層をエッチングし、フッ素をゲートスタックに取り込む工程である。
もし必要であれば、補足のフッ素プラズマへの露出を行っても良い。この追加のフッ素への露出は、好適には、(ドライエッチングチャンバ中で)ゲートスタック層のドライエッチングを行った直後に行われる。フッ素処理は、好適には、基板バイアスを印加することなく(エッチングすることなく)フッ素ベースの化学反応(プラズマ)を用いたドライエッチングプラズマで行われる。
他の、好適な具体例では、ゲートスタックへの(更には、high−k誘電体層への)フッ素の取り込みは、フッ素含有プラズマに露出するゲートスタックのパターニング工程の後に行っても良い。
図1の左側には、フッ素含有化学反応を用いない(BCl/Cl化学反応を用いた金属ゲートのパターニング)ゲートスタックのパターニング後の、従来の装置(参考装置という)のゲートスタック5の一部が示されている。
示されたゲートスタックは、金属ゲート層(ここではTiN)3、その下のhigh−k層2(ここではHfO)を含む。
high−k層2の下には、Si(基板)1が配置されている。
Siバルクとhigh−k誘電体層との間の界面4が示されている。
従来の装置では、Si−HボンドおよびHf−Hボンドが、パターニング後9のスタックに存在する。
図1の右側には、好適な具体例のかかるパターニング後のゲートスタックが示されている。ここでは、フッ素含有プラズマ(例えばSF/O)がゲートスタックのパターニングに用いられ、フッ素がhigh−k誘電体2の中に取り込まれる。
熱処理工程を行った後、フッ素がhigh−k層2のバルクを通って拡散され、high−kの(ダングリングボンドの)パッシベーションが(特に界面4において)行われる。
Si−FボンドおよびHf−Hボンドは、ゲートスタック中に示されている。
イオン注入のような、high−k誘電体層中にフッ素を取り込むための従来の方法に比較して、好適な具体例にかかる方法は、制御できない酸化物の再成長のようなhigh−k誘電体へのダメージを避ける優しい方法である。
金属ゲートエッチング中のフッ素の直列的な取り込みは、同時に、ダングリングボンドの最適のパッシベーションが達成されるという、注意深いバランスを必要とする。
図2は、FinFET装置(Siフィン15)中に、金属ゲート層12としてTiN、high−k誘電体13としてHfOを含むゲートスタックを示す。
図は更に、エッチングプロセス中の、ハードマスク10中へのフッ素の拡散と蓄積を示す。
更に、(high−k)誘電体13界面に向かうフッ素種の拡散が、熱処理工程中に起きる。
熱処理工程は、好適には、700℃より高い温度で行われる。
熱処理工程は、好適には700℃より高い温度で、数分間(少なくとも2分間)行われる。
好適な具体例では、熱処理工程は、選択エピタキシャル成長プロセス中に行われる。
更に、好適は具体例では、上述の好適な方補により得られた半導体装置が提供される。
好適な具体例にかかるMuGFET装置の作製
MuGFET装置は、標準的な[100]/[110](上表面)のSOI基板上に作製される。フィン高さHfin=60nmであり、フィン幅は20nmまで小さくなる。
フィンパターニングの後に、装置に対してHアニールが行われ、サイドウォールを平坦にし、角を丸くした。ゲートスタックは、2nmのHfO/1nmのSiOゲート誘電体の上の、5nmのALDのTiN層からなる。
100nmの多結晶が、キャップ層として使用される。
ゲートスタックの堆積後に、酸化物ハードマスク(HM)を用いて、多結晶シリコンがパターニングされ、TiN層の上でパターニングが止まる。
TiNエッチングでは、BCl/Clが、参考装置に対して用いられた。
他の装置では、ゲートエッチング化学反応としてSF/Oが使用された。この化学反応の利点は、TiN層をエッチングするとともに、high−k誘電体の界面をパッシベートするのに必要な量のFが導入されることである。
この方法は、プレーナ装置およびMuGFET装置の双方に適用された。
ゲートのパターニング後に、エクステンションが注入され(大きな角度)、45nmリセスのRTCVD窒化物スペーサが形成された。
次に、Si選択エピタキシャル成長(SEG)膜が、810℃で、nMOSのS/D領域上に形成され、また、750℃で、pMOS上にSiGeのSEG膜が形成された。
MuGFET中のSEGは、本質的な高いS/D直列抵抗を低減するための、キー処理工程である。
処理工程の熱量は、FパッシベーションのためのSF化学反応の効果に対して重要である。
SEG熱量は、更にFの拡散を可能とし、Si−Fボンドの形成を助ける。
次に、ゲートHMが除去され、HDD注入が行われた。ドーパントは、1050℃のスパイクアニールで活性化され、NiSiがサリサイドとして使用された。
ゲートエッチング処理およびトランジスタ特性
金属ゲートエッチングプロセスが、図2に模式的に示されている。これは等方性エッチングであり、それゆえに装置の全ての側面に対して同じ影響を与える。
TiNのエッチング中に、ハードマスク(HM)中へのFの拡散と蓄積が行われる。
次に続くSEG工程(750〜810℃)の熱量は、蓄積されたFが誘電体界面に向かって更に拡散するのを助ける。界面状態をパッシベーションするために、および/または既存のSi−H/Hf−HのH原子を置き換わるためにより弱いボンドにするための、必要な活性化エネルギが提供される。
図3は、nFETおよびpFETの、飽和電圧Vthとゲート長(LG)との関係を示す。
異常なVthの特性が、参考装置(金属ゲートのエッチング中にSF化学反応を用いない)で見られ、nMOSのでは上昇し、pMOSでは上昇が拡大している。この特性は、装置作製中にゲートエッジに生じたプロセスダメージに典型的に起因するものである。
SF/O装置は、この化学反応がゲートエッジのトラップを中和し、および/またはエッジのおける再酸化を防止することを示す特性を表さない。
図4においてこれが再度示される。ここでは、標準化されたゲートリーク電流(JG)が、ゲート長(LG)の関数として示される。
ゲートエッジの再酸化およびダメージにより、標準化されたゲートリーク(JG)がLGの関数として減少する。しかしながら、金属ゲートエッチング中にSFベースの化学反応を用いた装置への影響は大きく減少する。
SFを用いたnFETおよびpFETの改良されたIon−Ioffの特性が、図5Aおよび図5Bに示されている。
ゲート誘電体に取り込まれたフッ素を有する装置の信頼性の結果
中断ストレス(0.5秒の遅延)BTI測定は、絶対値が1〜2.2Vの範囲のストレスVGと、125℃から175℃の温度範囲で行われた。
研究されたMuGFET装置(Wfin≦Hfin)は、Wfin=20nm、Hfin=60nm、Nfins=30(種々のLG)である。プレーナ装置(Wfin>>Hfin)では、LG=Wfin=1μmである。
Si−FボンドおよびHf−Fボンドは、Si−HボンドおよびHf−Hボンドより高い分解エネルギを有し、典型的な操作条件と同じまたはより高いストレス条件、例えば125〜175℃の温度で3〜8MV/cmの電場(Eox)では分解しない(図6参照)。
図7は、MuGFET装置とプレーナSOI装置中のEox分布が類似することを示す。結果として同じEox評価方法が双方のケースで使用される。
図8は、フッ素の無いBCl/Clを使用した装置(更に、上述のような810℃のエピタキシャル成長(SEG))と比較した、金属ゲートエッチング中にSF/Oエッチング化学反応が用いられた装置(更に、上述のような810℃のエピタキシャル成長(SEG))のNBTI寿命の改良を示す。
これは、プレーナ装置とMuGFET装置の双方を示す。
プレーナ装置の効果的な領域は、[100]面の上が優位であり、一方、MuGFET装置では、[110]側壁で優位である(ここで、A=Nfinsgate(Wfin+2Hfin)である)。
この改良は、界面におけるFの存在が、[100]と[110]のSi面方位の双方に対して有効であることを示す。
MuGFET装置に比較してより長い寿命がプレーナ装置で観察されたのは、[100]に比較して、[110]面方位において、Siボンドの数が多いことと矛盾しない(図6参照)。
BTIの時間依存性の傾きは、0.2から0.25に変化する(図7参照)。この場合、反応拡散モデルは、低下メカニズムは、ホールのトラップよりもむしろ界面からのHの拡散によることを示唆している。
この示唆は、図10に示される活性化エネルギ(0.16〜0.2eV)によっても確認される。
参考装置およびFパッシベート装置は、界面からの水素の拡散に支配されるという、類似した低下メカニズムを示す。これは、ストレス中に、より弱いSi−Hボンド/Hf−Hボンドのみが分離し、Fでパッシベートされた装置の場合の寿命の改良は、界面における安定したSi−Fボンド/Hf−Fボンドの存在によることを示す。
誘電体上のFの有害な効果が知られているが、低周波1/fノイズ測定が、誘電体の品質の更なる調査のために行われた。
図11は、pMOSプレーナおよびMuGFETの、参考装置およびFパッシベート装置の、ドレイン電流ノイズスペクトル密度SID/ID2と縮小された(scaled)入力参考ノイズSVGを示す。
Fによる追加の誘電性の低下は観察されない。
プレーナ装置における、より低いノイズスペクトル密度は、優位な面方位の異なりと矛盾しない。
nMOS装置の、PBTIおよび1/fノイズについて、類似の結果が得られている(図12および図13)。
類似のエッチング化学反応を有するnMOS装置に対する熱量の役割
SEG熱量の役割を調査するために、上述の実施例に記載されたものと同じエッチング化学反応(BCl/Cl化学反応(フッ素無し)と、SF/O化学反応を含む好適な具体例にかかる化学反応とを用いた参考)を有するが、SEGが行われないnMOS装置が作製された。この場合、ハードマスク(HM)は事前に熱量を与えることなく除去した。
図14は、この場合、参考装置とSFエッチング装置との間で寿命に対して大きな差が無いことを示す。また、1/f特性および活性化エネルギにおいても、違いは観察されなかった。
これにより、SEGの熱量が、F拡散およびボンディングにとって重要であることが確認される。
図15に示すΔVth(LG)依存性のなさは、装置のサイドウォール/ゲートエッジからと同様に、上部から、等方的にFが拡散し、LGに依存しないことを示唆する。
全ての図面は、本発明の幾つかの態様及び具体例を記載することを目的とする。装置は、明確化のために、単純な方法で描かれる。必ずしも全ての代替えや選択が示されるものではなく、それゆえに本発明は、所定の図面の内容に限定されるものではない。異なった図において、同様の符号は、同じ部分を示すように用いられる。
BCl/Cl化学反応を用いたゲートのパターニング後における積層を、(好適な具体例による)SF/O含有化学反応を用いたゲートのパターニングと比較して示す。ここではフッ素はスタック中に取り込まれている。 エッチングプロセス中の、ハードマスク中へのフッ素の拡散と蓄積を示す。更に、続きSEG工程の熱量により、誘電体界面に向かうフッ素種の拡散が発生する。 ゲート長を関数とした閾値電圧(Vth)を示す。参考のBCl/Clパターニング装置(従来技術)と比較して、好適な具体例に方法によりゲートのパターニングにSF/Oを用いた装置において、異常なVthの特性(nMOSにおける増大、およびpMOSにおける増大の拡大)は見られない。 ゲート長を関数として標準化したゲートリークを示す。好適な具体例にかかる方法によりSF/Oをゲートパターニングに使用した装置に比較して、BCl/Clを用いた装置では、高い横方向の酸化物再成長が見られる。 好適な具体例にかかる方法によりSF/Oをゲートパターニングに使用した装置に比較した、BCl/Clを用いたnFET装置の改良されたIon−Ioffの特性を示す。 好適な具体例にかかる方法によりSF/Oをゲートパターニングに使用した装置に比較した、BCl/Clを用いたpFET装置の改良されたIon−Ioffの特性を示す。 フィンの断面図であり、[100]と[110]のSi表面方位の間の表面状態密度の違いを示す。ストレス(EOX=3−8MV/cm、温度125℃〜175℃)中にパッシベートされたSiボンドからのHの離脱が起こり、一方、より強いSi−Fボンドはそのままの状態で残る。 反応拡散モデル(Reaction-Diffusion Model)により描かれたものと一致する時間依存の傾斜を示す。バルク状態より界面状態において、減少メカニズムが優位であることを示している。これは、プレーナ装置とMuGFET装置の双方について示され、バルク状態より大きな界面状態により減少メカニズムが優位になることを示している。好適な具体例の方法によりSF/Oをゲートパターニングに使用したpMOS装置が、BCl/Clを用いた装置と比較される。 狭いフィン(20nm)と広いフィン(100nm)について類似の電場分布であり、プレーナ装置の電場評価の方法が、MuGFET装置にも適用できることを示す。 プレーナ装置とMuGFET装置に外挿したNBTI寿命を示す。寿命の重要な改良が、好適な具体例にかかる方法によりSF/Oをゲートのパターニングに用いた装置において見られる。[100]表面方向の優位な領域において(MuGFETにおいてLG=1μm)、表面状態が少ないことにより、プレーナ装置はより良い寿命を示す。 プレーナ装置とMuGFET装置の活性化エネルギ(ゲートのパターニングに、BCl/Clを用いた場合(参考)とSF/Oを用いた場合)が、H拡散に対する予想される反応拡散モデルの活性化エネルギと一致し、Si−Fバンドがストレス中に切れていないことを示す。 プレーナ装置とMuGFET装置(ゲートのパターニングに、BCl/Clを用いた場合(参考)とSF/Oを用いた場合)の、ドレイン電流ノイズスペクトル密度SIDと入力参考ノイズスペクトル密度SVGであり、Fの導入により、ゲートの誘電性の低下が無いことを示す。 プレーナ装置とMuGFET装置に外挿したPBTI寿命を示す。寿命の重要な改良が、SF/Oをゲートのパターニングし、誘電体をパッシベートするのに用いた装置において見られる(MuGFETにおいてLG=1μm)。 プレーナ装置とMuGFET装置(ゲートのパターニングと誘電体のパッシベーションに、BCl/Clを用いた場合(参考)とSF/Oを用いた場合)の、ドレイン電流ノイズスペクトル密度SIDと入力参考ノイズスペクトル密度SVGであり、Fの導入により、ゲートの誘電性の低下が無いことを示す。 プレーナ装置とMuGFET装置に外挿したPBTI寿命を示す。SEG熱量を用いない装置において、エッチング化学反応の影響が無いことが分かる(MuGFETにおいてLG=1μm)。 SF/Oをゲートのパターニングし、誘電体をパッシベートするのに用いたMuGFET装置において、Vth(LG)依存性の無いことが示され、エッチングの異方性が確認される。

Claims (24)

  1. high−k誘電体層のフッ素パッシベーション方法であって、
    少なくともチャネル層、high−k誘電体層、および金属ゲート層を含むゲートスタック層を提供する工程と、
    炭素化合物を含まないフッ素含有プラズマに、ゲートスタック層を晒す工程と、
    晒されたゲートスタック層を、700℃より高い温度で熱処理する工程と、を含む方法。
  2. フッ素含有プラズマにゲートスタック層を晒す工程は、ゲートスタック層のパターニング中に行われる請求項1に記載の方法。
  3. フッ素含有プラズマにゲートスタック層を晒す工程は、ゲースタック層のドライエッチングと同時またはその後に行われる請求項1または2に記載の方法。
  4. フッ素含有プラズマにゲートスタック層を晒す工程は、フッ素含有プラズマを用いた金属ゲートのドライエッチング工程からなる請求項1または2に記載の方法。
  5. フッ素含有プラズマは、SF含有プラズマである請求項1〜4のいずれかに記載の方法。
  6. フッ素含有プラズマは、更にOを含む請求項1〜5のいずれかに記載の方法。
  7. フッ素含有プラズマは、SFとOの混合物を含む請求項5または6に記載の方法。
  8. フッ素含有プラズマにゲートスタック層を晒す工程に先立って、BCl含有プラズマにより金属ゲート層が部分的にエッチングされる請求項1〜7のいずれかに記載の方法。
  9. 部分的にエッチングされた金属ゲート層は、更にフッ素含有プラズマによって完全にエッチングされる請求項8に記載の方法。
  10. BCl含有プラズマは、更にClを含む請求項8または9に記載の方法。
  11. ゲートスタック層は、更に金属ゲート層の上に多結晶Siのようなキャップ層を含む請求項1〜10のいずれかに記載の方法。
  12. 金属ゲート層は、TiN、TaN、TiN/TaN、TaC、WN、TiW、WSi、MoSi、Mo、Ti、またはWを含む層である請求項1〜11のいずれかに記載の方法。
  13. 金属ゲート層は、デュアルメタルゲートである請求項1〜12のいずれかに記載の方法。
  14. 誘電体層は、Hfを含む層である請求項1〜13のいずれかに記載の方法。
  15. Hfを含む層は、HfOを含む層である請求項14に記載の方法。
  16. Hfを含む層は、ハフニウムシリコン酸化物を含む層である請求項14に記載の方法。
  17. チャネル層は、少なくともSiを含む請求項1〜16のいずれかに記載の方法。
  18. チャネル層は、少なくともGeを含む請求項1〜17のいずれかに記載の方法。
  19. チャネル層は、少なくともIII/V族元素を含む請求項1〜18のいずれかに記載の方法。
  20. 熱処理は、少なくとも2分間行われる請求項1〜19のいずれかに記載の方法。
  21. 熱処理工程は、選択エピタキシャル成長プロセス中、または急速熱アニール(RTA)工程中に行われる請求項1〜20のいずれかに記載の方法。
  22. 半導体装置の製造のための請求項1〜21のいずれかに記載の方法。
  23. 半導体装置は、マルチゲート装置であり、そのチャネル層はフィンである請求項22に記載の方法。
  24. 請求項1〜21のいずれかに記載の方法で得られた半導体装置。
JP2008003462A 2007-01-10 2008-01-10 ディープサブミクロントランジスタ技術用のhigh−k/金属ゲートスタックをパッシベートするためのFベースのゲートエッチングの使用 Active JP5435530B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US87970407P 2007-01-10 2007-01-10
US60/879,704 2007-01-10

Publications (2)

Publication Number Publication Date
JP2008177564A true JP2008177564A (ja) 2008-07-31
JP5435530B2 JP5435530B2 (ja) 2014-03-05

Family

ID=39204757

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008003462A Active JP5435530B2 (ja) 2007-01-10 2008-01-10 ディープサブミクロントランジスタ技術用のhigh−k/金属ゲートスタックをパッシベートするためのFベースのゲートエッチングの使用

Country Status (3)

Country Link
US (1) US8319295B2 (ja)
EP (1) EP1944795A3 (ja)
JP (1) JP5435530B2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20120104552A (ko) * 2009-10-20 2012-09-21 에이에스엠 인터내셔널 엔.브이. 유전체 막들의 부동태화를 위한 공정들
JP2013162089A (ja) * 2012-02-08 2013-08-19 Asahi Kasei Electronics Co Ltd 半導体装置の製造方法及び半導体装置
US10886132B2 (en) 2016-07-06 2021-01-05 SCREEN Holdings Co., Ltd. Manufacturing method of high-dielectric-constant gate insulating film of semiconductor device

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7928426B2 (en) * 2007-03-27 2011-04-19 Intel Corporation Forming a non-planar transistor having a quantum well channel
US8106469B2 (en) 2010-01-14 2012-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus of fluorine passivation
US9412847B2 (en) * 2013-03-11 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned passivation of active regions
CN104347503A (zh) * 2013-07-30 2015-02-11 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
US9502307B1 (en) 2015-11-20 2016-11-22 International Business Machines Corporation Forming a semiconductor structure for reduced negative bias temperature instability
US10680108B2 (en) * 2015-12-04 2020-06-09 Imec Vzw Field-effect transistor comprising germanium and manufacturing method thereof
US9960053B2 (en) * 2015-12-15 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET doping methods and structures thereof
US10068984B2 (en) * 2016-04-27 2018-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing high-k dielectric using HfO/Ti/Hfo layers
US9859157B1 (en) 2016-07-14 2018-01-02 International Business Machines Corporation Method for forming improved liner layer and semiconductor device including the same
WO2018022142A1 (en) * 2016-07-29 2018-02-01 Applied Materials, Inc. Performing decoupled plasma fluorination to reduce interfacial defects in film stack
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10854459B2 (en) * 2017-09-28 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure passivating species drive-in method and structure formed thereby
US10468258B1 (en) 2018-06-12 2019-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. Passivator for gate dielectric
US11088029B2 (en) * 2018-09-26 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Gate stack treatment
US11462626B2 (en) 2019-10-29 2022-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
CN113628961A (zh) * 2020-05-07 2021-11-09 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
US11501972B2 (en) 2020-07-22 2022-11-15 Applied Materials, Inc. Sacrificial capping layer for passivation using plasma-based implant process

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001077108A (ja) * 1999-08-31 2001-03-23 Nec Corp 半導体装置及び複合酸化物薄膜の製造方法
JP2002083805A (ja) * 2000-05-12 2002-03-22 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
JP2005217368A (ja) * 2004-02-02 2005-08-11 Toshiba Matsushita Display Technology Co Ltd 薄膜トランジスタおよびその製造方法
WO2005122276A1 (ja) * 2004-06-10 2005-12-22 Nec Corporation 半導体装置及びその製造方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3030368B2 (ja) * 1993-10-01 2000-04-10 株式会社半導体エネルギー研究所 半導体装置およびその作製方法
US6191463B1 (en) * 1997-07-15 2001-02-20 Kabushiki Kaisha Toshiba Apparatus and method of improving an insulating film on a semiconductor device
US6485988B2 (en) * 1999-12-22 2002-11-26 Texas Instruments Incorporated Hydrogen-free contact etch for ferroelectric capacitor formation
US6534809B2 (en) * 1999-12-22 2003-03-18 Agilent Technologies, Inc. Hardmask designs for dry etching FeRAM capacitor stacks
EP1137058A1 (en) * 2000-03-23 2001-09-26 Motorola, Inc. A method for pattering layers of semiconductor devices
JP4954366B2 (ja) * 2000-11-28 2012-06-13 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6445030B1 (en) * 2001-01-30 2002-09-03 Advanced Micro Devices, Inc. Flash memory erase speed by fluorine implant or fluorination
US6756291B1 (en) * 2003-01-24 2004-06-29 Taiwan Semiconductor Manufacturing Co., Ltd Method for hardening gate oxides using gate etch process
US7018880B2 (en) * 2003-12-22 2006-03-28 Texas Instruments Incorporated Method for manufacturing a MOS transistor having reduced 1/f noise
US20060205129A1 (en) * 2005-02-25 2006-09-14 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US20080050898A1 (en) * 2006-08-23 2008-02-28 Hongfa Luan Semiconductor devices and methods of manufacture thereof
JP2007053392A (ja) * 2006-10-02 2007-03-01 Toshiba Corp Mis型電界効果トランジスタの製造方法及び半導体記憶装置の製造方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001077108A (ja) * 1999-08-31 2001-03-23 Nec Corp 半導体装置及び複合酸化物薄膜の製造方法
JP2002083805A (ja) * 2000-05-12 2002-03-22 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
JP2005217368A (ja) * 2004-02-02 2005-08-11 Toshiba Matsushita Display Technology Co Ltd 薄膜トランジスタおよびその製造方法
WO2005122276A1 (ja) * 2004-06-10 2005-12-22 Nec Corporation 半導体装置及びその製造方法

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
JPN6012061495; M.H.SHIN: '"Dry Etching of TaN/HfO2 Gate Stack Structure by Cl2/SF6/Ar inductively Coupled Plasma"' Japanese Journal of Applied Physics Vol.44, 20050726, p.5811-5818, The Japan Society of Applied Physics *
JPN7012004826; K.TSE: '"Defect passivation in HfO2 gate oxide by fluorine"' Applied Physics letters Vol.89, 20061006, p.142914, American Institute of Physics *

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20120104552A (ko) * 2009-10-20 2012-09-21 에이에스엠 인터내셔널 엔.브이. 유전체 막들의 부동태화를 위한 공정들
JP2013508552A (ja) * 2009-10-20 2013-03-07 アーエスエム インターナショナル エヌフェー 誘電体膜をパッシベーションする方法
JP2015061947A (ja) * 2009-10-20 2015-04-02 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 誘電体膜をパッシベーションする方法
KR101712040B1 (ko) 2009-10-20 2017-03-03 에이에스엠 인터내셔널 엔.브이. 유전체 막들의 부동태화를 위한 공정들
US10513772B2 (en) 2009-10-20 2019-12-24 Asm International N.V. Process for passivating dielectric films
US11549177B2 (en) 2009-10-20 2023-01-10 Asm International, N.V. Process for passivating dielectric films
JP2013162089A (ja) * 2012-02-08 2013-08-19 Asahi Kasei Electronics Co Ltd 半導体装置の製造方法及び半導体装置
US10886132B2 (en) 2016-07-06 2021-01-05 SCREEN Holdings Co., Ltd. Manufacturing method of high-dielectric-constant gate insulating film of semiconductor device

Also Published As

Publication number Publication date
US8319295B2 (en) 2012-11-27
EP1944795A3 (en) 2009-09-30
JP5435530B2 (ja) 2014-03-05
US20080164539A1 (en) 2008-07-10
EP1944795A2 (en) 2008-07-16

Similar Documents

Publication Publication Date Title
JP5435530B2 (ja) ディープサブミクロントランジスタ技術用のhigh−k/金属ゲートスタックをパッシベートするためのFベースのゲートエッチングの使用
US10269927B2 (en) Semiconductor structures and fabrication methods thereof
US7312137B2 (en) Transistor with shallow germanium implantation region in channel
TWI400741B (zh) 利用預置金屬介電質線性應力之高性能互補金氧半導體電晶體
US10373835B2 (en) Method of lateral oxidation of nFET and pFET high-K gate stacks
JP2007243003A (ja) 半導体装置の製造方法
US7253050B2 (en) Transistor device and method of manufacture thereof
US9196475B2 (en) Methods for fabricating integrated circuits including fluorine incorporation
US20070026595A1 (en) Method for fabricating semiconductor device and method for designing semiconductor device
JP2009283906A (ja) 半導体装置及びその製造方法
JP5669752B2 (ja) チャネル半導体合金を備えたトランジスタにおける堆積不均一性の低減によるスレッショルド電圧ばらつきの低減
JP2010118500A (ja) 半導体装置及びその製造方法
JP5203905B2 (ja) 半導体装置およびその製造方法
JP4855419B2 (ja) 半導体装置の製造方法
JP2009252895A (ja) 半導体装置及びその製造方法
US20090057786A1 (en) Semiconductor device and method of manufacturing semiconductor device
JP4040602B2 (ja) 半導体装置
US20070010050A1 (en) Method for forming semiconductor devices having reduced gate edge leakage current
WO2009084376A1 (ja) 半導体装置及びその製造方法
JP2010123669A (ja) 半導体装置およびその製造方法
JP2008171910A (ja) 半導体装置の製造方法
JP4145272B2 (ja) 半導体装置の製造方法
JP2012156375A (ja) 半導体装置及び半導体装置の製造方法
JP2008300505A (ja) 半導体装置の製造方法
JP2006073859A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100722

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121115

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121127

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130226

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130507

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130802

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20131126

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20131204

R150 Certificate of patent or registration of utility model

Ref document number: 5435530

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250