JP2008135702A - Integrated thermal unit - Google Patents

Integrated thermal unit Download PDF

Info

Publication number
JP2008135702A
JP2008135702A JP2007217581A JP2007217581A JP2008135702A JP 2008135702 A JP2008135702 A JP 2008135702A JP 2007217581 A JP2007217581 A JP 2007217581A JP 2007217581 A JP2007217581 A JP 2007217581A JP 2008135702 A JP2008135702 A JP 2008135702A
Authority
JP
Japan
Prior art keywords
substrate
plate
cooling
station
firing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007217581A
Other languages
Japanese (ja)
Inventor
David H Quach
デイヴィッド, エイチ. コーク,
Martin J Salinas
マーティン, ジェフ サリナス,
Tetsuya Ishikawa
哲也 石川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Screen Semiconductor Solutions Co Ltd
Original Assignee
Screen Semiconductor Solutions Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/174,781 external-priority patent/US7297906B2/en
Priority claimed from US11/174,988 external-priority patent/US7282675B2/en
Priority claimed from US11/174,782 external-priority patent/US7288746B2/en
Priority claimed from US11/174,681 external-priority patent/US7274005B2/en
Application filed by Screen Semiconductor Solutions Co Ltd filed Critical Screen Semiconductor Solutions Co Ltd
Publication of JP2008135702A publication Critical patent/JP2008135702A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67225Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Manufacturing Of Printed Wiring (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide a method and equipment to control temperature of a semiconductor substrate. <P>SOLUTION: An integrated thermal unit includes a bake plate constituted so that it may heat the substrate supported on a surface of the bake plate, a chill plate constituted so that it may cool the substrate supported on a surface of the chill plate, and a substrate transfer shuttle constituted so that it may transfer the substrate to the chill plate from the bake plate, wherein the substrate transfer shuttle includes a temperature control substrate holding surface which cools the substrate heated by the bake plate. <P>COPYRIGHT: (C)2008,JPO&INPIT

Description

発明の背景Background of the Invention

[0001]本発明は概して基板処理機器の分野に関する。より具体的には、本発明は、集積回路の形成に使用される、半導体基板などの基板の温度をコントロールするための方法および装置に関する。   [0001] The present invention relates generally to the field of substrate processing equipment. More specifically, the present invention relates to a method and apparatus for controlling the temperature of a substrate, such as a semiconductor substrate, used in the formation of integrated circuits.

[0002]現在の集積回路は、マイクロメーターという小型のサイズに集積回路を製作する、シリコン、金属および/または誘電層などの材料をパターニングすることによって形成される多数の個々の要素を含んでいる。このようなパターンを形成するために業界で使用される技術はフォトリソグラフィである。通常のフォトリソグラフィプロセスシーケンスは概して、基板の表面に1つ以上の均一なフォトレジスト(レジスト)層を堆積するステップと、この堆積された層を乾燥および硬化させるステップと、暴露された層を修正するのに適した電磁放射にフォトレジスト層を暴露することによって基板をパターニングするステップと、パターニングされたフォトレジスト層を現像するステップとを含んでいる。   [0002] Current integrated circuits include a large number of individual elements formed by patterning materials such as silicon, metal and / or dielectric layers that make the integrated circuit in small sizes such as micrometers. . The technique used in the industry to form such a pattern is photolithography. A typical photolithography process sequence generally involves depositing one or more uniform photoresist (resist) layers on the surface of the substrate, drying and curing the deposited layers, and modifying the exposed layers. Patterning the substrate by exposing the photoresist layer to electromagnetic radiation suitable for developing and developing the patterned photoresist layer.

[0003]フォトリソグラフィプロセスと関連したステップの多くは、半導体ウェーハを制御下で順次処理する性能を有しているマルチチャンバ処理システム(例えば、クラスタツール)において実行されることが半導体産業では一般的である。フォトレジスト材料を堆積(つまりコーティング)および現像するのに使用されるクラスタツールの一例はトラックリソグラフィツールと一般的に称される。   [0003] Many of the steps associated with photolithography processes are commonly performed in the semiconductor industry in multi-chamber processing systems (eg, cluster tools) that have the ability to sequentially process semiconductor wafers under control. It is. An example of a cluster tool used to deposit (ie, coat) and develop a photoresist material is commonly referred to as a track lithography tool.

[0004]トラックリソグラフィツールは通常、事前および事後リソグラフィ処理と関連した種々のタスクを実行するためだけの(ここではステーションと称されることがある)複数のチャンバを収容するメインフレームを含んでいる。通常はトラックリソグラフィツール内にウェットおよびドライ処理チャンバの両方がある。ウェットチャンバはコーティングおよび/または現像ボールを含んでいるのと同時に、ドライチャンバは、焼成および/または冷却プレートを収容する熱コントロールユニットを含んでいる。トラックリソグラフィツールはまたしばしば、クリーンルームから基板を受け取りかつこれに基板を戻すための、業界標準FOUP(Front opening unified pod)などの1つ以上のポッド/カセット搭載デバイスと、トラックツールの種々のチャンバ/ステーション間に基板を移送するための複数の基板移送ロボットと、基板を暴露ツールに移送して、基板が暴露ツール内で処理された後に暴露ツールから基板を受け取るために、ツールをリソグラフィ暴露ツールに動作可能に結合させるインタフェースとを含んでいる。   [0004] Track lithography tools typically include a mainframe that houses a plurality of chambers (sometimes referred to herein as stations) only to perform various tasks associated with pre- and post-lithographic processing. . There are typically both wet and dry processing chambers within a track lithography tool. At the same time the wet chamber contains the coating and / or development balls, the dry chamber contains a thermal control unit that houses the firing and / or cooling plates. Track lithography tools also often include one or more pod / cassette mounted devices, such as an industry standard FOUP (Front opening unified pod), for receiving substrates from and returning substrates to a clean room, and various chamber / Multiple substrate transfer robots for transferring substrates between stations, and transferring the substrate to the exposure tool to receive the substrate from the exposure tool after the substrate has been processed in the exposure tool. And an operatively coupled interface.

[0005]長年、半導体デバイスのサイズ縮小という強い要望が半導体業界にあった。部材サイズの縮小はプロセス変動性に対する産業界の許容範囲を縮小し、そしてこれは、プロセスの均一性および反復性に対してより厳密な要件を有する半導体製造仕様をもたらすことになった。トラックリソグラフィ処理シーケンス中のプロセス変動性を最小化する際の重要な要因は、特定の用途向けのトラックリソグラフィツール内で処理された各基板が同じ「ウェーハ履歴」を有することを保証することである。基板のウェーハ履歴は概してプロセスエンジニアによって監視および制御されて、後にデバイスの性能に影響を与えうるデバイス製作処理変数のすべてが制御されることを保証するため、同じバッチ内のすべての基板は常に同様に処理される。   [0005] For many years, there has been a strong demand in the semiconductor industry to reduce the size of semiconductor devices. The reduction in component size has reduced the industry's tolerance for process variability, and this has resulted in semiconductor manufacturing specifications with more stringent requirements for process uniformity and repeatability. An important factor in minimizing process variability during the track lithography processing sequence is to ensure that each substrate processed in the track lithography tool for a particular application has the same "wafer history" . The substrate wafer history is generally monitored and controlled by a process engineer to ensure that all of the device fabrication process variables that can later affect device performance are controlled so that all substrates in the same batch are always the same. To be processed.

[0006]各基板が同じ「ウェーハ履歴」を有することを保証するためには、各基板が同じ反復可能な基板処理ステップ(例えば、一貫したコーティングプロセス、一貫したハード焼成プロセス、一貫した冷却プロセスなど)を経験し、かつ種々の処理ステップ間のタイミングが基板ごとに同じであることを必要とする。リソグラフィタイプデバイス製作プロセスはとりわけプロセスレシピ変数の変更およびレシピステップ間のタイミングに敏感であり、これはプロセス変動性、最終的にはデバイス性能に直接影響を与える。   [0006] To ensure that each substrate has the same “wafer history”, each substrate has the same repeatable substrate processing steps (eg, consistent coating process, consistent hard firing process, consistent cooling process, etc.) ) And the timing between the various processing steps must be the same for each substrate. Lithographic type device fabrication processes are particularly sensitive to changes in process recipe variables and timing between recipe steps, which directly affects process variability and ultimately device performance.

[0007]これらの要件に関して、半導体業界は、トラックリソグラフィおよび他のタイプのクラスタツールにおけるウェーハ履歴の均一性を改善可能な方法を絶え間なく探究し、かつツールおよび技術を開発し続けている。   [0007] With respect to these requirements, the semiconductor industry continually explores ways to improve wafer history uniformity in track lithography and other types of cluster tools and continues to develop tools and techniques.

発明の概要Summary of the Invention

[0008]本発明に従って、半導体製造機器に関する方法および装置が提供される。より具体的には、本発明の実施形態は、高制御下で基板を加熱および/または冷却するための方法および装置に関する。本発明の実施形態は、複数の基板が高制御下で同一の加熱および冷却シーケンスに従って処理されることによって、基板ごとに一貫したウェーハ履歴を保証する助けとなることを想定している。本発明の実施形態には、トラックリソグラフィツールのチャンバまたはステーションにおいて基板を加熱および/または冷却する際にとりわけ有用なものがあり、同時に、本発明の実施形態には、高制御下で基板を加熱および冷却するのが望ましい他の用途に使用可能なものもある。   [0008] In accordance with the present invention, methods and apparatus relating to semiconductor manufacturing equipment are provided. More specifically, embodiments of the invention relate to a method and apparatus for heating and / or cooling a substrate under high control. Embodiments of the present invention assume that multiple substrates are processed according to the same heating and cooling sequence under high control to help ensure a consistent wafer history from substrate to substrate. Some embodiments of the present invention are particularly useful in heating and / or cooling a substrate in a chamber or station of a track lithography tool, while embodiments of the present invention heat a substrate under high control. Some can be used for other applications where cooling is desirable.

[0009]本発明の特定の実施形態は、集積熱ユニットに関する。このような実施形態の1つによると、集積熱ユニットは、焼成プレートの表面にサポートされた基板を加熱するように構成された焼成プレートと、冷却プレートの表面にサポートされた基板を冷却するように構成された冷却プレートと、該焼成プレートから該冷却プレートに基板を移送するように構成された基板移送シャトルとを備えており、該基板移送シャトルは、該焼成プレートによって加熱された基板を冷却することができる温度コントロール基板保持表面を有している。   [0009] Certain embodiments of the invention relate to an integrated thermal unit. According to one such embodiment, the integrated thermal unit cools the firing plate configured to heat the substrate supported on the surface of the firing plate and the substrate supported on the surface of the cooling plate. And a substrate transfer shuttle configured to transfer a substrate from the baking plate to the cooling plate, the substrate transfer shuttle cooling the substrate heated by the baking plate It has a temperature control substrate holding surface that can.

[0010]本発明の別の実施形態によると、集積熱ユニットは、基板を保持および加熱するように構成された焼成プレートを備える焼成ステーションと、基板を保持および冷却するように構成された冷却プレートを備える冷却ステーションと、該熱ユニット内の水平線形経路に沿って該焼成プレートから該冷却プレートに基板を移送して、該集積熱ユニット内の垂直経路に沿って基板を昇降させるように構成された基板移送シャトルとを備えている。   [0010] According to another embodiment of the present invention, an integrated thermal unit includes a firing station comprising a firing plate configured to hold and heat a substrate, and a cooling plate configured to hold and cool the substrate. A cooling station comprising: a substrate is transferred from the firing plate to the cooling plate along a horizontal linear path in the thermal unit, and the substrate is moved up and down along a vertical path in the integrated thermal unit. And a substrate transfer shuttle.

[0011]本発明の別の実施形態によると、集積熱ユニットは、焼成位置で基板を保持および加熱するように構成された基板保持表面を有する焼成プレートと、冷却位置で基板を保持および冷却するように構成された基板保持表面を有する冷却プレートとを備えており、該焼成プレートが該焼成位置にある場合に該焼成プレートの該基板保持表面が第1の略水平平面に位置決めされ、かつ該冷却プレートが冷却位置にある場合に該冷却プレートの該基板保持表面が該第1の平面の下方にある第2の略水平平面に位置決めされる。   [0011] According to another embodiment of the present invention, an integrated thermal unit holds and cools a substrate at a cooling position and a baking plate having a substrate holding surface configured to hold and heat the substrate at the baking position. A cooling plate having a substrate holding surface configured as described above, and when the baking plate is in the baking position, the substrate holding surface of the baking plate is positioned in a first substantially horizontal plane, and When the cooling plate is in the cooling position, the substrate holding surface of the cooling plate is positioned in a second substantially horizontal plane that is below the first plane.

[0012]本発明のさらに別の実施形態に従って、焼成ステーションが提供される。該焼成ステーションは、上部焼成位置と下部冷却位置間を垂直に移動可能な、焼成プレートの上部表面上にサポートされた基板を加熱するようになっている焼成プレートと、該焼成プレートが該下部冷却位置にある場合に該焼成プレートの下部表面に係合可能に結合されるようになっている複数のヒートシンクとを備えている。   [0012] According to yet another embodiment of the present invention, a firing station is provided. The firing station comprises a firing plate adapted to heat a substrate supported on an upper surface of the firing plate that is vertically movable between an upper firing position and a lower cooling position; A plurality of heat sinks adapted to be engageably coupled to the lower surface of the firing plate when in position.

[0013]本発明の特定の他の実施形態は、ウェーハの1つ以上のカセットを受容するようになっている複数のポッドアセンブリと、該1つ以上のポッドアセンブリからトラックリソグラフィツール内の処理モジュールにウェーハを移送するようになっている1つ以上のロボットとを備えるトラックリソグラフィツールに関しており、該処理モジュールのうちの少なくとも1つは、上記実施形態のうちの1つに従った集積熱ユニットを含んでいる。   [0013] Certain other embodiments of the present invention include a plurality of pod assemblies adapted to receive one or more cassettes of wafers and a processing module in a track lithography tool from the one or more pod assemblies. And a track lithography tool comprising one or more robots adapted to transfer wafers to at least one of the processing modules comprising an integrated thermal unit according to one of the above embodiments. Contains.

[0014]本発明のさらに別の実施形態は、集積熱ユニットにおいて基板を処理するための方法に関する。このような一実施形態によると、焼成プレートおよび冷却プレートを有する集積熱ユニットにおいて基板を処理するための方法は、液体レジスト材料をその上に塗布している基板を該集積熱ユニットに移送するステップと、該基板を該焼成プレートに位置決めするステップと、該基板を該焼成プレートによって加熱するステップと、温度コントロール表面を有するシャトルによって該基板を該焼成プレートから該冷却プレートに移送するステップと、該基板を該冷却プレートによって冷却するステップと、該集積熱ユニット外に該基板を移送するステップとを備えている。   [0014] Yet another embodiment of the invention relates to a method for processing a substrate in an integrated thermal unit. According to one such embodiment, a method for treating a substrate in an integrated thermal unit having a baking plate and a cooling plate includes transferring a substrate having a liquid resist material applied thereto to the integrated thermal unit. Positioning the substrate on the firing plate; heating the substrate by the firing plate; transferring the substrate from the firing plate to the cooling plate by a shuttle having a temperature control surface; Cooling the substrate with the cooling plate and transferring the substrate out of the integrated thermal unit.

[0015]別の実施形態によると、焼成プレートおよび冷却プレートを有する集積熱ユニットにおいて基板を処理するための方法は、液体レジスト材料をその上に塗布している基板を該集積熱ユニットに移送するステップと、該基板を該焼成プレートに位置決めするステップと、該基板を該焼成プレートによって加熱するステップと、該基板を該焼成プレートから該冷却プレートに移送するステップであって、該移送ステップは該集積熱ユニット内で、該基板を水平線形経路に沿っておよび垂直経路に沿って基板移送シャトルによって移動させる工程を含むステップと、該基板を該冷却プレートによって冷却するステップと、該集積熱ユニット外に該基板を移送するステップとを備えている。   [0015] According to another embodiment, a method for processing a substrate in an integrated thermal unit having a baking plate and a cooling plate transfers a substrate having a liquid resist material applied thereon to the integrated thermal unit. Positioning the substrate on the baking plate; heating the substrate by the baking plate; and transferring the substrate from the baking plate to the cooling plate, the transferring step comprising: Moving the substrate within the integrated thermal unit along a horizontal linear path and along a vertical path by a substrate transfer shuttle; cooling the substrate with the cooling plate; And transferring the substrate.

[0016]別の実施形態によると、焼成プレートおよび冷却プレートを有する集積熱ユニットにおいて基板を処理するための方法は、液体レジスト材料をその上に塗布している基板を該集積熱ユニットに移送するステップと、該基板を該焼成プレートに位置決めするステップと、該基板を該焼成プレートによって加熱するステップと、温度コントロール表面を有するシャトルによって該基板を該焼成プレートから該冷却プレートに移送するステップと、該基板を該冷却プレートによって冷却するステップと、該集積熱ユニット外に該基板を移送するステップとを備えている。   [0016] According to another embodiment, a method for processing a substrate in an integrated thermal unit having a baking plate and a cooling plate transfers a substrate having a liquid resist material applied thereon to the integrated thermal unit. Positioning the substrate on the firing plate; heating the substrate by the firing plate; transferring the substrate from the firing plate to the cooling plate by a shuttle having a temperature control surface; Cooling the substrate with the cooling plate and transferring the substrate out of the integrated thermal unit.

[0017]本発明のさらに別の実施形態に従って、焼成プレートのセットポイント温度を急速に低下させるための方法が提供される。本実施形態は、該焼成プレートを使用して、該焼成プレートが焼成位置にある時に該焼成プレートの上部表面に配置された基板を加熱した後に、該焼成プレートの下部表面が、該焼成プレートの該下部表面に係合可能に結合されるようになっている複数のヒートシンクに接触する下部位置に該焼成プレートを垂直移動させるステップを備えている。   [0017] According to yet another embodiment of the present invention, a method is provided for rapidly reducing the set point temperature of a fired plate. In this embodiment, after using the firing plate to heat a substrate disposed on the upper surface of the firing plate when the firing plate is in the firing position, the lower surface of the firing plate is Moving the firing plate vertically to a lower position in contact with a plurality of heat sinks adapted to be engageably coupled to the lower surface.

[0018] 従来の技術に対する多数の利点が本発明によって達成される。例えば、焼成および冷却プレートを1つの集積ユニットに含めることによって、焼成されたウェーハを該冷却プレートに移送することに伴う遅延が最小化される。また、該焼成および冷却プレート間でウェーハを移送する温度コントロール基板保持表面を有するシャトルを含むことは、各ウェーハの熱履歴に対するさらなるコントロール度合いを提供することによって、複数のウェーハ間のより均一な熱履歴を可能にする。さらに、本発明の実施形態は、トラックリソグラフィツールの(複数の)メイン中央ロボットの負荷を減少させることによってチャンバスループットを増加させ、メイン中央ロボットの故障の場合には焼成後ウェーハに安全な場所を提供する。他の実施形態は、焼成プレートの該セットポイント温度を第1の温度から、該第1の温度より低い第2の温度に変化させるのにかかる時間を短縮することによってウェーハスループットを増加させる。実施形態に応じて、これらの利点のうちの1つ以上ならびに他の利点が達成可能である。これらおよび他の利点について本明細書にわたってより詳細に、かつ以下の図面と関連してより具体的に説明する。   [0018] Numerous advantages over the prior art are achieved by the present invention. For example, by including the firing and cooling plate in one integrated unit, the delay associated with transferring the fired wafer to the cooling plate is minimized. Also, including a shuttle having a temperature control substrate holding surface that transfers wafers between the firing and cooling plates provides more uniform heat between multiple wafers by providing additional control over the thermal history of each wafer. Enable history. Furthermore, embodiments of the present invention increase chamber throughput by reducing the load on the main central robot (s) of the track lithography tool, and in the event of a main central robot failure, provide a safe place on the wafer after firing. provide. Other embodiments increase wafer throughput by reducing the time it takes to change the set point temperature of the firing plate from a first temperature to a second temperature that is lower than the first temperature. Depending on the embodiment, one or more of these benefits as well as other benefits can be achieved. These and other advantages will be described in more detail throughout this specification and more specifically in conjunction with the following drawings.

発明の詳細な説明Detailed Description of the Invention

[0035]本発明は概して、高制御下で基板を加熱および冷却するための方法および装置を提供する。トラックリソグラフィツール内で具体的な熱レシピに従って加熱および冷却される複数の基板の各基板につき一貫したウェーハ履歴を保証する助けとなる際にとりわけ有用である本発明の実施形態もあり、同時に、高制御下で基板を加熱および冷却することが望ましい他の用途で使用可能な実施形態もある点が理解されるべきである。   [0035] The present invention generally provides methods and apparatus for heating and cooling a substrate under high control. There are also embodiments of the invention that are particularly useful in helping to ensure a consistent wafer history for each substrate of multiple substrates that are heated and cooled according to a specific thermal recipe within a track lithography tool, while at the same time It should be understood that some embodiments may be used in other applications where it is desirable to heat and cool the substrate under control.

[0036]図1は、本発明に従った集積熱ユニット10の一実施形態の簡略概念図である。集積熱ユニット10は焼成ステーション12と、冷却ステーション14と、シャトルステーション16とを含んでおり、すべては密閉ハウジング40内にある。冷却ステーション16は、必要ならば、焼成および冷却ステーション間で基板を移送するためのシャトル18を含む。焼成ステーション12は焼成プレート20と、エンクロージャ22と冷却ベース24とを含む。焼成プレート20は(図1に示された)ウェーハロード位置と、焼成プレートがクラムシェルエンクロージャ22に対してかつこの中に電動リフト28によって付勢される閉鎖加熱位置と、焼成プレートが冷却ベース24に接触する冷却位置との間で移動可能である。冷却ベース24は焼成プレートに係合可能に結合されて、例えば新たな熱レシピに切り替える場合に、焼成プレートのセットポイント温度を比較的高い焼成温度から低い焼成温度に急速に変化させるのを可能にする。   [0036] FIG. 1 is a simplified conceptual diagram of one embodiment of an integrated thermal unit 10 according to the present invention. The integrated heat unit 10 includes a firing station 12, a cooling station 14, and a shuttle station 16, all in a sealed housing 40. The cooling station 16 includes a shuttle 18 for transferring substrates between the firing and cooling stations, if necessary. The firing station 12 includes a firing plate 20, an enclosure 22 and a cooling base 24. The firing plate 20 has a wafer loading position (shown in FIG. 1), a closed heating position in which the firing plate is biased against and into the clamshell enclosure 22 by an electric lift 28, and the firing plate is a cooling base 24. It is possible to move between cooling positions in contact with The cooling base 24 is engagably coupled to the firing plate to allow the firing plate setpoint temperature to rapidly change from a relatively high firing temperature to a lower firing temperature, for example when switching to a new thermal recipe. To do.

[0037]冷却ステーション14は冷却プレート30と、シャトル18が冷却ステーションを通過してウェーハを焼成ステーション12に対して移送する場合に、冷却プレート30上にあるウェーハを生じうる粒子汚染から保護する粒子シールド32とを含んでいる。基板は、シャッター34aおよび34bにそれぞれ動作可能に結合されている細長い開口を介して熱ユニット10に対して移送可能である。   [0037] The cooling station 14 protects the wafer on the cooling plate 30 and particle contamination that may occur on the cooling plate 30 when the shuttle 18 passes the cooling station and transfers the wafer to the firing station 12. Shield 32 is included. The substrate is transferable to the thermal unit 10 through elongated openings that are operably coupled to the shutters 34a and 34b, respectively.

[0038]図1に示された集積熱ユニット10の簡略斜視図である図2Aに示されているように、熱ユニット10は、アルミニウムや他の適切な材料からなる外部ハウジング40を含む。ハウジング40は、焼成ステーション12、冷却ステーション14およびシャトルステーション16を横方向に隣接させ、かつ複数の集積熱ユニットを、図14を参照して後述されるようなトラックリソグラフィツールの相互の上部に積層させるために、高さに対して長い。具体的な実施形態では、ハウジング40の高さはちょうど20センチメートルである。   [0038] As shown in FIG. 2A, which is a simplified perspective view of the integrated thermal unit 10 shown in FIG. 1, the thermal unit 10 includes an outer housing 40 made of aluminum or other suitable material. The housing 40 laterally adjoins the firing station 12, cooling station 14 and shuttle station 16, and a plurality of integrated thermal units are stacked on top of each other of a track lithography tool as described below with reference to FIG. To make it long for the height. In a specific embodiment, the height of the housing 40 is just 20 centimeters.

[0039]ハウジング40は側部ピース40aと、上部ピース40bと底部ピース40cとを含む。フロントサイドピース40aは、基板を熱ユニットに対して移送させる2つの細長い開口41a、41bを含む。開口41aはシャッター34a(図示せず)によって閉鎖およびシールされるように動作可能に結合され、開口41bはシャッター34b(図示せず)によって閉鎖およびシールされるように動作可能に結合される。ハウジング40の上部ピース40bは、適切なプレート(図示せず)がスクリューホール44を介して上部ピース40bに取り付けられる場合に上部ピース40bの温度をコントロールするために、冷却流体をチャネルを介して循環させる冷却剤チャネル42を含む。類似の冷却剤チャネルが底部ピース40cの下部表面に形成される。   [0039] The housing 40 includes a side piece 40a, a top piece 40b, and a bottom piece 40c. The front side piece 40a includes two elongate openings 41a, 41b that transfer the substrate to the thermal unit. Opening 41a is operably coupled to be closed and sealed by shutter 34a (not shown), and opening 41b is operably coupled to be closed and sealed by shutter 34b (not shown). The upper piece 40b of the housing 40 circulates cooling fluid through the channel to control the temperature of the upper piece 40b when a suitable plate (not shown) is attached to the upper piece 40b via the screw hole 44. A coolant channel 42 to allow A similar coolant channel is formed on the lower surface of the bottom piece 40c.

[0040]焼成ステーション12の正確な焼成動作および冷却ステーション14の正確な冷却動作をコントロールする種々のコントロール回路46a乃至46d、およびより詳細に後述されるように、シャトル18(図2Aには見えない)が熱ユニットの長さに沿って線形に、および熱ユニット内で垂直に移動できるようにするトラック48および49もまた図2Aに示されている。一実施形態では、コントロール回路46a乃至46bは、各ステーションと関連した温度調整機構のより正確かつ応答的なコントロールを可能にするためにステーション12および14付近に(例えば、3フィート以内に)位置決めされる。   [0040] Various control circuits 46a-46d that control the precise firing operation of the firing station 12 and the precise cooling operation of the cooling station 14, and the shuttle 18 (not visible in FIG. 2A), as described in more detail below. Also shown in FIG. 2A are tracks 48 and 49 that allow movement) linearly along the length of the thermal unit and vertically within the thermal unit. In one embodiment, control circuits 46a-46b are positioned near stations 12 and 14 (eg, within 3 feet) to allow for more accurate and responsive control of the temperature adjustment mechanism associated with each station. The

[0041]図2Bは、(図1に示された)上部40bおよびシールド32が除去されている集積熱ユニット10の簡略斜視図である。図2Bには、焼成ステーション12のシャトル18、冷却プレート30およびクラムシェルエンクロージャ22が見える。ハウジング40の後部サポートピース90および底部ピース40c間の空間47も見える。図5にも見える空間47は詳細に後述されるように集積熱ユニット10の長さの大部分に沿って延びており、シャトル18にステーション12、14および16間でウェーハを移送させる。   [0041] FIG. 2B is a simplified perspective view of the integrated thermal unit 10 with the top 40b and shield 32 (shown in FIG. 1) removed. In FIG. 2B, the shuttle 18 of the firing station 12, the cooling plate 30, and the clamshell enclosure 22 are visible. A space 47 between the rear support piece 90 and the bottom piece 40c of the housing 40 is also visible. The space 47, also visible in FIG. 5, extends along most of the length of the integrated thermal unit 10, as will be described in detail below, and causes the shuttle 18 to transfer wafers between the stations 12, 14, and 16.

[0042]集積熱ユニット10の一般的な動作をより良好に認識および理解するために、図1および2Bに伴って図3を参照する。図3は、本発明の方法の一実施形態に従ってウェーハを熱処置するために熱ユニット10によって実行されるイベントのシーケンスを図示する簡略ブロック図である。ウェーハは、例えばトラックリソグラフィツールの適切なコーティングステーションでフォトレジスト層をウェーハにわたって堆積させた後、図3に説明されているプロセスに従って処置されてもよい。以下の説明はユニット10内での単一ウェーハの処置に焦点を合わせているが、当業者は、熱ユニット10はしばしば2つのウェーハを同時処理するために使用されることを理解するであろう。例えば、一方のウェーハが焼成プレート20で加熱されている間、熱ユニット10は、冷却プレート30上で別のウェーハを冷却したり、その熱処置の完了時に熱ユニット外に別のウェーハを移送したりするプロセス中であってもよい。   [0042] To better appreciate and understand the general operation of the integrated thermal unit 10, refer to FIG. 3 in conjunction with FIGS. 1 and 2B. FIG. 3 is a simplified block diagram illustrating a sequence of events performed by the thermal unit 10 to thermally treat a wafer according to one embodiment of the method of the present invention. The wafer may be treated according to the process described in FIG. 3, for example, after depositing a photoresist layer over the wafer at a suitable coating station of a track lithography tool. Although the following description focuses on the treatment of a single wafer within unit 10, those skilled in the art will appreciate that thermal unit 10 is often used to process two wafers simultaneously. . For example, while one wafer is heated by the baking plate 20, the thermal unit 10 cools another wafer on the cooling plate 30, or transfers another wafer outside the thermal unit when the thermal treatment is completed. Or during the process.

[0043]図3に示されているように、熱ユニット10のウェーハ履歴は、ウェーハをウェーハ移送スロット41bを介して熱ユニット10に移送して、このウェーハをシャトルステーション16の静止リフトピン36(図1)上に置くことによって開始する(図3、ステップ50)。ウェーハは、例えば、ウェーハ移送スロット41aおよび41bならびに、トラックリソグラフィツール(図示せず)の1つ以上のコーティングまたは現像ステーションの両方にサービス提供する中央ロボットによって熱ユニット10に移送されてもよい。通常ウェーハ移送スロット41bはシャッター34bによって閉鎖されることによって、ステップ50はまた、スロット41bを開放するためにシャッター34bを移動させる工程を含んでいる。ステップ50中、シャトル18は、リフトピン36がシャトル18のスロット19aおよび19bを介して延びるステーション16におけるウェーハ受け取り位置にある。ウェーハがリフトピン36に適切に位置決めされた後、ロボットアームは熱ユニットから後退し、冷却シャトル18は静止リフトピン36からウェーハを持ち上げるために上昇してから(図3、ステップ51)、ウェーハを焼成ステーション12に移送するために熱ユニットの長さに沿って線形に移動される(図3、ステップ52)。焼成ステーション12への経路は、冷却ステーション14の粒子シールド32上にシャトル18を導く。   [0043] As shown in FIG. 3, the wafer history of the thermal unit 10 transfers the wafer to the thermal unit 10 via the wafer transfer slot 41b, and this wafer is transferred to the stationary lift pins 36 (see FIG. 1) Start by placing on top (FIG. 3, step 50). Wafers may be transferred to thermal unit 10 by, for example, a central robot servicing both wafer transfer slots 41a and 41b and one or more coating or developing stations of a track lithography tool (not shown). Normally, the wafer transfer slot 41b is closed by the shutter 34b, so that step 50 also includes moving the shutter 34b to open the slot 41b. During step 50, shuttle 18 is in a wafer receiving position at station 16 where lift pins 36 extend through slots 19 a and 19 b of shuttle 18. After the wafer is properly positioned on the lift pins 36, the robot arm is retracted from the thermal unit and the cooling shuttle 18 is raised to lift the wafer from the stationary lift pins 36 (FIG. 3, step 51) before the wafer is fired at the firing station. 12 is moved linearly along the length of the thermal unit for transfer to 12 (FIG. 3, step 52). The path to the firing station 12 leads the shuttle 18 over the particle shield 32 of the cooling station 14.

[0044]焼成ステーション12において、ウェーハはリフトピン38に置かれて、シャトル18は自由に別のタスクを取り扱ったり、シャトルステーション16におけるこのホーム位置に戻ったりすることができる(図3、ステップ53)。シャトルがホーム位置に戻っている間、焼成プレート20は電動リフト28によって上昇することによって、ウェーハを静止リフトピン38から取り上げ、ウェーハをクラムシェルエンクロージャ22内の焼成位置に持っていくことができる(図3、ステップ54)。クラムシェルエンクロージャ22内部に入ると、ウェーハは所望の熱レシピに従って加熱または焼成される(図3、ステップ55)。   [0044] At the firing station 12, the wafer is placed on the lift pins 38 and the shuttle 18 is free to handle another task or return to this home position at the shuttle station 16 (FIG. 3, step 53). . While the shuttle returns to the home position, the firing plate 20 is raised by the electric lift 28 to pick up the wafer from the stationary lift pins 38 and bring the wafer to the firing position within the clamshell enclosure 22 (FIG. 3, Step 54). Once inside the clamshell enclosure 22, the wafer is heated or baked according to the desired thermal recipe (FIG. 3, step 55).

[0045]焼成ステップ55の完了後、焼成プレート20は、ウェーハをリフトピン38から下ろすウェーハ受け取り位置に下げられる(図3、ステップ56)。次に、シャトル18は焼成ステーション12に戻り、ウェーハをリフトピン38から取り上げ(図3、ステップ57)、ウェーハを冷却ステーション14に持っていく(図3、ステップ58)。冷却ステーション14への経路はシャトルを、粒子シールド32を経て、シャトル18が冷却ステーション14に対して低下および移動させられるシャトルステーション16に導く。冷却ステーション14に入ると、リフトピン37は空気圧リフトによって上昇して、ウェーハをシャトルから持ち上げる(図3、ステップ59)。シャトル18はそして自由に別のタスクを取り扱ったり、ステーション16におけるホーム位置に戻ったりすることができ(図3、ステップ60)、またリフトピン37は、ウェーハを冷却プレート30に下ろすために低下される(図3、ステップ61)。   [0045] After completion of the firing step 55, the firing plate 20 is lowered to a wafer receiving position where the wafer is lowered from the lift pins 38 (FIG. 3, step 56). Next, the shuttle 18 returns to the baking station 12, picks up the wafer from the lift pins 38 (FIG. 3, step 57), and takes the wafer to the cooling station 14 (FIG. 3, step 58). The path to the cooling station 14 leads the shuttle through the particle shield 32 to the shuttle station 16 where the shuttle 18 is lowered and moved relative to the cooling station 14. Upon entering the cooling station 14, the lift pins 37 are lifted by a pneumatic lift to lift the wafer from the shuttle (FIG. 3, step 59). The shuttle 18 is then free to handle other tasks or return to the home position at the station 16 (FIG. 3, step 60), and the lift pins 37 are lowered to lower the wafer to the cooling plate 30. (FIG. 3, step 61).

[0046]ウェーハは次いで所定の熱レシピに従って冷却プレート30上で冷却される(図3、ステップ62)。冷却プロセスの完了後、リフトピン37は、ウェーハを冷却プレートから取り上げるために上昇し(図3、ステップ63)、ウェーハは、例えばステップ50でウェーハを熱ユニットに移送した同一の中央ロボットによって取り上げられることによって、細長いスロット41aを介して集積熱ユニット外に移送される(図3、ステップ64)。通常、細長いスロット41aはシャッター34aによって閉鎖されることによって、ステップ64もまた、スロット41aを開放するためにシャッター34aを開く工程を含む。   [0046] The wafer is then cooled on the cooling plate 30 according to a predetermined thermal recipe (FIG. 3, step 62). After completion of the cooling process, the lift pins 37 are raised to pick up the wafer from the cooling plate (FIG. 3, step 63), and the wafer is picked up by the same central robot that transferred the wafer to the thermal unit, eg, in step 50. Is transferred out of the integrated heat unit through the elongated slot 41a (FIG. 3, step 64). Typically, the elongated slot 41a is closed by the shutter 34a, so that step 64 also includes opening the shutter 34a to open the slot 41a.

[0047]本発明の実施形態によって上記のようなプロセスが、高度に制御可能かつ高度に反復可能な方法で実施される。従って、本発明の実施形態は、具体的な熱レシピに従って集積熱ユニット10内で処理される各ウェーハの熱処置の極めて高い均一度を保証する助けとなる。より詳細に後述されるように、本発明の多数の具体的態様は、相互に独立してあるいは組み合わさって、このような反復可能な均一ウェーハ履歴を達成する助けとなるように使用可能である。   [0047] According to embodiments of the present invention, processes such as those described above are performed in a highly controllable and highly repeatable manner. Thus, embodiments of the present invention help to ensure a very high degree of uniformity in the thermal treatment of each wafer being processed in the integrated thermal unit 10 according to a specific thermal recipe. As described in more detail below, numerous embodiments of the present invention can be used to help achieve such a repeatable uniform wafer history, either independently of or in combination with each other. .

[0048]このような態様は冷却プレート30に対するホットプレート20の配置である。具体的には、本発明の一部の実施形態では、ホットプレート20は、冷却プレート30の位置よりも高い位置で集積熱ユニット10内に位置決めされる。焼成プレート20から発生された熱は概して熱ユニット10の上部位置に上昇するので、このような位置決めは、経時的なウェーハの熱処置の相違につながることがある焼成ステーションと冷却ステーション間の熱クロストークを最小化する助けとなる。   [0048] Such an embodiment is the arrangement of the hot plate 20 relative to the cooling plate 30. Specifically, in some embodiments of the present invention, the hot plate 20 is positioned in the integrated thermal unit 10 at a position that is higher than the position of the cooling plate 30. Because the heat generated from the firing plate 20 generally rises to the upper position of the thermal unit 10, such positioning can lead to differences in the thermal treatment of the wafer over time, a thermal cross between the firing station and the cooling station. Helps minimize talk.

[0049]本発明のこの態様は図4に示されており、これは、焼成プレート20および冷却プレート30を示す集積熱ユニット10の一部の簡略断面図である。図4に示されるように、ホットプレート20がクラムシェルエンクロージャ22内の焼成位置71にある場合、ウェーハサポート表面70は、冷却プレート30のウェーハサポート表面72がある水平平面Cからかなり上方の水平平面Aにある。一部の実施形態では、平面Aは平面Cから少なくとも4cm上方にあり、具体的な実施形態では、平面Aは平面Cから6cm上方にある。さらに、本発明の一部の実施形態では、焼成プレートが(後述の)ヒートシンク140と係合される場合も、ウェーハ受け取り位置にある間は、焼成プレートの上部表面70は、冷却プレートの上部表面72(平面C)の上方にある水平平面Bにある。一部の実施形態では、平面Bは平面Cから少なくとも2cm上方にあり、具体的な実施形態では、平面Bは平面Cから2.5cm上方にある。また、一部の実施形態では、粒子シールド32の上部表面はまた実質的に平面Bの近くにある。   [0049] This aspect of the present invention is illustrated in FIG. 4, which is a simplified cross-sectional view of a portion of an integrated thermal unit 10 showing a firing plate 20 and a cooling plate 30. FIG. As shown in FIG. 4, when the hot plate 20 is in the firing position 71 in the clamshell enclosure 22, the wafer support surface 70 is a horizontal plane substantially above the horizontal plane C where the wafer support surface 72 of the cooling plate 30 is located. A. In some embodiments, plane A is at least 4 cm above plane C, and in specific embodiments, plane A is 6 cm above plane C. Further, in some embodiments of the present invention, even when the firing plate is engaged with a heat sink 140 (described below), while in the wafer receiving position, the firing plate top surface 70 is the cooling plate top surface. It is in the horizontal plane B above 72 (plane C). In some embodiments, plane B is at least 2 cm above plane C, and in specific embodiments, plane B is 2.5 cm above plane C. Also, in some embodiments, the top surface of particle shield 32 is also substantially near plane B.

[0050]焼成プレート20および冷却プレート30の位置のこのような高さの違いを維持することは、2つのステーション間の熱クロストークを最小化する助けとなり、また複数のウェーハ間の高度に制御された反復可能な熱処置を保証する助けとなる。   [0050] Maintaining such height differences in the positions of the firing plate 20 and the cooling plate 30 helps to minimize thermal crosstalk between the two stations and is highly controlled between multiple wafers. Helps to ensure a repeatable thermal treatment.

[0051]各ウェーハの熱処置のかなり高度の均一性を保証する助けとなる本発明の別の態様はシャトル18の設計である。シャトル18の簡略斜視図である図5に示されているように、シャトルは、シャトルがウェーハを一方のステーションからもう一方に移送する際に半導体ウェーハが置かれるウェーハ受け取りエリア74を含んでいる。一実施形態では、シャトル18はアルミニウムからなり、ウェーハ受け取りエリア74およびシャトルの上部表面75の他の部分は、シャトルの(通路75として図4に示された)冷却剤通路を流れる冷却剤(例えば、脱イオン水)によってアクティブに冷却される。   [0051] Another aspect of the present invention that helps to ensure a fairly high degree of uniformity in the thermal treatment of each wafer is the shuttle 18 design. As shown in FIG. 5, which is a simplified perspective view of shuttle 18, the shuttle includes a wafer receiving area 74 in which semiconductor wafers are placed as the shuttle transfers wafers from one station to the other. In one embodiment, the shuttle 18 is made of aluminum, and the wafer receiving area 74 and other portions of the shuttle top surface 75 are made of coolant (e.g., shown in FIG. Actively cooled by deionized water).

[0052]冷却剤は、入口/出口76に接続するチューブによって通路75に送出され、これはまたシャトル全体に流体を均一に分布する助けとなるシャトル18の一部79内のマニホルド(図示せず)に接続している。流体チューブは、シャトル18が集積熱ユニットの長さを横切る際にチューブサポート機構77のフィンガ78によって少なくとも部分的にサポートされる。ウェーハ受け取り表面74をアクティブに冷却することは、ウェーハが熱ユニット10内にある間は常にウェーハ温度の正確な熱コントロールを維持する助けとなる。シャトル18をアクティブに冷却することはまた、ウェーハが専用冷却ステーションに移送されるまでこのようなアクティブ冷却が生じなければ開始されるであろう場合よりも早くウェーハ冷却プロセスを開始し、これはまたウェーハの熱バジェット全体を低下させる。   [0052] The coolant is delivered to the passage 75 by a tube connected to the inlet / outlet 76, which also provides a manifold (not shown) in the portion 79 of the shuttle 18 that helps distribute fluid evenly throughout the shuttle. ) Is connected. The fluid tube is at least partially supported by fingers 78 of the tube support mechanism 77 as the shuttle 18 traverses the length of the integrated thermal unit. Active cooling of the wafer receiving surface 74 helps to maintain accurate thermal control of the wafer temperature whenever the wafer is in the thermal unit 10. Actively cooling the shuttle 18 also initiates the wafer cooling process earlier than would otherwise be the case if such active cooling did not occur until the wafer was transferred to a dedicated cooling station. Reduce the overall wafer thermal budget.

[0053]スロット19a、19b、ウェーハポケットボタン80および小型接触エリア近接ピン82およびスロット19a、19bもまた図5に示されている。スロット19a、19bによってシャトルは、リフトピンによって保持されているウェーハの下に位置決めまたは移動される。例えば、冷却ステーション14において、ウェーハは、冷却ステップ63の前後に三角形に配列された1セット3つのリフトピン上の冷却プレートの上方に保持される(リフトピンが冷却プレート30を介して延びるようにするホール84を示す図7を参照)。スロット19aは、シャトル18が3つのリフトピンのうちの2つをスライド通過するように整列され、スロット19bは、シャトルが第3のリフトピンをスライド通過するように整列される。ポケットボタン80はシャトル18の上部表面のスレッドホールにねじ止めし、この表面の上方に延びてウェーハ受け取りエリア74内にウェーハをセンタリングする助けとなる。ポケットボタン80は、強い疲労抵抗および熱安定性を示す熱可塑性材料などの相当に柔軟な材料から形成可能である。一実施形態では、ボタン80はポリエーテルエーテルケトンからなり、これはPEEKとしても既知である。   [0053] Slots 19a, 19b, wafer pocket buttons 80 and small contact area proximity pins 82 and slots 19a, 19b are also shown in FIG. Slots 19a, 19b position or move the shuttle under the wafer held by the lift pins. For example, at the cooling station 14, the wafer is held above the cooling plate on a set of three lift pins arranged in a triangle before and after the cooling step 63 (a hole that allows the lift pins to extend through the cooling plate 30. FIG. 7 showing 84). Slot 19a is aligned so that shuttle 18 slides through two of the three lift pins, and slot 19b is aligned so that the shuttle slides through the third lift pin. The pocket button 80 is screwed into a threaded hole on the upper surface of the shuttle 18 and extends above this surface to help center the wafer in the wafer receiving area 74. The pocket button 80 can be formed from a fairly flexible material, such as a thermoplastic material that exhibits strong fatigue resistance and thermal stability. In one embodiment, button 80 is made of polyetheretherketone, also known as PEEK.

[0054]近接ピン82はシャトル18の上部表面74にわたって分布されており、サファイアなどの摩擦係数の低い材料から製作される。近接ピン82によって、シャトル18によって搬送中のウェーハは、温度コントロール表面74に極めて近接させられる。ウェーハおよび温度コントロール表面74間の小空間は、ウェーハの表面エリア全体にわたって均一な冷却をもたらす助けとなるのに対して、同時に、ウェーハの下面とシャトル間の接触を最小化することによって、粒子や汚染物がこのような接触から生じる可能性を低下させる。近接ピン82のさらなる詳細は、2005年4月20日に出願され、“Purged Vacuum Chuck with Proximity Pins”と題された米国出願第11/111,155号(代理人整理番号:A9871/T60200)に説明されており、これは参照してここに組み込まれている。一具体的な実施形態においては、シャトル18は4個のポケットボタン80および17個の近接ピン82を含んでいる。   [0054] Proximity pins 82 are distributed over the upper surface 74 of the shuttle 18 and are fabricated from a low coefficient of friction material such as sapphire. Proximity pins 82 cause the wafer being transported by shuttle 18 to be in close proximity to temperature control surface 74. The small space between the wafer and the temperature control surface 74 helps provide uniform cooling across the entire surface area of the wafer, while at the same time minimizing contact between the underside of the wafer and the shuttle, Reduces the likelihood of contaminants from such contact. Further details of the proximity pin 82 can be found in US application Ser. No. 11 / 111,155 (Attorney Docket No. A9871 / T60200) filed April 20, 2005 and entitled “Purged Vacuum Chuck with Proximity Pins”. Which has been described and incorporated herein by reference. In one specific embodiment, shuttle 18 includes four pocket buttons 80 and 17 proximity pins 82.

[0055]シャトル18はまた、焼成ステーション12および冷却ステーション14が除去されている集積熱ユニット10の一部の斜視図である図6に示されたサポートプレート88にシャトルを搭載させる細長いU状サポートブラケット86を含んでいる。図6に見られるように、サポートプレート88は、スロット47を介して底部プレート40cに搭載される後部サポートピース90の下およびこの付近にループする。プレート88(ひいてはシャトル18)はトラック48(水平経路X)に沿って線形に移動可能である。プレート88はまたトラック49に沿って垂直にスライドし、特定のステーションでウェーハを取り上げ、かつ/またはこれを落とすために、シャトル18を昇降させる(垂直経路Z)。   [0055] The shuttle 18 also has an elongated U-shaped support that mounts the shuttle on the support plate 88 shown in FIG. 6, which is a perspective view of a portion of the integrated thermal unit 10 with the firing station 12 and cooling station 14 removed. A bracket 86 is included. As can be seen in FIG. 6, the support plate 88 loops under and near the rear support piece 90 that is mounted to the bottom plate 40 c via the slot 47. The plate 88 (and thus the shuttle 18) can move linearly along the track 48 (horizontal path X). The plate 88 also slides vertically along the track 49 to raise and lower the shuttle 18 to pick up and / or drop the wafer at a particular station (vertical path Z).

[0056]次に、本発明の一実施形態に従った冷却プレート30の斜視図である図7を参照すると、冷却プレート30は、脱イオン水などの冷却液体を冷却剤チャネル(図示せず)を介して循環させてウェーハサポート表面72上にサポートされているウェーハを冷却する冷却剤入口95および出口96を含んでいる。冷却プレート30はまた、図5を参照して上述されたボタン80および近接ピン82に類似の多数のウェーハポケットボタン85および小型接触エリア近接ピン83を含んでいる。一具体的な実施形態では、冷却プレート30は8個のポケットボタン85および17個の近接ピン83を含んでいる。また、図7には示されていないが、冷却プレート30は複数の真空ポートを含んでもよく、また冷却プロセス中にウェーハを冷却プレートに固定するために真空チャックに動作可能に結合されてもよい。   [0056] Referring now to FIG. 7, which is a perspective view of a cooling plate 30 according to one embodiment of the present invention, the cooling plate 30 draws a cooling liquid, such as deionized water, into a coolant channel (not shown). And includes a coolant inlet 95 and an outlet 96 for cooling the wafer supported on the wafer support surface 72. The cooling plate 30 also includes a number of wafer pocket buttons 85 and small contact area proximity pins 83 similar to the buttons 80 and proximity pins 82 described above with reference to FIG. In one specific embodiment, the cooling plate 30 includes eight pocket buttons 85 and 17 proximity pins 83. Also, although not shown in FIG. 7, the cooling plate 30 may include a plurality of vacuum ports and may be operably coupled to a vacuum chuck to secure the wafer to the cooling plate during the cooling process. .

[0057]図7にも示されていないが、(図1に示された)粒子シールド32は、冷却プレートと、冷却プレート上に位置決めされた任意のウェーハとを、シャトル18が冷却プレート30上の焼成ステーション12およびシャトルステーション16間を横切る場合に生じうる粒子汚染から保護するために、冷却プレート30上方に位置決めされる。粒子シールド32は必要ならばシャトル18を粒子シールドの下を通過させかつ冷却プレート30にアクセスさせる方法で、焼成ステーション12および冷却ステーション14間の底部ハウジングピース40c(図4参照)と、ハウジング40のフロントサイドピース40aとに接続される。一具体的実施形態では、粒子シールド32はステンレス鋼からなる。   [0057] Although not shown in FIG. 7, the particle shield 32 (shown in FIG. 1) provides a cooling plate and any wafer positioned on the cooling plate, and the shuttle 18 is on the cooling plate 30. Positioned above the cooling plate 30 to protect against particle contamination that may occur when crossing between the firing station 12 and the shuttle station 16. The particle shield 32 is passed in a manner that allows the shuttle 18 to pass under the particle shield and access the cooling plate 30 if necessary, such as the bottom housing piece 40c (see FIG. 4) between the firing station 12 and the cooling station 14, and the housing 40 It is connected to the front side piece 40a. In one specific embodiment, the particle shield 32 is made of stainless steel.

[0058]次に図8、9および10を参照すると、図8は、本発明の一実施形態に従った、図2Bに示された焼成ステーション12の斜視図であり、図9は、図8に示された焼成ステーション12の断面の斜視図であり、図10は、焼成ステーションの断面図である。図8乃至10に示されるように、焼成ステーション12は、焼成プレート20、上部ヒートプレート110および側部ヒートプレート112の3つの個別等温加熱要素を有しており、これらの各々は、アルミニウムや他の適切な材料からなる、高熱伝導率を示す材料からなる。各プレート20、110、112は、プレート内に埋め込まれた加熱要素、例えば抵抗加熱要素を有している。焼成ステーション12はまた、それぞれ側部の上部および底部のヒートシールド116および118、ならびに(図10にのみ示されている)焼成プレート20および蓋120を囲む底部カップ119を含んでいる。ヒートシールド116、118、カップ119および蓋120の各々はアルミニウムからなる。蓋120は、スレッドホール115を介してスレッド接続された8個のねじによって上部ヒートプレート110に取り付けられている。   [0058] Referring now to FIGS. 8, 9 and 10, FIG. 8 is a perspective view of the firing station 12 shown in FIG. 2B according to one embodiment of the present invention, and FIG. FIG. 10 is a cross-sectional perspective view of the baking station 12 shown in FIG. As shown in FIGS. 8-10, the firing station 12 has three separate isothermal heating elements, a firing plate 20, an upper heat plate 110, and a side heat plate 112, each of which includes aluminum and other It is made of a material exhibiting high thermal conductivity, made of a suitable material. Each plate 20, 110, 112 has a heating element, for example a resistance heating element, embedded in the plate. The baking station 12 also includes side top and bottom heat shields 116 and 118, and a bottom cup 119 that surrounds the baking plate 20 and lid 120 (shown only in FIG. 10). Each of heat shields 116 and 118, cup 119, and lid 120 is made of aluminum. The lid 120 is attached to the upper heat plate 110 by eight screws threadedly connected through a thread hole 115.

[0059]焼成プレート20は電動リフト26に動作可能に接続されているため、焼成プレートはクラムシェルエンクロージャ22内に上昇し、かつウェーハ受け取り位置に降下することが可能である。通常、ウェーハは、図4に位置71で示された焼成位置に上昇する場合に、焼成プレート20で加熱される。焼成位置にある場合、カップ119は、焼成プレートおよびエンクロージャ22によって形成された内部キャビティ内に、焼成プレート20によって発生された熱を閉じ込める助けとなるクラムシェル配列を形成する側部ヒートプレート112の底部を囲む。一実施形態では、焼成プレート20の上部表面は、シャトル18および冷却プレート30を参照して説明したものと類似の8個のウェーハポケットボタンおよび17個の近接ピンを含んでいる。また、一実施形態では、焼成プレート20は複数の真空ポートを含んでもよく、また焼成プロセス中にウェーハを焼成プレートに固定するために真空チャックに動作可能に結合されてもよい。   [0059] Because the firing plate 20 is operatively connected to the electric lift 26, the firing plate can be raised into the clamshell enclosure 22 and lowered to the wafer receiving position. Typically, the wafer is heated by the firing plate 20 as it rises to the firing position shown at position 71 in FIG. When in the firing position, the cup 119 is located at the bottom of the side heat plate 112 that forms a clamshell array that helps confine the heat generated by the firing plate 20 within the internal cavity formed by the firing plate and the enclosure 22. Enclose. In one embodiment, the top surface of the firing plate 20 includes 8 wafer pocket buttons and 17 proximity pins similar to those described with reference to the shuttle 18 and the cooling plate 30. In one embodiment, the firing plate 20 may also include a plurality of vacuum ports and may be operably coupled to a vacuum chuck to secure the wafer to the firing plate during the firing process.

[0060]焼成プロセス中は、フェースプレート122が焼成プレート20のウェーハサポート表面70の真上に、かつこれに対向して位置決めされる。フェースプレート122はアルミニウムならびに他の適切な材料からなってもよく、また焼成プレート20上で焼成中のウェーハの表面から焼き出されたガスおよび汚染物を、フェースプレート122を介して、フェースプレート122および上部ヒートプレート110間に作成された半径方向内側のガス流124に流す複数のホールまたはチャネル122aを含んでいる。   [0060] During the firing process, the faceplate 122 is positioned directly above and opposite the wafer support surface 70 of the firing plate 20. The face plate 122 may be made of aluminum and other suitable materials, and gas and contaminants baked out from the surface of the wafer being fired on the firing plate 20 are passed through the face plate 122 through the face plate 122. And a plurality of holes or channels 122a that flow in the radially inner gas flow 124 created between the upper heat plates 110.

[0061]半径方向内側のガス流124からのガスはまず、ガス入口ライン127によって、上部ヒートプレート110の外部を囲む環状ガスマニホルド126で焼成ステーション12に導入される。ガスマニホルド126は、上部ヒートプレート110の下部表面およびフェースプレート122の上部表面間のキャビティ132にマニホルド126からガスを流す多数の小型ガス入口130(一実施形態では128個の入口)を含んでいる。ガスは、複数のガス出口ホール136を含む拡散プレート134を介してステーションの中心に向かって半径方向内側に流れる。拡散プレート134を流れた後、ガスはガス出口ライン128を介して焼成ステーション12を出る。   [0061] Gas from the radially inner gas stream 124 is first introduced into the firing station 12 by a gas inlet line 127 through an annular gas manifold 126 that surrounds the exterior of the upper heat plate 110. The gas manifold 126 includes a number of small gas inlets 130 (128 inlets in one embodiment) that flow gas from the manifold 126 into a cavity 132 between the lower surface of the upper heat plate 110 and the upper surface of the faceplate 122. . The gas flows radially inward toward the center of the station via a diffusion plate 134 that includes a plurality of gas outlet holes 136. After flowing through the diffuser plate 134, the gas exits the firing station 12 via the gas outlet line 128.

[0062]本発明の一態様は、ある熱レシピから別の熱レシピへの切り換えと関連した任意の遅延を最小化する助けとなることによって、集積熱ユニット10を介する高いウェーハスループットが図11および12を参照して後述されることを保証する助けとなる。図11は、図8乃至10に示された焼成ステーション12の底部斜視図である。図11に示されたように、本発明の一実施形態では、焼成ステーション12は複数の係合可能なヒートシンク140を含んでいる。各係合可能なヒートシンク140は、アルミニウム、銅、ステンレス鋼または他の金属などの適切なヒートシンク材料からなる。   [0062] One aspect of the present invention helps to minimize any delay associated with switching from one thermal recipe to another, thereby increasing the high wafer throughput through integrated thermal unit 10 in FIG. 12 to help ensure that it will be described later. FIG. 11 is a bottom perspective view of the firing station 12 shown in FIGS. As shown in FIG. 11, in one embodiment of the present invention, the firing station 12 includes a plurality of engageable heat sinks 140. Each engageable heat sink 140 is made of a suitable heat sink material such as aluminum, copper, stainless steel or other metal.

[0063]上記のように、焼成プレート20は具体的な熱レシピに従ってウェーハを加熱する。熱レシピの一要素は通常、ウェーハ加熱するために焼成プレートが設定されるセットポイント温度である。焼成プロセス中、ウェーハの温度は定期的に測定されて、焼成プレートの1つ以上のゾーンは、基板の均一な加熱を保証するように調整可能である。通常焼成プレートは、多数のウェーハが同一の熱レシピに従って処理される際に所望のセットポイント温度に加熱される。従って、例えば、具体的な熱レシピがセットポイント温度175℃を必要とし、このレシピが100個の連続ウェーハ上で実施される場合、焼成プレート20は、100個の連続ウェーハを処理するのにかかる時間中175℃に加熱される。しかしながら後続の200個のウェーハが、例えばセットポイント温度130℃を必要とする異なる熱レシピに従って処理される場合、焼成プレート20のセットポイント温度は、100番目のウェーハおよび101番目のウェーハの処理の間に175℃から130℃に急速に変更される必要がある。   [0063] As described above, the firing plate 20 heats the wafer according to a specific thermal recipe. One element of a thermal recipe is usually the set point temperature at which the firing plate is set to heat the wafer. During the firing process, the temperature of the wafer is measured periodically and one or more zones of the firing plate can be adjusted to ensure uniform heating of the substrate. Typically, the fired plate is heated to the desired set point temperature when multiple wafers are processed according to the same thermal recipe. Thus, for example, if a specific thermal recipe requires a setpoint temperature of 175 ° C. and this recipe is performed on 100 continuous wafers, the firing plate 20 takes up to process 100 continuous wafers. Heat to 175 ° C. over time. However, if the subsequent 200 wafers are processed according to different thermal recipes, for example requiring a setpoint temperature of 130 ° C., the setpoint temperature of the firing plate 20 is between the 100th wafer and the 101st wafer. It is necessary to rapidly change from 175 ° C to 130 ° C.

[0064]本発明の実施形態は、モーター26によって焼成プレートをウェーハ受け取り位置の下方にある下部冷却位置に下げることによって、焼成プレート20のセットポイント温度の急速な低下を可能にする。冷却位置において、焼成プレートの底部表面73は各ヒートシンク140の上部表面142に接触する。底部カップ119は、ヒートシンクを底部カップ119を介して延ばして焼成プレート20に接触させる複数のヒートシンク140に対応する複数のホール138を含んでいるため、ヒートシンクおよび焼成プレート間の接触は可能である。   [0064] Embodiments of the present invention allow a rapid drop in the set point temperature of the firing plate 20 by lowering the firing plate by the motor 26 to a lower cooling position below the wafer receiving location. In the cooling position, the bottom surface 73 of the firing plate contacts the top surface 142 of each heat sink 140. The bottom cup 119 includes a plurality of holes 138 corresponding to a plurality of heat sinks 140 that extend the heat sink through the bottom cup 119 to contact the firing plate 20 so that contact between the heat sink and the firing plate is possible.

[0065]図12は、係合可能なヒートシンク140の簡略断面図である。図12に示されるように、各係合可能なヒートシンク140は、ヒートシンクの本体よりも長い直径を有する下部ベース部分144を含んでいる。下部ベース部分144は、底部ベースプレート40cおよびアルミニウムプレート150によって画定されるキャビティ152内に嵌合する。ヒートシンクのベース部分144は底部ベースプレートのリップ154に係合し、アルミニウムプレート150およびベース部分140間に位置決めされたばね145によってリップに対して押圧される。   [0065] FIG. 12 is a simplified cross-sectional view of an engageable heat sink 140. FIG. As shown in FIG. 12, each engageable heat sink 140 includes a lower base portion 144 having a longer diameter than the body of the heat sink. Lower base portion 144 fits within cavity 152 defined by bottom base plate 40c and aluminum plate 150. The heat sink base portion 144 engages the bottom base plate lip 154 and is pressed against the lip by a spring 145 positioned between the aluminum plate 150 and the base portion 140.

[0066]焼成プレート20が冷却位置に下げられる場合、ばね145はヒートシンク140に、焼成プレートの下部表面73を押圧させる。すべてのヒートシンク140の合計熱質量によって焼成プレート20は、例えば新たな熱レシピに推移する場合に必要とされるようなより低いセットポイント温度にあるセットポイント温度から急速に冷却される。   [0066] When the firing plate 20 is lowered to the cooling position, the spring 145 causes the heat sink 140 to press the lower surface 73 of the firing plate. Due to the combined thermal mass of all heat sinks 140, the firing plate 20 is rapidly cooled from a set point temperature, for example at a lower set point temperature as required when transitioning to a new thermal recipe.

[0067]図11および12に示されたヒートシンク140は円筒形形状に示されているが、多数の他の形状およびサイズが使用可能である。また、一部の実施形態では、各ヒートシンク140は、ヒートシンクの本体内に1つ以上の冷却剤チャネルを形成することによってアクティブに冷却可能である。また一部の実施形態では、ヒートシンク140は、係合プロセス中にヒートシンクおよび焼成プレート間に円滑な接触を提供する熱パッドをその上部表面142に含んでいる。   [0067] Although the heat sink 140 shown in FIGS. 11 and 12 is shown in a cylindrical shape, numerous other shapes and sizes can be used. Also, in some embodiments, each heat sink 140 can be actively cooled by forming one or more coolant channels within the body of the heat sink. In some embodiments, the heat sink 140 also includes a thermal pad on its upper surface 142 that provides smooth contact between the heat sink and the firing plate during the engagement process.

[0068]図13は、本発明に従った集積熱ユニット150の代替実施形態の概念図である。図13に示された本発明の実施形態と図1に示された実施形態との主要な違いは、それぞれ焼成ステーション12、冷却ステーション14およびシャトルステーション16の配置である。図13において、シャトル(シャトル18に対してシャトル152)は、焼成ステーションおよび冷却ステーション間の中央位置に移動されている。このような配列は、焼成および冷却ステーション間の熱クロストークをさらに低下させるという利点を提供し、また、シャトル18は冷却プレートを「飛び越して」ウェーハを焼成プレート20に送出する必要がないため、粒子シールド32が冷却プレート30に位置決めされる必要性を緩和する。図13の配列と比較した図1の配列の利点は、シャトルが集積熱ユニットに譲渡されたウェーハを受け取る位置にある場合に、焼成プレート20からシャトル18を分離することである。   [0068] FIG. 13 is a conceptual diagram of an alternative embodiment of an integrated thermal unit 150 according to the present invention. The main difference between the embodiment of the present invention shown in FIG. 13 and the embodiment shown in FIG. 1 is the arrangement of the firing station 12, the cooling station 14, and the shuttle station 16, respectively. In FIG. 13, the shuttle (shuttle 152 relative to shuttle 18) has been moved to a central position between the firing station and the cooling station. Such an arrangement provides the advantage of further reducing thermal crosstalk between the firing and cooling stations, and because shuttle 18 does not have to “jump over” the cooling plate and deliver the wafer to firing plate 20. Alleviates the need for the particle shield 32 to be positioned on the cooling plate 30. The advantage of the arrangement of FIG. 1 over the arrangement of FIG. 13 is that the shuttle 18 is separated from the firing plate 20 when the shuttle is in a position to receive the wafers transferred to the integrated thermal unit.

[0069]また、図13のシャトル152は、ハウジング40の長さに沿ったX軸(水平経路)に沿って線形に移動するように動作可能に構成されているが、垂直に移動可能であるようには構成されていない。この違いは、シャトル152とステーション間でウェーハを適切に交換するために、焼成、冷却およびシャトルステーションの各々で移動可能なリフトピンを必要とする。   [0069] The shuttle 152 of FIG. 13 is also configured to be operable to move linearly along the X axis (horizontal path) along the length of the housing 40, but is movable vertically. It is not configured as such. This difference requires lift pins that are movable at each of the firing, cooling and shuttle stations in order to properly exchange wafers between the shuttle 152 and the station.

[0070]図14は、本発明の実施形態が使用可能なトラックリソグラフィツール200の一実施形態の平面図である。図14に示されているように、トラックリソグラフィ200は、(ファクトリインタフェースと称されることもある)フロントエンドモジュール210と、中央モジュール212と、(スキャナインタフェースと称されることもある)後部モジュール214とを含有している。フロントエンドモジュール210は概して1つ以上のポッドアセンブリつまりFOUP(例えば、アイテム216A乃至D)と、フロントエンドロボット218と、フロントエンド処理ラック220A、220Bとを含有している。1つ以上のポッドアセンブリ216A乃至Dは概して、トラックリソグラフィツール200で処理される1つ以上の基板「W」つまりウェーハを含有することもある1つ以上のカセット230を受容するように適合されている。   [0070] FIG. 14 is a plan view of one embodiment of a track lithography tool 200 in which embodiments of the present invention may be used. As shown in FIG. 14, track lithography 200 includes a front end module 210 (sometimes referred to as a factory interface), a central module 212, and a rear module (sometimes referred to as a scanner interface). 214. The front end module 210 generally contains one or more pod assemblies or FOUPs (eg, items 216A-D), a front end robot 218, and front end processing racks 220A, 220B. The one or more pod assemblies 216A-D are generally adapted to receive one or more cassettes 230 that may contain one or more substrates “W” or wafers to be processed by the track lithography tool 200. Yes.

[0071]中央モジュール212は概して、第1の中央処理ラック222Aと、第2の中央処理ラック222Bと、中央ロボット224とを含有している。後部モジュール214は概して第1および第2の後部処理ラック226A、226Bと、バックエンドロボット228とを含有している。フロントエンドロボット218は、フロントエンド処理ラック220A、220Bにおける処理モジュールにアクセスするように適合されており、中央ロボット224は、フロントエンド処理ラック220A、220B、第1の中央処理ラック222A、第2の中央処理ラック222Bおよび/または後部処理ラック226A、226Bにおける処理モジュールにアクセスするように適合されており、バックエンドロボット228は、後部処理ラック226A、226Bにおける処理モジュールにアクセスし、場合によってはステッパ/スキャナ5と基板を交換するように適合されている。   [0071] The central module 212 generally contains a first central processing rack 222A, a second central processing rack 222B, and a central robot 224. The rear module 214 generally contains first and second rear processing racks 226A, 226B and a back-end robot 228. The front end robot 218 is adapted to access the processing modules in the front end processing racks 220A, 220B, and the central robot 224 includes the front end processing racks 220A, 220B, the first central processing rack 222A, the second Adapted to access the processing modules in the central processing rack 222B and / or the rear processing racks 226A, 226B, the back-end robot 228 accesses the processing modules in the rear processing racks 226A, 226B and in some cases the stepper / It is adapted to exchange the scanner 5 and the substrate.

[0072]San Jose,CAのCanon USA,Inc.、Belmont,CAのNikon Precision Inc.あるいはTempe ArizonaのASML US,Inc.から購入可能なステッパ/スキャナ5は、例えば集積回路(IC)の製造で使用されるリソグラフィック投影装置である。スキャナ/ステッパツール5は、クラスタツールの基板に堆積された感光性材料(レジスト)を何らかの形態の電磁放射に暴露して、基板表面に形成される集積回路(IC)の個々の層に対応する回路パターンを生成する。   [0072] San Jose, CA of Canon USA, Inc. Nikon Precision Inc. of Belmont, CA. Alternatively, Temp Arizona's ASML US, Inc. A stepper / scanner 5 available from is a lithographic projection apparatus used, for example, in the manufacture of integrated circuits (ICs). The scanner / stepper tool 5 exposes the photosensitive material (resist) deposited on the cluster tool substrate to some form of electromagnetic radiation and corresponds to the individual layers of the integrated circuit (IC) formed on the substrate surface. Generate a circuit pattern.

[0073]処理ラック220A、220B、222A、222Bおよび226A、226Bの各々は、垂直積層配列の複数の処理モジュールを含有している。つまり、処理ラックの各々は複数の積層集積熱ユニット10、複数の積層塗布器モジュール232、共有ディスペンス付きの複数の積層塗布器/現像器モジュール234、あるいはトラックリソグラフィツールに必要な種々の処理ステップを実行するようになっている他のモジュールを含有してもよい。例として、塗布器モジュール232は底部反射防止コーティング(BARC)を堆積してもよく、塗布器/現像器モジュール234はフォトレジスト層を堆積および/または現像するために使用されてもよく、集積熱ユニット10は、BARCおよび/またはフォトレジスト層の硬化と関連した焼成および冷却動作を実行してもよい。   [0073] Each of the processing racks 220A, 220B, 222A, 222B and 226A, 226B contains a plurality of processing modules in a vertically stacked arrangement. That is, each of the processing racks performs various processing steps required for a plurality of stacked integrated thermal units 10, a plurality of stacked applicator modules 232, a plurality of stacked applicator / developer modules 234 with shared dispense, or track lithography tools. It may contain other modules that are adapted to run. By way of example, the applicator module 232 may deposit a bottom antireflective coating (BARC), and the applicator / developer module 234 may be used to deposit and / or develop a photoresist layer, Unit 10 may perform baking and cooling operations associated with curing the BARC and / or photoresist layer.

[0074]一実施形態では、システムコントローラ240が、クラスタツール200で実行されるコンポーネントおよびプロセスのすべてをコントロールするために使用される。コントローラ240は概して、ステッパ/スキャナ5と通信し、クラスタツール200で実行されるプロセスの態様を監視およびコントロールするように適合されており、また完全な基板処理シーケンスの全態様をコントロールするように適合されている。一部の例では、コントローラ240は、集積熱ユニット10のホットプレート20および冷却プレート30をコントロールするコントローラ46A乃至46Dなどの他のコントローラと関連して、特定の態様の処理シーケンスをコントロールするように動作する。通常はマイクロプロセッサベースコントローラであるコントローラ240は、ユーザおよび/または処理チャンバのうちの1つにおける種々のセンサからの入力を受信し、コントローラのメモリに保有されている種々の入力およびソフトウェア命令に従って処理チャンバコンポーネントを適切にコントロールするように構成されている。コントローラ240は概して、種々のプログラムを保有し、プログラムを処理し、かつ必要な場合にはプログラムを実行するためにコントローラによって利用されるメモリおよびCPU(図示せず)を含有している。メモリ(図示せず)はCPUに接続されており、これはまた、ランダムアクセスメモリ(RAM)、リードオンリーメモリ(ROM)、フロッピーディスク、ハードディスク、あるいは他のローカルまたはリモートのディジタル記憶装置などの容易に入手可能なメモリのうちの1つ以上であってもよい。ソフトウェア命令およびデータは、CPUに命令するためにメモリ内にコード化および記憶可能である。サポート回路(図示せず)はまた、従来の方法でプロセッサをサポートするためにCPUに接続されている。サポート回路は、当業界で既知のキャッシュ、電源、クロック回路、入力/出力回路、サブシステムなどを含んでもよい。コントローラ240で読み取り可能なプログラム(またはコンピュータ命令)は、いずれのタスクが(複数の)処理チャンバで実行可能であるかを判断する。好ましくは、プログラムはコントローラ240で読み取り可能なソフトウェアであり、また規定のルールおよび入力データに基づいてプロセスを監視およびコントロールする命令を含んでいる。   [0074] In one embodiment, the system controller 240 is used to control all of the components and processes executed by the cluster tool 200. The controller 240 is generally adapted to communicate with the stepper / scanner 5 and to monitor and control aspects of the process performed by the cluster tool 200 and to control all aspects of the complete substrate processing sequence. Has been. In some examples, the controller 240 is associated with other controllers such as controllers 46A-46D that control the hot plate 20 and cooling plate 30 of the integrated thermal unit 10 to control certain aspects of the processing sequence. Operate. A controller 240, typically a microprocessor-based controller, receives input from various sensors in the user and / or one of the processing chambers and processes it according to various inputs and software instructions stored in the controller's memory. It is configured to properly control the chamber components. The controller 240 generally contains a memory and a CPU (not shown) that are used by the controller to hold various programs, process the programs, and execute the programs when necessary. A memory (not shown) is connected to the CPU, which also facilitates random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or other local or remote digital storage devices. There may be one or more of the available memory. Software instructions and data can be encoded and stored in memory for instructing the CPU. Support circuitry (not shown) is also connected to the CPU to support the processor in a conventional manner. The support circuitry may include caches, power supplies, clock circuits, input / output circuits, subsystems, etc. known in the art. A program (or computer instructions) readable by controller 240 determines which tasks can be performed in the processing chamber (s). Preferably, the program is software readable by controller 240 and includes instructions for monitoring and controlling the process based on defined rules and input data.

[0075]本発明の実施形態は、図14に示されるようなトラックリソグラフィツールとの併用に制限されないことが理解されるべきである。むしろ、本発明の実施形態は、参照して全体がここに組み込まれている2005年4月22日に出願された、“Cluster Tool Architecture for Processing a Substrate”と題された米国出願第11/112,281号(代理人事件整理番号AMAT/9540)に説明されている多数の異なるツール構成と、この11,112,281号出願には説明されていない構成とを含む任意のトラックリソグラフィツールで使用されてもよい。   [0075] It is to be understood that embodiments of the present invention are not limited to use with a track lithography tool as shown in FIG. Rather, embodiments of the present invention are described in US application Ser. No. 11/112, filed Apr. 22, 2005, entitled “Cluster Tool Architecture for Processing a Substrate”, which is hereby incorporated by reference in its entirety. 281 (agent case number AMAT / 9540) and any track lithography tool including those not described in this 11,112,281 application. May be.

[0076]図15は、トラックリソグラフィツール200内で処理される半導体基板の例示的処理シーケンスを示すフローチャートである。当業者は、図15を参照して後述される種々のプロセスステップが、用いられる本発明の方法の多数の異なる可能性を表していることを認識するであろう。当業者はまた、本発明の方法の種々の実施形態は図15に説明された具体的な処理シーケンスに制限されず、また具体的なプロセスレシピに従った複数の基板の熱処理に対して(かつ特定の相補的(complimentary)焼成および冷却ステップにおいて)高度のコントロールを示すことが望ましい任意のプロセスステップシーケンスや任意の用途で使用可能である点を認識するであろう。   FIG. 15 is a flowchart illustrating an exemplary processing sequence for a semiconductor substrate processed within the track lithography tool 200. Those skilled in the art will recognize that the various process steps described below with reference to FIG. 15 represent a number of different possibilities of the inventive method used. One skilled in the art also recognizes that the various embodiments of the method of the present invention are not limited to the specific processing sequence illustrated in FIG. 15 and for thermal processing of multiple substrates according to a specific process recipe (and It will be appreciated that it can be used in any process step sequence and in any application where it is desirable to exhibit a high degree of control (in a particular complementary firing and cooling step).

[0077]図15は、基板表面に形成されたフォトレジスト材料層を堆積、暴露および現像するために使用可能な一連の方法ステップ300の一実施形態を示している。リソグラフィックプロセスは概して以下のものを含んでもよい:コーティングモジュールへの基板移送ステップ310と、底部反射防止コーティング(BARC)塗布ステップ312と、BARC後焼成ステップ314と、BARC後冷却ステップ316と、フォトレジスト塗布ステップ318と、フォトレジスト後焼成ステップ320と、フォトレジスト後冷却ステップ322と、光学的エッジビード除去(OEBR)ステップ324と、暴露ステップ326と、暴露後焼成(PEB)ステップ328と、暴露後焼成冷却ステップ330と、現像ステップ332と、基板洗浄ステップ334と、現像後冷却ステップ336とポッドへの基板移送ステップ338。他の実施形態では、方法ステップ300のシーケンスは再配列、変更されてもよく、1つ以上のステップが除去されてもよく、追加されたさらなるステップや2つ以上のステップが、本発明の基本概念から変更することなく単一ステップに結合されてもよい。   [0077] FIG. 15 illustrates one embodiment of a series of method steps 300 that can be used to deposit, expose and develop a layer of photoresist material formed on a substrate surface. The lithographic process may generally include: a substrate transfer step 310 to the coating module, a bottom anti-reflective coating (BARC) application step 312, a post BARC bake step 314, a post BARC cool step 316, a photo Resist application step 318, post-photoresist baking step 320, post-photoresist cooling step 322, optical edge bead removal (OEBR) step 324, exposure step 326, post-exposure baking (PEB) step 328, and post-exposure A baking cooling step 330, a developing step 332, a substrate cleaning step 334, a post-development cooling step 336, and a substrate transfer step 338 to the pod. In other embodiments, the sequence of method steps 300 may be rearranged, modified, one or more steps may be removed, and additional steps or two or more steps may be the basis of the present invention. It may be combined into a single step without changing from concept.

[0078]ステップ310において、半導体基板がコーティングモジュールに移送される。図14を参照すると、基板をコーティングモジュールに移送するステップ310は概して、フロントエンドロボット218にポッドアセンブリ216のうちの1つにあるカセット230から基板を除去させるプロセスとして定義される。1つ以上の基板「W」を含有するカセット230はユーザまたは何らかの外部デバイス(図示せず)によってポッドアセンブリ216に置かれるため、基板は、システムコントローラ240に保有されているソフトウェアによってコントロールされるユーザ定義基板処理シーケンスによってクラスタツール200において処理可能である。   [0078] In step 310, the semiconductor substrate is transferred to a coating module. Referring to FIG. 14, the step 310 of transferring the substrate to the coating module is generally defined as the process of causing the front end robot 218 to remove the substrate from the cassette 230 in one of the pod assemblies 216. Since the cassette 230 containing one or more substrates “W” is placed on the pod assembly 216 by a user or some external device (not shown), the substrates are controlled by software held in the system controller 240. It can be processed in the cluster tool 200 by the definition substrate processing sequence.

[0079]BARCコーティングステップ310は、基板の表面に有機材料を堆積するために使用されるステップである。BARC層は通常、ステッパ/スキャナ5で実行される暴露ステップ326中に基板の表面からレジストに反射される光を吸収するためにフォトレジスト層の前に基板に塗布される有機コーティングである。これらの反射が防止されなければ、定在波がレジスト層に確立されることになり、これによって部材サイズは、レジスト層の局所的厚さに応じて場所によって変化する。BARC層はまた、基板表面形態を平らにする(つまり平坦化する)ために使用されてもよく、これは概して複数の電子デバイス製作ステップ完了後に存在する。BARC材料は、部材の周辺およびこの上を充填して、フォトレジスト用途に対してより平らな表面を作成し、またレジスト厚の局所的変化を削減する。   [0079] The BARC coating step 310 is a step used to deposit an organic material on the surface of the substrate. The BARC layer is typically an organic coating applied to the substrate prior to the photoresist layer to absorb light reflected from the surface of the substrate to the resist during the exposure step 326 performed by the stepper / scanner 5. If these reflections are not prevented, a standing wave will be established in the resist layer, which causes the member size to vary from location to location depending on the local thickness of the resist layer. The BARC layer may also be used to planarize (ie, planarize) the substrate surface morphology, which generally exists after completion of multiple electronic device fabrication steps. The BARC material fills around and above the member to create a flatter surface for photoresist applications and to reduce local variations in resist thickness.

[0080]BARCコーティングステップ310は通常、基板が回転中に多量のBARC材料が基板の表面に堆積される従来のスピンオンレジストディスペンスプロセスを使用して実行され、これはBARC材料の溶剤を気化させることによって、堆積されたBARC材料の材料特性を変化させる。BARC処理チャンバの空気流および排出流量はしばしば、溶剤気化プロセスと、基板表面に形成された層の特性とをコントロールするためにコントロールされる。   [0080] The BARC coating step 310 is typically performed using a conventional spin-on resist dispensing process in which a large amount of BARC material is deposited on the surface of the substrate while the substrate is spinning, which vaporizes the solvent of the BARC material. To change the material properties of the deposited BARC material. The air flow and exhaust flow rate of the BARC processing chamber is often controlled to control the solvent vaporization process and the properties of the layer formed on the substrate surface.

[0081]BARC後焼成ステップ314は、溶剤のすべてがBARCコーティングステップ312で堆積されたBARC層から除去されて、場合によっては基板の表面へのBARC層の接着を促進することを保証するために使用されるステップである。BARC後焼成ステップ314の温度は、基板の表面に堆積されたBARC材料のタイプに左右されるが、概して約250℃未満であろう。BARC後焼成ステップ314を完了させるのに必要な時間はBARC後焼成ステップ中の基板の温度に左右されるが、概して約60秒未満である。   [0081] The post-BARC firing step 314 is to ensure that all of the solvent is removed from the BARC layer deposited in the BARC coating step 312 and in some cases promotes adhesion of the BARC layer to the surface of the substrate. The step used. The temperature of the post-BARC firing step 314 depends on the type of BARC material deposited on the surface of the substrate, but will generally be less than about 250 ° C. The time required to complete the post BARC bake step 314 depends on the temperature of the substrate during the post BARC bake step, but is generally less than about 60 seconds.

[0082]BARC後冷却ステップ316は、各基板が同一の時間温度プロファイルを満たすことによって(sees)プロセス変動性が最小化されるように、基板が環境温度より高い時間が一貫しているようにコントロールし、かつこれを保証するために使用されるステップである。基板ウェーハ履歴の一要素であるBARCプロセス時間温度プロファイルの変化は堆積された膜層の特性に影響を与える可能性があるため、しばしばプロセス変動性を最小化するためにコントロールされる。BARC後冷却ステップ316は通常、環境温度またはこの付近の温度に、BARC後焼成ステップ314後の基板を冷却するために使用される。BARC後冷却ステップ316を完了させるのに必要な時間はBARC後焼成ステップを終了する基板の温度に左右されるが、概して約30秒未満である。   [0082] The post-BARC cooling step 316 ensures that the substrates are consistently above ambient temperature so that each substrate meets the same time temperature profile and process variability is minimized. The steps used to control and ensure this. Since changes in the BARC process time temperature profile, which is a component of the substrate wafer history, can affect the properties of the deposited film layer, it is often controlled to minimize process variability. The post-BARC cooling step 316 is typically used to cool the substrate after the post-BARC firing step 314 to or near ambient temperature. The time required to complete the post-BARC cooling step 316 depends on the temperature of the substrate completing the post-BARC firing step, but is generally less than about 30 seconds.

[0083]フォトレジストコーティングステップ318は、基板の表面にフォトレジスト層を堆積するために使用されるステップである。フォトレジストコーティングステップ318中に堆積されたフォトレジスト層は通常、基板に塗布される感光性有機コーティングであり、これはまた基板の表面にパターン化部材を形成するためにステッパ/スキャナ5で後に暴露される。フォトレジストコーティングステップ318は通常、基板が回転中に多量のフォトレジスト材料が基板の表面に堆積される従来のスピンオンレジストディスペンスプロセスを使用して実行され、これによってフォトレジスト材料の溶剤を気化させることによって、堆積されたフォトレジスト層の材料特性を変化させる。フォトレジスト処理チャンバの空気流および排出流量は、溶剤気化プロセスと、基板表面に形成された層の特性とをコントロールするためにコントロールされる。場合によっては、排出流量をコントロールすることによって、かつ/または基板表面付近に溶剤を噴射することによって、基板表面の溶剤の分圧をコントロールして、フォトレジストコーティングステップ中にレジストからの溶剤の気化をコントロールする必要がある。図14を参照すると、例示的なフォトレジストコーティングプロセスにおいて、基板はまず、塗布器/現像器モジュール234のウェーハチャックに位置決めされる。モータはウェーハチャックおよび基板を回転させるのに対して、フォトレジストは基板の中央に分配される。回転は環状トルクをフォトレジストに付与し、これはフォトレジスト放射方向に強いて最終的に基板をカバーする。   [0083] The photoresist coating step 318 is a step used to deposit a photoresist layer on the surface of the substrate. The photoresist layer deposited during the photoresist coating step 318 is typically a photosensitive organic coating applied to the substrate, which is also later exposed by the stepper / scanner 5 to form a patterned member on the surface of the substrate. Is done. The photoresist coating step 318 is typically performed using a conventional spin-on resist dispensing process in which a large amount of photoresist material is deposited on the surface of the substrate while the substrate is rotating, thereby vaporizing the solvent of the photoresist material. To change the material properties of the deposited photoresist layer. The air flow and exhaust flow rate of the photoresist processing chamber are controlled to control the solvent vaporization process and the properties of the layer formed on the substrate surface. In some cases, vaporization of solvent from the resist during the photoresist coating step is controlled by controlling the partial flow of solvent on the substrate surface by controlling the discharge flow rate and / or by injecting the solvent near the substrate surface. Need to control. Referring to FIG. 14, in an exemplary photoresist coating process, the substrate is first positioned on the wafer chuck of the applicator / developer module 234. The motor rotates the wafer chuck and the substrate, whereas the photoresist is distributed in the center of the substrate. The rotation imparts an annular torque to the photoresist, which forces the photoresist in the radial direction and eventually covers the substrate.

[0084]フォトレジスト焼成ステップ320は、溶剤のすべてがフォトレジストコーティングステップ318で堆積されたフォトレジスト層から除去されて、場合によってはBARC層へのフォトレジスト層の接着を促進することを保証するために使用されるステップである。フォトレジスト後焼成ステップ320の温度は基板の表面に堆積されたフォトレジスト材料のタイプに左右されるが、概して約350℃未満である。フォトレジスト後焼成ステップ320を完了させるのに必要な時間はフォトレジスト後焼成ステップ中の基板の温度に左右されるが、概して約60秒未満である。   [0084] The photoresist baking step 320 ensures that all of the solvent is removed from the photoresist layer deposited in the photoresist coating step 318, possibly promoting adhesion of the photoresist layer to the BARC layer. Steps used for The temperature of the post-photoresist baking step 320 depends on the type of photoresist material deposited on the surface of the substrate, but is generally less than about 350 ° C. The time required to complete the post-photoresist baking step 320 depends on the temperature of the substrate during the post-photoresist baking step, but is generally less than about 60 seconds.

[0085]フォトレジスト後冷却ステップ322は、各基板が同一の時間温度プロファイルを満たすことによってプロセス変動性が最小化されるように基板が環境温度より高い温度にある時間をコントロールするために使用されるステップである。時間温度プロファイルの変化は、堆積された膜層の特性に影響を与える可能性があるため、しばしば、プロセス変動性を最小化するようにコントロールされる。フォトレジスト後冷却ステップ322の温度は従って、フォトレジスト後焼成ステップ320後の基板を環境温度またはこの付近の温度に冷却するために使用される。フォトレジスト後冷却ステップ322を完了するのに必要な時間はフォトレジスト後焼成ステップを終了する基板の温度に左右されるが、概して約30秒未満である。   [0085] The post-photoresist cooling step 322 is used to control the time that the substrates are at a temperature above ambient temperature so that process variability is minimized by each substrate meeting the same time temperature profile. Step. Since changes in the temporal temperature profile can affect the properties of the deposited film layer, they are often controlled to minimize process variability. The temperature of the post-photoresist cooling step 322 is thus used to cool the substrate after the post-photoresist baking step 320 to ambient temperature or near this temperature. The time required to complete the post-photoresist cooling step 322 depends on the temperature of the substrate completing the post-photoresist baking step, but is generally less than about 30 seconds.

[0086]光学的エッジビード除去(OEBR)ステップ324は、一方または両方の層が基板のエッジから除去され、かつ堆積層のエッジ排除がより均一にコントロール可能になるようにフォトレジストコーティングステップ318中に形成された層およびBARCコーティングステップ312中に形成されたBARC層などの(複数の)堆積された感光性フォトレジスト層を放射源(図示せず)に暴露するために使用されるプロセスである。基板の表面を暴露するために使用される放射の波長および強度は基板の表面に堆積されたBARCおよびフォトレジスト層のタイプに左右される。OEBRツールは、例えばUSHIO America,Inc.Cypress,CAから購入可能である。   [0086] An optical edge bead removal (OEBR) step 324 is performed during the photoresist coating step 318 so that one or both layers are removed from the edge of the substrate and the edge exclusion of the deposited layer is more controllable. The process used to expose the formed layer and the deposited photosensitive photoresist layer (s), such as the BARC layer formed during the BARC coating step 312, to a radiation source (not shown). The wavelength and intensity of the radiation used to expose the surface of the substrate depends on the type of BARC and photoresist layer deposited on the surface of the substrate. OEBR tools are available, for example, from USHIO America, Inc. It can be purchased from Cypress, CA.

[0087]暴露ステップ326は、集積回路(IC)を製造するために使用されるパターンを形成するためにリソグラフィック投影装置(例えば、ステッパスキャナ5)によって適用されるリソグラフィック投影ステップである。暴露ステップ326は、フォトレジストコーティングステップ318中に形成されたフォトレジスト層およびBARCコーティングステップ312中に形成されたBARC層などの感光性材料を何らかの形態の電磁放射に暴露することによって、基板表面上に集積回路(IC)デバイスの個々の層に対応する回路パターンを形成する。   [0087] The exposure step 326 is a lithographic projection step applied by a lithographic projection apparatus (eg, stepper scanner 5) to form a pattern used to manufacture an integrated circuit (IC). The exposing step 326 is performed on the substrate surface by exposing the photosensitive layer, such as the photoresist layer formed during the photoresist coating step 318 and the BARC layer formed during the BARC coating step 312, to some form of electromagnetic radiation. Circuit patterns corresponding to individual layers of an integrated circuit (IC) device are formed.

[0088]暴露後焼成(PEB)ステップ328は、(複数の)光活性化合物の拡散を刺激して、レジスト層の定在波の効果を低下させるために、暴露ステップ326の直後に基板を加熱するのに使用されるステップである。化学増幅レジストについて、PEBステップはまた、レジストの溶解度を変化させる触媒化学反応をもたらす。PEB中の温度のコントロールは通常、臨界寸法(CD)コントロールにとって重要である。PEBステップ328の温度は基板の表面に堆積されたフォトレジスト材料のタイプに左右されるが、概して約250℃未満である。PEBステップ328を完了させるのに必要な時間はPEBステップ中の基板の温度に左右されるが、概して約60秒未満である。   [0088] Post exposure bake (PEB) step 328 heats the substrate immediately after exposure step 326 to stimulate diffusion of the photoactive compound (s) and reduce the standing wave effect of the resist layer. The steps used to do this. For chemically amplified resists, the PEB step also results in a catalytic chemical reaction that changes the solubility of the resist. Control of temperature during PEB is usually important for critical dimension (CD) control. The temperature of PEB step 328 depends on the type of photoresist material deposited on the surface of the substrate, but is generally less than about 250 ° C. The time required to complete PEB step 328 depends on the temperature of the substrate during the PEB step, but is generally less than about 60 seconds.

[0089]暴露後焼成(PEB)冷却ステップ330は、各基板が同一の時間温度プロファイルを満たすことによってプロセス変動性が最小化されるように、基板が環境温度より高い温度にある時間がコントロールされることを保証するために使用されるステップである。PEBプロセス時間温度プロファイルの変化は、堆積された膜層の特性に影響する可能性があるため、しばしばプロセス変動性を最小化するためにコントロールされる。PEB冷却ステップ330の温度は従って、PEBステップ328後の基板を環境温度またはこの付近の温度に冷却するために使用される。PEB冷却ステップ330を完了させるのに必要な時間はPEBステップを終了する基板の温度に左右されるが、概して約30秒未満である。   [0089] Post exposure bake (PEB) cooling step 330 controls the time that the substrates are at a temperature above ambient temperature so that process variability is minimized by each substrate meeting the same time temperature profile. It is a step used to guarantee that. Since changes in the PEB process time temperature profile can affect the properties of the deposited film layer, they are often controlled to minimize process variability. The temperature of the PEB cooling step 330 is therefore used to cool the substrate after the PEB step 328 to ambient temperature or near this temperature. The time required to complete the PEB cooling step 330 depends on the temperature of the substrate completing the PEB step, but is generally less than about 30 seconds.

[0090]現像ステップ332は、溶媒が、暴露または非暴露フォトレジストおよびBARC層への化学的または物理的変化を引き起こし、暴露プロセスステップ326中に形成されたパターンを暴露するために使用されるプロセスである。現像プロセスは、現像溶媒を分布するために使用されるスプレーまたは含侵あるいはパドルタイププロセスであってもよい。一部の現像プロセスにおいては、現像溶液の適用および現像プロセス中のスパン前に、基板は流体層、通常は脱イオン水でコーティングされる。続く現像溶液の適用は、基板表面への現像溶液の均一なコーティングをもたらす。ステップ334において、洗浄溶液が基板の表面に提供されて、現像プロセスを終了させる。例証として、洗浄溶液は脱イオン水であってもよい。代替実施形態では、表面活性剤と結合された脱イオン水の洗浄溶液が提供される。当業者は種々の変形、修正および代替例を認識するであろう。   [0090] The development step 332 is a process in which the solvent causes a chemical or physical change to the exposed or unexposed photoresist and BARC layer to expose the pattern formed during the exposure process step 326. It is. The development process may be a spray or impregnation or paddle type process used to distribute the development solvent. In some development processes, the substrate is coated with a fluid layer, usually deionized water, prior to application of the developer solution and span during the development process. Subsequent application of the developer solution results in a uniform coating of the developer solution on the substrate surface. In step 334, a cleaning solution is provided on the surface of the substrate to complete the development process. By way of example, the cleaning solution may be deionized water. In an alternative embodiment, a cleaning solution of deionized water combined with a surfactant is provided. Those skilled in the art will recognize various variations, modifications, and alternatives.

[0091]ステップ336において、基板は現像および洗浄ステップ332および334後に冷却される。ステップ338において、基板はポッドに移送されることによって処理シーケンスを完了させることができる。ステップ338において基板をポッドに移送することは概して、フロントエンドロボット218にポッドアセンブリ216のうちの1つにあるカセット230に基板を返却させるプロセスを伴う。   [0091] In step 336, the substrate is cooled after development and cleaning steps 332 and 334. In step 338, the substrate can be transferred to the pod to complete the processing sequence. Transferring the substrate to the pod in step 338 generally involves the process of causing the front end robot 218 to return the substrate to the cassette 230 in one of the pod assemblies 216.

[0092]本発明の説明に基づいて、当業者は、本発明の実施形態は、とりわけ図15に説明されていないステップであるBARC後焼成ステップ314およびBARC後冷却ステップ316中、PR後焼成ステップ320およびPR後冷却ステップ322中、暴露後焼成ステップ328および暴露後冷却ステップ330中、および現像後冷却ステップ336中に基板を加熱および/または冷却するために有益に使用可能であることを理解するであろう。当業者はまた、説明された種々の焼成および冷却シーケンスの一部が異なる焼成および/または冷却要件を有している点を理解するであろう。従って、当業者は、集積熱ユニットに組み込まれた具体的な焼成プレート20および/または冷却プレート30の機能的仕様は、焼成および/または冷却プレートがそれぞれ加熱および冷却することを意図する材料に左右される点を理解するであろう。例えば、BARC材料は低温かつ低精度の焼成プレートで適切に加熱されるのに対して(例えば、最大250℃、シングルゾーンヒータ)、フォトレジスト材料は高温かつ中精度の焼成プレート(例えば、最大350℃、3ゾーンヒータ)を必要とし、暴露後焼成プロセスは低温かつ高精度の焼成プレート(例えば、最大250℃、15ゾーンヒータ)を必要とすることがある。従って、本発明の実施形態は特定のタイプや構成の焼成プレート20や冷却プレート30に制限されない。むしろ、概して焼成プレート20および冷却プレート30の各々は、当業者によって判断可能なように焼成プレートおよび冷却プレートが使用される用途に必要な具体的な性能標準に設計されている。   [0092] Based on the description of the present invention, those skilled in the art will recognize that embodiments of the present invention are not specifically described in FIG. 15, but post-PRC firing step during BARC post-baking step 314 and BARC post-cooling step 316, respectively. It is understood that it can be beneficially used to heat and / or cool the substrate during 320 and post-PR cooling step 322, post-exposure bake step 328 and post-exposure cooling step 330, and post-development cooling step 336. Will. One skilled in the art will also appreciate that some of the various firing and cooling sequences described have different firing and / or cooling requirements. Accordingly, those skilled in the art will appreciate that the functional specifications of a specific firing plate 20 and / or cooling plate 30 incorporated into an integrated thermal unit will depend on the material that the firing and / or cooling plate is intended to heat and cool, respectively. You will understand what will be done. For example, BARC material is properly heated with a low temperature and low precision baking plate (eg, up to 250 ° C., single zone heater), whereas photoresist material is a high temperature, medium precision baking plate (eg, up to 350 ° C.). The post-exposure baking process may require a low temperature and high accuracy baking plate (eg, 250 ° C. maximum, 15 zone heater). Therefore, the embodiment of the present invention is not limited to the baking plate 20 or the cooling plate 30 of a specific type or configuration. Rather, each of the firing plate 20 and cooling plate 30 is generally designed to the specific performance standards required for the application in which the firing and cooling plates are used, as can be determined by one skilled in the art.

[0093]本発明は具体的な実施形態およびこの具体例を参照して説明されてきたが、他の実施形態も本発明の主旨および範囲内にあることが理解されるべきである。従って本発明の範囲は、この全範囲に伴う添付の請求項を参照して判断されるべきである。   [0093] While the invention has been described with reference to specific embodiments and specific examples thereof, it is to be understood that other embodiments are within the spirit and scope of the invention. Accordingly, the scope of the invention should be determined by reference to the appended claims accompanying this full scope.

本発明に従った集積熱ユニットの一実施形態の概念図である。1 is a conceptual diagram of one embodiment of an integrated thermal unit according to the present invention. FIG. 図1に示された集積熱ユニットの簡略斜視図である。FIG. 2 is a simplified perspective view of the integrated heat unit shown in FIG. 1. ユニットの上部が除去された図2Aに示された集積熱ユニット10の概略斜視図である。2B is a schematic perspective view of the integrated thermal unit 10 shown in FIG. 2A with the top of the unit removed. FIG. 本発明の方法の一実施形態に従って実行されるイベントのシーケンスを示すブロック図である。FIG. 6 is a block diagram illustrating a sequence of events performed in accordance with an embodiment of the method of the present invention. 図2Bに示された焼成ステーション12および冷却ステーション14の断面図である。2B is a cross-sectional view of the firing station 12 and the cooling station 14 shown in FIG. 2B. FIG. 本発明の一実施形態に従った、図2Bに示された冷却シャトル18の斜視図である。2B is a perspective view of the cooling shuttle 18 shown in FIG. 2B according to one embodiment of the invention. FIG. 焼成ステーション12および冷却ステーション14が除去されている図2Bに示された集積熱ユニットの一部の斜視図である。2B is a perspective view of a portion of the integrated thermal unit shown in FIG. 2B with the firing station 12 and cooling station 14 removed. FIG. 本発明の一実施形態に従った、図2Bに示された冷却プレート30の斜視図である。2B is a perspective view of the cooling plate 30 shown in FIG. 2B, in accordance with one embodiment of the present invention. FIG. 本発明の一実施形態に従った、図2Bに示された焼成プレート20の斜視図である。2B is a perspective view of the firing plate 20 shown in FIG. 2B, in accordance with one embodiment of the present invention. FIG. 図8に示された焼成プレート20の断面の斜視図である。It is a perspective view of the cross section of the baking plate 20 shown by FIG. 図8および9に示された焼成プレート20の断面図である。FIG. 10 is a cross-sectional view of the firing plate 20 shown in FIGS. 8 and 9. 図8に示された焼成ステーション12の底部斜視図である。FIG. 9 is a bottom perspective view of the firing station 12 shown in FIG. 8. 図11に示された係合可能なシートシンク140の簡略断面図である。FIG. 12 is a simplified cross-sectional view of the engageable sheet sink 140 shown in FIG. 11. 本発明に従った集積熱ユニットの代替実施形態の概念図である。FIG. 6 is a conceptual diagram of an alternative embodiment of an integrated thermal unit according to the present invention. 本発明の一実施形態に従ったトラックリソグラフィツールの一実施形態の平面図である。1 is a plan view of one embodiment of a track lithography tool according to an embodiment of the present invention. FIG. 図14に示されたトラックリソグラフィツールによって処理される半導体基板の例示的処理シーケンスを示すフローチャートである。FIG. 15 is a flowchart illustrating an exemplary processing sequence of a semiconductor substrate processed by the track lithography tool shown in FIG.

Claims (29)

基板を処理するための集積熱ユニットであって、
焼成位置で基板を保持および加熱するように構成された基板保持表面を有する焼成プレートと、
冷却位置で基板を保持および冷却するように構成された基板保持表面を有する冷却プレートと
を備えており、
前記焼成プレートが前記焼成位置にある場合に前記焼成プレートの前記基板保持表面が第1の略水平平面に位置決めされ、前記冷却プレートが冷却位置にある場合に前記冷却プレートの前記基板保持表面が、前記第1の平面の下の第2の略水平平面に位置決めされる、集積熱ユニット。
An integrated thermal unit for processing a substrate,
A firing plate having a substrate holding surface configured to hold and heat the substrate at a firing position;
A cooling plate having a substrate holding surface configured to hold and cool the substrate in a cooling position,
When the firing plate is in the firing position, the substrate holding surface of the firing plate is positioned in a first substantially horizontal plane, and when the cooling plate is in the cooling position, the substrate holding surface of the cooling plate is An integrated thermal unit positioned in a second substantially horizontal plane below the first plane.
前記焼成プレートおよび冷却プレートが、前記集積熱ユニット内で相互に隣接して水平に位置決めされる、請求項1に記載の集積熱ユニット。   The integrated thermal unit of claim 1, wherein the firing plate and the cooling plate are positioned horizontally adjacent to each other within the integrated thermal unit. 基板が前記熱ユニットに移送されて、かつ前記基板移送シャトルによって取り上げられることが可能なシャトルステーションをさらに備える、請求項2に記載の集積熱ユニット。   The integrated thermal unit of claim 2, further comprising a shuttle station where a substrate can be transferred to the thermal unit and picked up by the substrate transfer shuttle. 前記焼成プレート、冷却プレートおよびシャトルステーション含有されているハウジングをさらに備える、請求項3に記載の集積熱ユニット。   The integrated thermal unit of claim 3, further comprising a housing containing the firing plate, cooling plate and shuttle station. 前記焼成プレート、冷却プレートおよびシャトルステーションが前記ハウジングの長さに沿って線形に配列される、請求項4に記載の集積熱ユニット。   The integrated thermal unit of claim 4, wherein the firing plate, cooling plate and shuttle station are arranged linearly along the length of the housing. 前記シャトルステーションが前記焼成プレートと前記冷却プレートの間に位置決めされる、請求項5に記載の集積熱ユニット。   The integrated thermal unit of claim 5, wherein the shuttle station is positioned between the firing plate and the cooling plate. 前記冷却プレートが前記焼成プレートと前記シャトルステーションの間に位置決めされる、請求項5に記載の集積熱ユニット。   The integrated thermal unit of claim 5, wherein the cooling plate is positioned between the firing plate and the shuttle station. 前記第1の平面が前記第2の平面から少なくとも4cm上方にある、請求項1に記載の集積熱ユニット。   The integrated thermal unit of claim 1, wherein the first plane is at least 4 cm above the second plane. 前記焼成プレートが基板受け取り位置にあり、かつ第3の平面が前記第2の平面の上方にある場合に、前記焼成プレートの前記基板保持表面が第3の略水平平面に位置決めされる、請求項8に記載の集積熱ユニット。   The substrate holding surface of the firing plate is positioned in a third substantially horizontal plane when the firing plate is in a substrate receiving position and a third plane is above the second plane. The integrated heat unit according to claim 8. 前記第3の平面が前記第1の平面の下方にあり、かつ前記第2の平面から少なくとも2cm上方にある、請求項9に記載の集積熱ユニット。   The integrated thermal unit of claim 9, wherein the third plane is below the first plane and at least 2 cm above the second plane. 前記冷却プレート上に位置決めされた粒子シールドをさらに備える、請求項9に記載の集積熱ユニット。   The integrated thermal unit of claim 9, further comprising a particle shield positioned on the cooling plate. 前記粒子シールドの上部表面がほぼ前記第3の平面にある、請求項11に記載の集積熱ユニット。   The integrated thermal unit of claim 11, wherein an upper surface of the particle shield is substantially in the third plane. 前記基板移送シャトルが、前記第1および第2の平面間にある線形経路に沿って前記ハウジングの長さの一方の端からもう一方の端に移動するように構成される、請求項9に記載の集積熱ユニット。   10. The substrate transfer shuttle is configured to move from one end of the length of the housing to the other end along a linear path between the first and second planes. Integrated heat unit. 前記線形経路が前記第1および第3の平面間にある、請求項13に記載の集積熱ユニット。   The integrated thermal unit of claim 13, wherein the linear path is between the first and third planes. 前記基板移送シャトルが、基板受け取り表面の下方に複数の冷却剤チャネルを備える、請求項1に記載の集積熱ユニット。   The integrated thermal unit of claim 1, wherein the substrate transfer shuttle comprises a plurality of coolant channels below a substrate receiving surface. 焼成プレートおよび冷却プレートを有する集積熱ユニットにおいて基板を処理するための基板処理方法であって、
液体レジスト材料をその上に塗布している基板を前記集積熱ユニットに移送するステップと、
前記焼成プレート上に前記基板を位置決めするステップと、
前記焼成プレートによって前記基板を加熱するステップと、
温度コントロール表面を有するシャトルによって前記焼成プレートから前記冷却プレートに前記基板を移送するステップと、
前記冷却プレートによって前記基板を冷却するステップと、
前記集積熱ユニット外に前記基板を移送するステップと
を備える基板処理方法。
A substrate processing method for processing a substrate in an integrated thermal unit having a firing plate and a cooling plate, comprising:
Transferring a substrate having a liquid resist material applied thereon to the integrated thermal unit;
Positioning the substrate on the firing plate;
Heating the substrate by the firing plate;
Transferring the substrate from the firing plate to the cooling plate by a shuttle having a temperature control surface;
Cooling the substrate by the cooling plate;
Transferring the substrate out of the integrated heat unit.
前記集積熱ユニットに基板を移送する前記ステップが、前記シャトルの基板受け取り表面を介して延びる複数のリフトピン上に前記基板を置く工程を備える、請求項16に記載の基板処理方法。   The substrate processing method of claim 16, wherein the step of transferring a substrate to the integrated thermal unit comprises placing the substrate on a plurality of lift pins extending through a substrate receiving surface of the shuttle. 前記焼成プレート上に基板を位置決めする前記ステップが、前記シャトルによって焼成ステーションに前記基板を移送する工程と、前記焼成プレートの基板受け取り表面を介して延びる複数のリフトピン上に前記基板を置く工程と、前記焼成プレートを持ち上げて、前記リフトピンから前記基板を取り上げる工程とを備える、請求項16に記載の基板処理方法。   Positioning the substrate on the baking plate includes transferring the substrate to a baking station by the shuttle; placing the substrate on a plurality of lift pins extending through a substrate receiving surface of the baking plate; The substrate processing method according to claim 16, further comprising a step of lifting the baking plate and picking up the substrate from the lift pins. 前記焼成プレートから前記冷却プレートに基板を移送する前記ステップが、前記焼成プレートを介して延びる複数のリフトピン上に前記基板を置く工程と、前記シャトルによって前記基板を取り上げる工程と、前記冷却プレートの上部表面を介して延びる複数のリフトピン上に前記基板を置く工程と、前記リフトピンを前記冷却プレートに低下させて前記基板を前記冷却プレート上に落とす工程とを備える、請求項16に記載の基板処理方法。   Transferring the substrate from the baking plate to the cooling plate includes placing the substrate on a plurality of lift pins extending through the baking plate; picking up the substrate by the shuttle; and an upper portion of the cooling plate. 17. The substrate processing method according to claim 16, comprising: placing the substrate on a plurality of lift pins extending through a surface; and lowering the lift pins to the cooling plate to drop the substrate onto the cooling plate. . 前記焼成プレートから前記冷却プレートに基板を移送する前記ステップが、前記冷却プレートの上方に位置決めされた粒子シールドにわたって前記シャトルを通過させてから、前記粒子シールドの下方に前記シャトルを通過させる工程をさらに備える、請求項16に記載の基板処理方法。   The step of transferring the substrate from the firing plate to the cooling plate further comprises passing the shuttle over a particle shield positioned above the cooling plate and then passing the shuttle under the particle shield; The substrate processing method of Claim 16 provided. 前記シャトルがシャトルステーションに位置決め可能であり、前記焼成プレートが焼成ステーションに位置決めされ、前記冷却プレートが冷却ステーションに位置決めされ、前記集積熱ユニットが、前記焼成ステーション、冷却ステーションおよびシャトルステーションが含有されているハウジングを備える、請求項16に記載の基板処理方法。   The shuttle is positionable at the shuttle station, the baking plate is positioned at the baking station, the cooling plate is positioned at the cooling station, the integrated thermal unit includes the baking station, the cooling station and the shuttle station; The substrate processing method according to claim 16, comprising a housing. 前記焼成ステーション、冷却ステーションおよびシャトルステーションが前記ハウジングの長さに沿って線形に配列される、請求項18に記載の基板処理方法。   The substrate processing method according to claim 18, wherein the baking station, the cooling station, and the shuttle station are arranged linearly along the length of the housing. 前記シャトルステーションが前記焼成プレートと前記冷却プレートの間に位置決めされる、請求項19に記載の基板処理方法。   The substrate processing method according to claim 19, wherein the shuttle station is positioned between the baking plate and the cooling plate. 前記冷却プレートが前記焼成プレートと前記シャトルステーションの間に位置決めされる、請求項19に基板処理方法。   The substrate processing method of claim 19, wherein the cooling plate is positioned between the baking plate and the shuttle station. 基板を処理するための集積熱ユニットであって、
第1のアクセススロットおよび第2のアクセススロットを有するハウジングであって、前記第1および第2のアクセススロットの各々が、半導体基板が前記ハウジングに対して移送されるようにサイズ設定されているハウジングと、
基板を前記第1のアクセススロットを介して移送させる開放位置と、基板が前記第1のアクセススロットを介して移送されるのをブロックする閉鎖位置との間を移動するように動作可能に構成された第1のアクセスシャッターと、
基板を前記第2のアクセススロットを介して移送させる開放位置と、基板が前記第2のアクセススロットを介して移送されるのをブロックする閉鎖位置との間を移動するように動作可能に構成された第2のアクセスシャッターと、
前記ハウジング内に位置決めされた焼成ステーションであって、ホットプレースの表面にサポートされた基板を加熱するように構成された焼成プレートを備える焼成ステーションと、
前記ハウジング内に位置決めされた冷却ステーションであって、冷却プレートの表面にサポートされた基板を冷却するように構成された前記冷却プレートを備える冷却ステーションと、
前記ハウジング内に位置決めされたシャトルステーションと
を備え、
前記シャトルステーションが、基板移送シャトルに、前記第1のアクセススロットを介して前記熱ユニットに移送された基板を取り上げさせるようになっており、前記基板移送シャトルが、前記焼成プレートから前記冷却プレートに基板を移送する際に前記基板をアクティブに冷却するように構成されている、集積熱ユニット。
An integrated thermal unit for processing a substrate,
A housing having a first access slot and a second access slot, wherein each of the first and second access slots is sized such that a semiconductor substrate is transferred relative to the housing. When,
Operatively configured to move between an open position for transferring a substrate through the first access slot and a closed position for blocking the substrate from being transferred through the first access slot. A first access shutter;
Operatively configured to move between an open position for transferring a substrate through the second access slot and a closed position for blocking the substrate from being transferred through the second access slot. A second access shutter,
A baking station positioned within the housing, the baking station comprising a baking plate configured to heat a substrate supported on a surface of a hot place;
A cooling station positioned within the housing, the cooling station comprising the cooling plate configured to cool a substrate supported on a surface of the cooling plate;
A shuttle station positioned within the housing,
The shuttle station causes a substrate transfer shuttle to pick up a substrate transferred to the thermal unit through the first access slot, the substrate transfer shuttle from the firing plate to the cooling plate. An integrated thermal unit configured to actively cool the substrate as it is transferred.
前記ハウジングが略矩形エンクロージャを形成し、前記焼成ステーション、冷却ステーションおよびシャトルステーションが前記エンクロージャの長さに沿って線形に配列される、請求項25に記載の集積熱ユニット。   26. The integrated thermal unit of claim 25, wherein the housing forms a generally rectangular enclosure, and the firing station, cooling station, and shuttle station are arranged linearly along the length of the enclosure. 前記シャトルステーションが前記焼成ステーションと前記冷却ステーションの間に位置決めされる、請求項26に記載の集積熱ユニット。   27. The integrated thermal unit of claim 26, wherein the shuttle station is positioned between the firing station and the cooling station. 前記冷却ステーションが前記焼成ステーションと前記シャトルステーションの間に位置決めされる、請求項26に記載の集積熱ユニット。   27. The integrated thermal unit of claim 26, wherein the cooling station is positioned between the firing station and the shuttle station. ウェーハの1つ以上のカセットを受容するようになっている複数のポッドアセンブリと、
前記1つ以上のポッドアセンブリからトラックリソグラフィツール内の処理モジュールにウェーハを移送するようになっている1つ以上のロボットと
を備えるトラックリソグラフィツールであって、
前記処理モジュールのうちの少なくとも1つが、
焼成位置で基板を保持および加熱するように構成された基板保持表面を有する焼成プレートと、
冷却位置で基板を保持および冷却するように構成された基板保持表面を有する冷却プレートと
を備える集積熱ユニットを含んでおり、
前記焼成プレートが前記焼成位置にある場合に前記焼成プレートの前記基板保持表面が第1の略水平平面に位置決めされ、前記冷却プレートが冷却位置にある場合に前記冷却プレートの前記基板保持表面が、前記第1の平面の下方にある第2の略水平平面に位置決めされる、トラックリソグラフィツール。
A plurality of pod assemblies adapted to receive one or more cassettes of wafers;
A track lithography tool comprising one or more robots adapted to transfer wafers from the one or more pod assemblies to a processing module in the track lithography tool;
At least one of the processing modules is
A firing plate having a substrate holding surface configured to hold and heat the substrate at a firing position;
An integrated thermal unit comprising a cooling plate having a substrate holding surface configured to hold and cool the substrate in a cooling position;
When the firing plate is in the firing position, the substrate holding surface of the firing plate is positioned in a first substantially horizontal plane, and when the cooling plate is in the cooling position, the substrate holding surface of the cooling plate is A track lithography tool positioned in a second substantially horizontal plane below the first plane.
JP2007217581A 2004-12-22 2007-08-23 Integrated thermal unit Pending JP2008135702A (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US63910904P 2004-12-22 2004-12-22
US67401805P 2005-04-21 2005-04-21
US11/174,781 US7297906B2 (en) 2004-12-22 2005-07-05 Integrated thermal unit having a shuttle with two-axis movement
US11/174,988 US7282675B2 (en) 2004-12-22 2005-07-05 Integrated thermal unit having a shuttle with a temperature controlled surface
US11/174,782 US7288746B2 (en) 2004-12-22 2005-07-05 Integrated thermal unit having laterally adjacent bake and chill plates on different planes
US11/174,681 US7274005B2 (en) 2004-12-22 2005-07-05 Bake plate having engageable thermal mass

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2007548478A Division JP2008526030A (en) 2004-12-22 2005-12-21 Integrated heat unit

Publications (1)

Publication Number Publication Date
JP2008135702A true JP2008135702A (en) 2008-06-12

Family

ID=36602334

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2007548478A Pending JP2008526030A (en) 2004-12-22 2005-12-21 Integrated heat unit
JP2007217582A Pending JP2008141163A (en) 2004-12-22 2007-08-23 Integrated thermal unit
JP2007217581A Pending JP2008135702A (en) 2004-12-22 2007-08-23 Integrated thermal unit

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2007548478A Pending JP2008526030A (en) 2004-12-22 2005-12-21 Integrated heat unit
JP2007217582A Pending JP2008141163A (en) 2004-12-22 2007-08-23 Integrated thermal unit

Country Status (3)

Country Link
JP (3) JP2008526030A (en)
KR (3) KR101071004B1 (en)
WO (1) WO2006069256A2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012510732A (en) * 2008-12-12 2012-05-10 株式会社Sokudo Multi-channel development system
JP2019121706A (en) * 2018-01-09 2019-07-22 東京エレクトロン株式会社 Heat treatment apparatus, cooling method of heating plate, and computer readable recording media

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8636458B2 (en) * 2007-06-06 2014-01-28 Asml Netherlands B.V. Integrated post-exposure bake track
US20090308860A1 (en) * 2008-06-11 2009-12-17 Applied Materials, Inc. Short thermal profile oven useful for screen printing
JP5220505B2 (en) * 2008-07-28 2013-06-26 株式会社Sokudo Heat treatment apparatus and substrate processing apparatus
JP5220517B2 (en) * 2008-08-27 2013-06-26 株式会社Sokudo Substrate processing equipment
JP2012253171A (en) * 2011-06-02 2012-12-20 Toppan Printing Co Ltd Prebake apparatus and prebake method
TWI424541B (en) * 2011-07-15 2014-01-21 Sokudo Co Ltd Rapid temperature change system
NL2009533A (en) * 2011-10-27 2013-05-07 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
CN102645698B (en) * 2012-01-09 2016-03-30 京东方科技集团股份有限公司 Light guide plate mesh point, method for manufacturing light guide plate and backlight module, display device
JP7403234B2 (en) * 2019-04-25 2023-12-22 東京エレクトロン株式会社 Substrate processing equipment and substrate processing method
US20230161260A1 (en) * 2021-11-24 2023-05-25 Applied Materials, Inc. Chamber and methods of cooling a substrate after baking

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0634699A1 (en) * 1993-07-16 1995-01-18 Semiconductor Systems, Inc. Clustered photolithography system
JP3445757B2 (en) * 1999-05-06 2003-09-08 東京エレクトロン株式会社 Substrate processing apparatus and substrate processing method
US6499777B1 (en) * 1999-05-11 2002-12-31 Matrix Integrated Systems, Inc. End-effector with integrated cooling mechanism
JP3556882B2 (en) * 2000-05-10 2004-08-25 東京エレクトロン株式会社 Coating and development processing system

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012510732A (en) * 2008-12-12 2012-05-10 株式会社Sokudo Multi-channel development system
JP2019121706A (en) * 2018-01-09 2019-07-22 東京エレクトロン株式会社 Heat treatment apparatus, cooling method of heating plate, and computer readable recording media

Also Published As

Publication number Publication date
KR101071004B1 (en) 2011-10-06
WO2006069256A2 (en) 2006-06-29
KR20070087682A (en) 2007-08-28
JP2008141163A (en) 2008-06-19
JP2008526030A (en) 2008-07-17
WO2006069256A3 (en) 2009-04-09
KR20070092332A (en) 2007-09-12
KR101068328B1 (en) 2011-09-28
KR20070092331A (en) 2007-09-12

Similar Documents

Publication Publication Date Title
KR101071004B1 (en) Integrated thermal unit
US7601934B2 (en) Integrated thermal unit having a shuttle with a temperature controlled surface
US7282675B2 (en) Integrated thermal unit having a shuttle with a temperature controlled surface
US7274005B2 (en) Bake plate having engageable thermal mass
US20070251456A1 (en) Composite heater and chill plate
CN101443131B (en) Integrated thermal unit
US7297906B2 (en) Integrated thermal unit having a shuttle with two-axis movement
TWI501338B (en) A heat treatment method and a recording medium and a heat treatment apparatus for recording a program for carrying out the heat treatment method
US7402782B2 (en) Baking device and baking method of baking a chemically amplified resist film containing an acid (H+) generator before exposure but after development
US20090001071A1 (en) Method and System for Cooling a Bake Plate in a Track Lithography Tool
WO2008019362A2 (en) Controlling critical dimensions in track lithography tools
US7741585B2 (en) Integrated thermal unit having a shuttle with two-axis movement
US8697187B2 (en) Coating treatment method and coating treatment apparatus
KR101207172B1 (en) Substrate processing method, computer-readable recording medium, and substrate processing system
US20070295276A1 (en) Bake plate having engageable thermal mass
TWI493649B (en) An integrated thermal unit having vertically arranged bake and chill plates
US20080099181A1 (en) Method to cool a bake plate using an actively chilled transfer shuttle
US7288746B2 (en) Integrated thermal unit having laterally adjacent bake and chill plates on different planes
US20080145191A1 (en) Actively chilled substrate transport module
US20070251939A1 (en) Control scheme for cold wafer compensation on a lithography track
US20080032491A1 (en) Wafer backside particle removal for track tools
US20070071891A1 (en) Cooling unit and method for cooling and coating wafer by using the same
US20080236787A1 (en) Method to cool bake plates in a track lithography tool
KR100951031B1 (en) Wafer cooling unit and cooling method using thereof