JP2008010881A - Method for manufacturing semiconductor device - Google Patents

Method for manufacturing semiconductor device Download PDF

Info

Publication number
JP2008010881A
JP2008010881A JP2007184567A JP2007184567A JP2008010881A JP 2008010881 A JP2008010881 A JP 2008010881A JP 2007184567 A JP2007184567 A JP 2007184567A JP 2007184567 A JP2007184567 A JP 2007184567A JP 2008010881 A JP2008010881 A JP 2008010881A
Authority
JP
Japan
Prior art keywords
forming
film
silicon oxide
oxide film
gate electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007184567A
Other languages
Japanese (ja)
Inventor
Masayuki Furuhashi
匡幸 古橋
Toshifumi Mori
年史 森
Eisoku Kin
永ソク 金
Takayuki Oba
隆之 大場
Akira Nakamura
亮 中村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP2007184567A priority Critical patent/JP2008010881A/en
Publication of JP2008010881A publication Critical patent/JP2008010881A/en
Pending legal-status Critical Current

Links

Images

Landscapes

  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide a method for manufacturing a semiconductor device in which the diffusion of a dopant impurity in a shallow impurity diffusion region can be suppressed. <P>SOLUTION: The method for manufacturing the semiconductor device comprises: a step of forming gate electrodes 20 on a semiconductor substrate 10 through gate insulating films 18; a step of impurity diffusion regions 28 and 36 on both sides of the gate electrodes 20 in the substrate 10 by introducing the dopant impurity into the substrate 10 using the electrodes 20 as masks; a step of forming a silicon oxide film 38 on the substrate 10 so as to cover the electrodes 20; and a step of forming side wall spacers 42 having the silicon oxide film in the side walls of the gate electrodes 20 by performing anisotropic etching to the silicon oxide film. In the step of forming the silicon oxide film, the silicon oxide film 38 is formed using bistertiary butylamino silane and oxygen as raw materials at a film forming temperature of 500 to 580°C by a thermal CVD method. <P>COPYRIGHT: (C)2008,JPO&INPIT

Description

本発明は、半導体装置の製造方法に関する。   The present invention relates to a method for manufacturing a semiconductor device.

半導体装置の微細化に伴ってチャネル長が短くなると、短チャネル効果が顕著となり、MOSトランジスタの正常な動作が得られなくなる。短チャネル効果を防止する技術として、近時では、エクステンションソース/ドレイン構造を有する半導体装置が注目されている。   When the channel length is shortened with the miniaturization of the semiconductor device, the short channel effect becomes remarkable and the normal operation of the MOS transistor cannot be obtained. As a technique for preventing the short channel effect, a semiconductor device having an extension source / drain structure has recently attracted attention.

エクステンションソース/ドレイン構造を有する半導体装置の製造方法においては、ゲート電極をマスクとして半導体基板にドーパント不純物を浅く導入することにより浅い不純物拡散領域、即ちエクステンション領域を形成し、この後、ゲート電極の側壁部分にサイドウォールスペーサを形成し、更に、ゲート電極とサイドウォールスペーサとをマスクとして半導体基板にドーパント不純物を導入することにより、深い不純物拡散領域を形成する。   In a manufacturing method of a semiconductor device having an extension source / drain structure, a shallow impurity diffusion region, that is, an extension region is formed by introducing a dopant impurity shallowly into a semiconductor substrate using a gate electrode as a mask, and thereafter, a sidewall of the gate electrode is formed. Sidewall spacers are formed in the portions, and dopant impurities are introduced into the semiconductor substrate using the gate electrode and the sidewall spacers as a mask, thereby forming deep impurity diffusion regions.

浅い不純物拡散領域、即ちエクステンション領域は、電気抵抗が低く、かつ、横方向の不純物プロファイルが急峻であることが重要である。即ち、浅い不純物拡散領域においては、ドーパント不純物ができるだけ拡散しないようにすることが重要である。   It is important that the shallow impurity diffusion region, that is, the extension region, has a low electric resistance and a steep lateral impurity profile. That is, in the shallow impurity diffusion region, it is important to prevent the dopant impurities from diffusing as much as possible.

従来、サイドウォールスペーサを構成するシリコン酸化膜を形成する場合には、例えばTEOSとO2とを原料として用い、例えば620℃の成膜温度で、例えば減圧熱CVD法により形成していた。 Conventionally, when forming a silicon oxide film constituting the sidewall spacer, for example, TEOS and O 2 are used as raw materials, for example, at a film forming temperature of 620 ° C., for example, by a low pressure thermal CVD method.

また、サイドウォールスペーサを構成するシリコン窒化膜を形成する場合には、ジクロロシラン(Di-Chloro-Silane、DCS、SiH2Cl2)とNH3とを原料として用い、例えば700〜800℃の成膜温度で、例えば減圧熱CVD法により形成していた。 When forming a silicon nitride film constituting the sidewall spacer, dichlorosilane (Di-Chloro-Silane, DCS, SiH 2 Cl 2 ) and NH 3 are used as raw materials, for example, at 700 to 800 ° C. At the film temperature, it was formed by, for example, a low pressure thermal CVD method.

シリコン酸化膜やシリコン窒化膜をこのような高温で形成していたのは、このような高温で成膜しないと、良好な膜質のシリコン酸化膜やシリコン窒化膜が得られないと考えられていたためである。例えば、良質なシリコン窒化膜を得ることが可能な成膜温度については、非特許文献1に記載されている。
特開2000−77403号公報 特開平11−172439号公報 特開2001−156065号公報 特開2001−156063号公報 Brown, W.A et al.:Solid State Technology 22(7), p.51 (1984) David, E.K. et al.: Journal of Applied Physics 77(3), p.1284 (1995)
The reason why the silicon oxide film and the silicon nitride film were formed at such a high temperature was that a silicon oxide film and a silicon nitride film having a good film quality could not be obtained unless the film was formed at such a high temperature. It is. For example, Non-Patent Document 1 describes the film formation temperature at which a high-quality silicon nitride film can be obtained.
JP 2000-77403 A Japanese Patent Laid-Open No. 11-172439 Japanese Patent Laid-Open No. 2001-156065 JP 2001-156063 A Brown, WA et al .: Solid State Technology 22 (7), p.51 (1984) David, EK et al .: Journal of Applied Physics 77 (3), p.1284 (1995)

しかしながら、サイドウォールスペーサを構成するシリコン酸化膜やシリコン窒化膜を上記のような高温で成膜すると、浅い不純物拡散領域に導入されたドーパント不純物が拡散してしまい、短チャネル効果が生じやすくなってしまう。また、ゲート電極等からボロンが抜ける、いわゆるボロン抜けの問題が生じ、トランジスタのしきい値電圧のばらつき等を招いてしまう。   However, when the silicon oxide film or silicon nitride film constituting the sidewall spacer is formed at a high temperature as described above, the dopant impurity introduced into the shallow impurity diffusion region diffuses, and the short channel effect is likely to occur. End up. In addition, a problem of so-called boron loss that boron escapes from the gate electrode or the like occurs, resulting in variations in the threshold voltage of the transistor.

ところで、プラズマCVD法を用いれば、SiH4(モノシラン)とNH3とを原料とし、200〜300℃程度の低い温度でシリコン窒化膜を形成することも可能である(非特許文献2参照)。また、プラズマCVD法を用いれば、シリコン酸化膜も同様に低い温度で成膜することが可能である。しかしながら、プラズマCVD法を用いてサイドウォールスペーサを構成するシリコン窒化膜等を形成した場合には、半導体基板にダメージが加わってしまい、また、シリコン窒化膜等に水素が含まれてしまう。サイドウォールスペーサを構成するシリコン窒化膜等に水素が含まれてしまうと、トランジスタのしきい値電圧の変動を招いてしまう。このため、サイドウォールスペーサを構成するシリコン窒化膜等を成膜する際には、プラズマCVD法を用いることはできなかった。 By the way, if the plasma CVD method is used, it is possible to form a silicon nitride film at a low temperature of about 200 to 300 ° C. using SiH 4 (monosilane) and NH 3 as raw materials (see Non-Patent Document 2). In addition, if the plasma CVD method is used, the silicon oxide film can be similarly formed at a low temperature. However, when the silicon nitride film or the like constituting the sidewall spacer is formed by using the plasma CVD method, the semiconductor substrate is damaged and hydrogen is contained in the silicon nitride film or the like. If hydrogen is contained in the silicon nitride film or the like constituting the sidewall spacer, the threshold voltage of the transistor is fluctuated. For this reason, the plasma CVD method cannot be used when forming a silicon nitride film or the like constituting the sidewall spacer.

また、トランジスタを覆う層間絶縁膜を形成した後にSiNより成るキャップ膜やストッパ膜を形成する場合があるが、層間絶縁膜の変形・変質等を避ける必要があるため、キャップ膜やストッパ膜は、比較的低い温度で成膜しなければならなかった。このため、従来は、低い温度での成膜が可能なプラズマCVD法により、キャップ膜やストッパ膜を形成していた。一方、サイドウォールスペーサを形成する際に用いられるシリコン窒化膜は、上述したように高温の熱CVD法により形成されていたため、キャップ膜やストッパ膜を形成する際に用いられる半導体製造装置とサイドウォールスペーサを形成するために用いられる半導体製造装置とを兼ねることはできなかった。このことは、設備投資費用の低減を図るうえでの阻害要因となっていた。   In addition, a cap film or a stopper film made of SiN may be formed after forming an interlayer insulating film covering the transistor, but it is necessary to avoid deformation or alteration of the interlayer insulating film. The film had to be deposited at a relatively low temperature. For this reason, conventionally, the cap film and the stopper film are formed by a plasma CVD method capable of forming a film at a low temperature. On the other hand, since the silicon nitride film used when forming the sidewall spacer was formed by the high temperature thermal CVD method as described above, the semiconductor manufacturing apparatus and the sidewall used when forming the cap film and the stopper film are used. The semiconductor manufacturing apparatus used for forming the spacer could not be used as well. This has been an impediment to reducing capital investment costs.

本発明の目的は、浅い不純物拡散領域等におけるドーパント不純物の拡散を抑制し得る半導体装置の製造方法を提供することにある。   An object of the present invention is to provide a method of manufacturing a semiconductor device that can suppress diffusion of dopant impurities in a shallow impurity diffusion region or the like.

また、本発明の他の目的は、設備投資費用の低減に寄与しうる半導体装置の製造方法を提供することにある。   Another object of the present invention is to provide a method of manufacturing a semiconductor device that can contribute to a reduction in capital investment cost.

上記目的は、半導体基板上にゲート絶縁膜を介してゲート電極を形成する工程と、前記ゲート電極をマスクとして前記半導体基板内にドーパント不純物を導入することにより、前記ゲート電極の両側の前記半導体基板内に不純物拡散領域を形成する工程と、前記半導体基板上に、前記ゲート電極を覆うようにシリコン酸化膜を形成する工程と、前記シリコン酸化膜を異方性エッチングすることにより、前記ゲート電極の側壁部分に前記シリコン酸化膜を有するサイドウォールスペーサを形成する工程とを有する半導体装置の製造方法であって、前記シリコン酸化膜を形成する工程では、ビスターシャルブチルアミノシランと酸素とを原料として用い、熱CVD法により、500〜580℃の成膜温度で、前記シリコン酸化膜を形成することを特徴とする半導体装置の製造方法により達成される。   The object is to form a gate electrode on a semiconductor substrate through a gate insulating film, and to introduce a dopant impurity into the semiconductor substrate using the gate electrode as a mask, thereby the semiconductor substrate on both sides of the gate electrode. Forming an impurity diffusion region therein, forming a silicon oxide film on the semiconductor substrate so as to cover the gate electrode, and anisotropically etching the silicon oxide film, Forming a sidewall spacer having the silicon oxide film on a side wall portion, wherein the silicon oxide film is formed by using binary butylaminosilane and oxygen as raw materials, The silicon oxide film is formed at a film forming temperature of 500 to 580 ° C. by a thermal CVD method. It is achieved by the method of manufacturing a semiconductor device according to symptoms.

また、上記目的は、半導体基板上にゲート絶縁膜を介してゲート電極を形成する工程と、前記ゲート電極をマスクとして前記半導体基板内にドーパント不純物を導入することにより、前記ゲート電極の両側の前記半導体基板内に不純物拡散領域を形成する工程と、前記半導体基板上に、前記ゲート電極を覆うようにシリコン酸化膜を形成する工程と、前記シリコン酸化膜を異方性エッチングすることにより、前記ゲート電極の側壁部分に前記シリコン酸化膜を有するサイドウォールスペーサを形成する工程とを有する半導体装置の製造方法であって、前記シリコン酸化膜を形成する工程では、TEOSと酸素とを原料として用い、熱CVD法により、560〜580℃の成膜温度で、前記シリコン酸化膜を形成することを特徴とする半導体装置の製造方法により達成される。   Further, the object is to form a gate electrode on a semiconductor substrate through a gate insulating film, and to introduce a dopant impurity into the semiconductor substrate using the gate electrode as a mask, thereby forming the gate electrode on both sides of the gate electrode. Forming the impurity diffusion region in the semiconductor substrate; forming a silicon oxide film on the semiconductor substrate so as to cover the gate electrode; and anisotropically etching the silicon oxide film to thereby form the gate Forming a sidewall spacer having the silicon oxide film on the side wall portion of the electrode, wherein the silicon oxide film forming step uses TEOS and oxygen as raw materials, The silicon oxide film is formed by a CVD method at a film formation temperature of 560 to 580 ° C. It is achieved by the method of manufacture.

また、上記目的は、半導体基板上にゲート絶縁膜を介してゲート電極を形成する工程と、前記ゲート電極をマスクとして前記半導体基板内にドーパント不純物を導入することにより、前記ゲート電極の両側の前記半導体基板内に不純物拡散領域を形成する工程と、前記半導体基板上に、前記ゲート電極を覆うようにシリコン酸化膜を形成する工程と、前記シリコン酸化膜を異方性エッチングすることにより、前記ゲート電極の側壁部分に前記シリコン酸化膜を有するサイドウォールスペーサを形成する工程とを有する半導体装置の製造方法であって、前記シリコン酸化膜を形成する工程では、シランと亜酸化窒素とを原料として用い、熱CVD法により、600〜700℃の成膜温度、15分以下の成膜時間で、前記シリコン酸化膜を形成することを特徴とする半導体装置の製造方法により達成される。   Further, the object is to form a gate electrode on a semiconductor substrate through a gate insulating film, and to introduce a dopant impurity into the semiconductor substrate using the gate electrode as a mask, thereby forming the gate electrode on both sides of the gate electrode. Forming the impurity diffusion region in the semiconductor substrate; forming a silicon oxide film on the semiconductor substrate so as to cover the gate electrode; and anisotropically etching the silicon oxide film to thereby form the gate Forming a sidewall spacer having the silicon oxide film on the side wall portion of the electrode, wherein the silicon oxide film is formed using silane and nitrous oxide as raw materials. The silicon oxide film is formed by a thermal CVD method at a film forming temperature of 600 to 700 ° C. and a film forming time of 15 minutes or less. Is achieved by the method of manufacturing a semiconductor device, characterized in that.

また、上記目的は、半導体基板上にゲート絶縁膜を介してゲート電極を形成する工程と、前記ゲート電極をマスクとして前記半導体基板内にドーパント不純物を導入することにより、前記ゲート電極の両側の前記半導体基板内に不純物拡散領域を形成する工程と、前記半導体基板上に、前記ゲート電極を覆うようにシリコン酸化膜を形成する工程と、前記シリコン酸化膜を異方性エッチングすることにより、前記ゲート電極の側壁部分に前記シリコン酸化膜を有するサイドウォールスペーサを形成する工程とを有する半導体装置の製造方法であって、前記シリコン酸化膜を形成する工程では、TEOSとオゾンとを原料として用い、熱CVD法により、480〜500℃の成膜温度、30分以下の成膜時間で、CVD法により、前記シリコン酸化膜を形成することを特徴とする半導体装置の製造方法により達成される。   Further, the object is to form a gate electrode on a semiconductor substrate through a gate insulating film, and to introduce a dopant impurity into the semiconductor substrate using the gate electrode as a mask, thereby forming the gate electrode on both sides of the gate electrode. Forming the impurity diffusion region in the semiconductor substrate; forming a silicon oxide film on the semiconductor substrate so as to cover the gate electrode; and anisotropically etching the silicon oxide film to thereby form the gate Forming a sidewall spacer having the silicon oxide film on a side wall portion of the electrode, wherein the silicon oxide film is formed by using TEOS and ozone as raw materials. By the CVD method, the silicon film is formed by the CVD method at a film forming temperature of 480 to 500 ° C. and a film forming time of 30 minutes or less. It is accomplished by the method of manufacturing a semiconductor device, which comprises forming a monolayer.

また、上記目的は、半導体基板上にゲート絶縁膜を介してゲート電極を形成する工程と、前記ゲート電極をマスクとして前記半導体基板内にドーパント不純物を導入することにより、前記ゲート電極の両側の前記半導体基板内に不純物拡散領域を形成する工程と、前記半導体基板上に、前記ゲート電極を覆うようにシリコン酸化膜を形成する工程と、前記シリコン酸化膜を異方性エッチングすることにより、前記ゲート電極の側壁部分に前記シリコン酸化膜を有するサイドウォールスペーサを形成する工程とを有する半導体装置の製造方法であって、前記シリコン酸化膜を形成する工程では、ジシランと亜酸化窒素とを原料として用い、熱CVD法により、500〜530℃の成膜温度、30分以下の成膜時間で、CVD法により、前記シリコン酸化膜を形成することを特徴とする半導体装置の製造方法により達成される。   Further, the object is to form a gate electrode on a semiconductor substrate through a gate insulating film, and to introduce a dopant impurity into the semiconductor substrate using the gate electrode as a mask, thereby forming the gate electrode on both sides of the gate electrode. Forming the impurity diffusion region in the semiconductor substrate; forming a silicon oxide film on the semiconductor substrate so as to cover the gate electrode; and anisotropically etching the silicon oxide film to thereby form the gate Forming a sidewall spacer having the silicon oxide film on a side wall portion of the electrode, wherein the silicon oxide film is formed using disilane and nitrous oxide as raw materials. The silicon film is deposited by a CVD method at a film formation temperature of 500 to 530 ° C. and a film formation time of 30 minutes or less by a thermal CVD method. It is achieved by a method of manufacturing a semiconductor device and forming a phosphorylation film.

また、上記目的は、少なくともシリコンと窒素とを含む化合物より成る第1の原料と、分子中に窒素原子を複数含む化合物より成る第2の原料とを用いて、SiN、SiCN又はSiOCNより成る絶縁膜を形成する工程を有することを特徴とする半導体装置の製造方法により達成される。   Further, the object is to provide an insulating material made of SiN, SiCN or SiOCN by using a first raw material made of a compound containing at least silicon and nitrogen and a second raw material made of a compound containing a plurality of nitrogen atoms in the molecule. This is achieved by a method for manufacturing a semiconductor device, comprising the step of forming a film.

以上の通り、本発明によれば、BTBASとO2とを原料として用い、500〜580℃という比較的低い成膜温度で、サイドウォールスペーサを構成するシリコン酸化膜を熱CVD法により形成する。また、本発明によれば、TEOSとO2とを原料として用い、560〜580℃の成膜温度で、シリコン酸化膜を熱CVD法により形成する。シリコン酸化膜を比較的低い温度で成膜するため、エクステンションソース/ドレイン構造の浅い領域を構成する不純物拡散領域及びポケット領域においてドーパント不純物が拡散してしまうのを抑制することができる。 As described above, according to the present invention, the silicon oxide film constituting the sidewall spacer is formed by the thermal CVD method at a relatively low film formation temperature of 500 to 580 ° C. using BTBAS and O 2 as raw materials. According to the present invention, a silicon oxide film is formed by a thermal CVD method using TEOS and O 2 as raw materials at a film forming temperature of 560 to 580 ° C. Since the silicon oxide film is formed at a relatively low temperature, it is possible to suppress diffusion of dopant impurities in the impurity diffusion region and the pocket region constituting the shallow region of the extension source / drain structure.

また、本発明によれば、シランと亜酸化窒素とを原料として用い、600〜700℃の成膜温度、15分以下の成膜時間で、サイドウォールスペーサを構成するシリコン酸化膜を熱CVD法により形成する。成膜時間が比較的短いため、浅い不純物拡散領域及びポケット領域におけるドーパント不純物の拡散を抑制することが可能である。   Further, according to the present invention, the silicon oxide film constituting the sidewall spacer is formed by a thermal CVD method using silane and nitrous oxide as raw materials at a film forming temperature of 600 to 700 ° C. and a film forming time of 15 minutes or less. To form. Since the film formation time is relatively short, it is possible to suppress diffusion of dopant impurities in the shallow impurity diffusion region and the pocket region.

また、本発明によれば、TEOSとO3とを原料として用い、480〜500℃の成膜温度、30分以下の成膜時間で、サイドウォールスペーサを構成するシリコン酸化膜を熱CVD法により形成する。また、本発明によれば、ジシランとN2Oとを原料として用い、500〜530℃の成膜温度、30分以下の成膜時間で、シリコン酸化膜を熱CVD法により形成する。成膜温度が比較的低いのみならず、成膜時間も短いため、浅い不純物拡散領域及びポケット領域における不純物の拡散をより抑制することが可能となる。 Further, according to the present invention, TEOS and O 3 are used as raw materials, and a silicon oxide film constituting the sidewall spacer is formed by a thermal CVD method at a film formation temperature of 480 to 500 ° C. and a film formation time of 30 minutes or less. Form. According to the present invention, a silicon oxide film is formed by a thermal CVD method using disilane and N 2 O as raw materials at a film formation temperature of 500 to 530 ° C. and a film formation time of 30 minutes or less. Not only the film formation temperature is relatively low, but also the film formation time is short, so that it is possible to further suppress impurity diffusion in the shallow impurity diffusion region and the pocket region.

また、本発明によれば、BTBASとNH3とを原料として用い、550〜580℃という比較的低い成膜温度で、サイドウォールスペーサを構成するシリコン窒化膜を熱CVD法により形成する。シリコン窒化膜を比較的低い温度で成膜するため、エクステンションソース/ドレイン構造の浅い領域を構成する不純物拡散領域及びポケット領域においてドーパント不純物が拡散してしまうのを抑制することができる。 According to the present invention, the silicon nitride film constituting the sidewall spacer is formed by the thermal CVD method at a relatively low film formation temperature of 550 to 580 ° C. using BTBAS and NH 3 as raw materials. Since the silicon nitride film is formed at a relatively low temperature, it is possible to suppress diffusion of dopant impurities in the impurity diffusion region and the pocket region constituting the shallow region of the extension source / drain structure.

また、本発明によれば、シランとNH3とを原料として用い、650〜700℃の成膜温度、15分以下の成膜時間で、サイドウォールスペーサを構成するシリコン窒化膜を熱CVD法により形成する。成膜時間が比較的短いため、エクステンションソース/ドレインの浅い領域を構成する不純物拡散領域及びポケット領域におけるドーパント不純物の拡散を抑制することができる。 Further, according to the present invention, the silicon nitride film constituting the sidewall spacer is formed by a thermal CVD method using silane and NH 3 as raw materials at a film formation temperature of 650 to 700 ° C. and a film formation time of 15 minutes or less. Form. Since the film formation time is relatively short, it is possible to suppress diffusion of dopant impurities in the impurity diffusion region and the pocket region constituting the shallow region of the extension source / drain.

また、本発明によれば、シリコンと窒素とを含む化合物より成る原料と、分子中に窒素原子を複数含む化合物より成る原料とを用いて、シリコン窒化膜を形成するため、シリコン窒化膜を比較的低い成膜温度で形成することができる。このため、本実施形態によれば、エクステンションソース/ドレイン構造の浅い不純物拡散領域及びポケット領域におけるドーパント不純物の拡散を抑制することができる。このため、短チャネル効果及びトランジスタの電流駆動力の低下を防止することができ、またロールオフ耐性を向上することができる。従って、本発明によれば、良好な電気的特性を有する微細な半導体装置を提供することができる。   In addition, according to the present invention, a silicon nitride film is formed using a raw material made of a compound containing silicon and nitrogen and a raw material made of a compound containing a plurality of nitrogen atoms in the molecule. It can be formed at a low film formation temperature. For this reason, according to the present embodiment, it is possible to suppress diffusion of dopant impurities in shallow impurity diffusion regions and pocket regions of the extension source / drain structure. For this reason, it is possible to prevent the short channel effect and the decrease in the current driving capability of the transistor, and to improve the roll-off resistance. Therefore, according to the present invention, a fine semiconductor device having good electrical characteristics can be provided.

また、本発明によれば、比較的低い温度でSiN、SiCN又はSiOCNより成るキャップ膜やストッパ膜を熱CVD法やプラズマCVD法により形成することができるため、キャップ膜やストッパ膜を形成する際に用いる半導体製造装置とサイドウォールスペーサを形成する際に用いられる半導体製造装置とを兼ねることができる。このため、本発明によれば、設備投資費用を低減することができ、また、プロセスの単純化を図ることができる。   Further, according to the present invention, a cap film or stopper film made of SiN, SiCN, or SiOCN can be formed by a thermal CVD method or a plasma CVD method at a relatively low temperature. The semiconductor manufacturing apparatus used for the semiconductor device and the semiconductor manufacturing apparatus used when forming the side wall spacer can be combined. For this reason, according to the present invention, the capital investment cost can be reduced, and the process can be simplified.

[第1実施形態]
本発明の第1実施形態による半導体装置の製造方法を図1乃至図12を用いて説明する。図1乃至図8は、本実施形態による半導体装置の製造方法を示す工程断面図である。
[First Embodiment]
A method for fabricating a semiconductor device according to the first embodiment of the present invention will be described with reference to FIGS. 1 to 8 are process cross-sectional views illustrating the semiconductor device manufacturing method according to the present embodiment.

まず、図1(a)に示すように、例えばシリコンより成る半導体基板10に、素子領域を画定する素子分離領域12を形成する。素子分離領域12は、例えばSTI(Shallow Trench Isolation)法により形成することができる。   First, as shown in FIG. 1A, an element isolation region 12 for defining an element region is formed on a semiconductor substrate 10 made of, for example, silicon. The element isolation region 12 can be formed by, for example, an STI (Shallow Trench Isolation) method.

次に、例えばスピンコート法により、全面に、フォトレジスト膜(図示せず)を形成する。   Next, a photoresist film (not shown) is formed on the entire surface by, eg, spin coating.

次に、フォトリソグラフィ技術を用い、フォトレジスト膜に、NMOSトランジスタが形成される領域14nを開口する開口部(図示せず)を形成する。   Next, using a photolithography technique, an opening (not shown) that opens the region 14n where the NMOS transistor is formed is formed in the photoresist film.

次に、フォトレジスト膜をマスクとして、p型のドーパント不純物を導入することにより、NMOSトランジスタが形成される領域14nにおける半導体基板10内に、p形ウェル16pを形成する。   Next, a p-type well 16p is formed in the semiconductor substrate 10 in the region 14n where the NMOS transistor is formed by introducing p-type dopant impurities using the photoresist film as a mask.

この後、フォトレジスト膜を剥離する。   Thereafter, the photoresist film is peeled off.

次に、例えばスピンコート法により、全面に、フォトレジスト膜(図示せず)を形成する。   Next, a photoresist film (not shown) is formed on the entire surface by, eg, spin coating.

次に、フォトリソグラフィ技術を用い、フォトレジスト膜に、PMOSトランジスタが形成される領域14pを開口する開口部(図示せず)を形成する。   Next, an opening (not shown) that opens the region 14p where the PMOS transistor is formed is formed in the photoresist film by using a photolithography technique.

次に、フォトレジスト膜をマスクとして、n型のドーパント不純物を導入することにより、PMOSトランジスタが形成される領域14pにおける半導体基板10内に、n形ウェル16nを形成する。   Next, an n-type well 16n is formed in the semiconductor substrate 10 in the region 14p where the PMOS transistor is formed by introducing an n-type dopant impurity using the photoresist film as a mask.

次に、全面に、膜厚1.2nmのゲート絶縁膜18を形成する。ゲート絶縁膜18は、例えば熱酸化法により形成することできる。   Next, a gate insulating film 18 having a thickness of 1.2 nm is formed on the entire surface. The gate insulating film 18 can be formed by, for example, a thermal oxidation method.

次に、全面に、膜厚100nmのポリシリコン膜を形成する。この後、フォトリソグラフィ技術を用い、ポリシリコン膜をゲート電極の形状にパターニングする。パターニングの際には、例えば異方性エッチングを用いる。こうして、ポリシリコンより成るゲート電極20が形成される。   Next, a 100 nm-thickness polysilicon film is formed on the entire surface. Thereafter, the polysilicon film is patterned into the shape of the gate electrode by using a photolithography technique. For patterning, for example, anisotropic etching is used. Thus, the gate electrode 20 made of polysilicon is formed.

次に、図1(b)に示すように、例えばスピンコート法により、全面に、フォトレジスト膜22を形成する。   Next, as shown in FIG. 1B, a photoresist film 22 is formed on the entire surface by, eg, spin coating.

次に、フォトリソグラフィ技術を用い、フォトレジスト膜22に、NMOSトランジスタが形成される領域14nを開口する開口部24を形成する。   Next, using the photolithography technique, an opening 24 is formed in the photoresist film 22 to open the region 14n where the NMOS transistor is to be formed.

次に、例えばイオン注入法により、フォトレジスト膜24とゲート電極20とをマスクとして、ゲート電極20の両側の半導体基板10内にp型のドーパント不純物を導入する。p型のドーパント不純物としては、例えばInを用いることができる。イオン注入条件は、例えば、加速エネルギーを50keVとし、ドーズ量を5×1013cm-2とする。こうして、ゲート電極20の両側の半導体基板10内に、p型のポケット領域26が形成される(図2(a)参照)。 Next, for example, by ion implantation, p-type dopant impurities are introduced into the semiconductor substrate 10 on both sides of the gate electrode 20 using the photoresist film 24 and the gate electrode 20 as a mask. For example, In can be used as the p-type dopant impurity. The ion implantation conditions are, for example, an acceleration energy of 50 keV and a dose amount of 5 × 10 13 cm −2 . In this way, p-type pocket regions 26 are formed in the semiconductor substrate 10 on both sides of the gate electrode 20 (see FIG. 2A).

なお、ここでは、p型のドーパント不純物としてInを用いる場合を例に説明したが、p型のドーパント不純物はInに限定されるものではなく、例えばBを用いてもよい。p型のドーパント不純物としてBを用いる場合、加速エネルギーは例えば5keVとし、ドーズ量は例えば5×1013cm-2とする。 Here, the case where In is used as the p-type dopant impurity has been described as an example. However, the p-type dopant impurity is not limited to In, and for example, B may be used. When B is used as the p-type dopant impurity, the acceleration energy is, for example, 5 keV, and the dose amount is, for example, 5 × 10 13 cm −2 .

次に、例えばイオン注入法により、フォトレジスト膜22とゲート電極20とをマスクとして、ゲート電極20の両側の半導体基板10内に、n型のドーパント不純物を導入する。n型のドーパント不純物としては、例えばAsを用いることができる。イオン注入条件は、例えば、加速エネルギーを5keVとし、ドーズ量を1×1015cm-2とする。 Next, for example, by ion implantation, n-type dopant impurities are introduced into the semiconductor substrate 10 on both sides of the gate electrode 20 using the photoresist film 22 and the gate electrode 20 as a mask. As the n-type dopant impurity, for example, As can be used. The ion implantation conditions are, for example, an acceleration energy of 5 keV and a dose of 1 × 10 15 cm −2 .

この後、フォトレジスト膜22を剥離する。   Thereafter, the photoresist film 22 is peeled off.

こうして、ゲート電極20の両側の半導体基板10内に、エクステンションソース/ドレイン構造の浅い領域を構成する不純物拡散領域28、即ちエクステンション領域が形成される(図2(b)参照)。   In this way, impurity diffusion regions 28 that form shallow regions of the extension source / drain structure, that is, extension regions are formed in the semiconductor substrate 10 on both sides of the gate electrode 20 (see FIG. 2B).

次に、例えばスピンコート法により、全面に、フォトレジスト膜30を形成する。   Next, a photoresist film 30 is formed on the entire surface by, eg, spin coating.

次に、フォトリソグラフィ技術を用い、フォトレジスト膜30に、PMOSトランジスタが形成される領域14pを開口する開口部32を形成する。   Next, using the photolithography technique, an opening 32 is formed in the photoresist film 30 to open the region 14p where the PMOS transistor is to be formed.

次に、例えばイオン注入法により、フォトレジスト膜30とゲート電極20とをマスクとして、ゲート電極20の両側の半導体基板10内にn型のドーパント不純物を導入する。n型のドーパント不純物としては、例えばSbを用いることができる。イオン注入条件は、例えば、加速エネルギーを60keVとし、ドーズ量を5×1013cm-2とする。こうして、ゲート電極20の両側の半導体基板10内に、n型のポケット領域34が形成される(図3(a)参照)。 Next, an n-type dopant impurity is introduced into the semiconductor substrate 10 on both sides of the gate electrode 20 by, eg, ion implantation, using the photoresist film 30 and the gate electrode 20 as a mask. As the n-type dopant impurity, for example, Sb can be used. The ion implantation conditions are, for example, an acceleration energy of 60 keV and a dose of 5 × 10 13 cm −2 . Thus, n-type pocket regions 34 are formed in the semiconductor substrate 10 on both sides of the gate electrode 20 (see FIG. 3A).

次に、例えばイオン注入法により、フォトレジスト膜30とゲート電極20とをマスクとして、ゲート電極20の両側の半導体基板10内に、p型のドーパント不純物を導入する。p型のドーパント不純物としては、例えばBを用いることができる。イオン注入条件は、例えば、加速エネルギーを1keVとし、ドーズ量を1×1015cm-2とする。 Next, a p-type dopant impurity is introduced into the semiconductor substrate 10 on both sides of the gate electrode 20 by, for example, ion implantation, using the photoresist film 30 and the gate electrode 20 as a mask. For example, B can be used as the p-type dopant impurity. The ion implantation conditions are, for example, an acceleration energy of 1 keV and a dose of 1 × 10 15 cm −2 .

この後、フォトレジスト膜30を剥離する。   Thereafter, the photoresist film 30 is peeled off.

こうして、ゲート電極20の両側の半導体基板10内に、エクステンションソース/ドレイン構造の浅い領域を構成する不純物拡散領域36、即ちエクステンション領域が形成される(図3(b)参照)。   In this way, impurity diffusion regions 36 that form shallow regions of the extension source / drain structure, that is, extension regions are formed in the semiconductor substrate 10 on both sides of the gate electrode 20 (see FIG. 3B).

次に、図4(a)に示すように、全面に、例えば減圧熱CVD法により、膜厚10〜30nmのシリコン酸化膜38を形成する。原料としては、BTBAS(Bis (Tertiary-butylamino) Silane、ビスターシャルブチルアミノシラン)とO2とを用いる。成膜温度は、例えば500〜580℃とする。成膜室内の圧力は、例えば10〜60Paとする。BTBASの流量は、例えば20〜60sccmとする。O2の流量は、例えば80〜240sccmとする。成膜時間は、例えば5〜20分とする。成膜温度を低めに設定する場合、又は、成膜室内の圧力を低めに設定する場合には、成膜時間を長めに設定する。 Next, as shown in FIG. 4A, a silicon oxide film 38 having a thickness of 10 to 30 nm is formed on the entire surface by, eg, low pressure thermal CVD. As a raw material, BTBAS (Bis (Tertiary-butylamino ) Silane, Bicester-tert-butyl amino silane) and used and O 2. The film forming temperature is set to 500 to 580 ° C., for example. The pressure in the film forming chamber is, for example, 10 to 60 Pa. The flow rate of BTBAS is, for example, 20 to 60 sccm. The flow rate of O 2 is, for example, 80 to 240 sccm. The film formation time is, for example, 5 to 20 minutes. When the film forming temperature is set lower or when the pressure in the film forming chamber is set lower, the film forming time is set longer.

なお、ここでは、シリコン酸化膜38を減圧熱CVD法を用いて形成する場合を例に説明したが、シリコン酸化膜38の形成方法は、減圧熱CVD法に限定されるものではない。例えば常圧熱CVD法などを用いてもよい。但し、減圧熱CVD法を用いた場合の方が、常圧熱CVD方を用いた場合と比較して、ステップカバレージの良好なシリコン酸化膜38が得られる傾向がある。   Although the case where the silicon oxide film 38 is formed by using the low pressure thermal CVD method has been described as an example here, the method of forming the silicon oxide film 38 is not limited to the low pressure thermal CVD method. For example, atmospheric pressure CVD may be used. However, the silicon oxide film 38 with good step coverage tends to be obtained when the low pressure thermal CVD method is used, compared with the case where the normal pressure thermal CVD method is used.

次に、図4(b)に示すように、全面に、例えば減圧熱CVD法により、膜厚40〜80nmのシリコン窒化膜40を形成する。原料としては、BTBASとNH3(アンモニア)とを用いる。成膜温度は、例えば550〜580℃とする。成膜室内の圧力は、例えば50〜300Paとする。BTBASの流量は、30〜100sccmとする。NH3の流量は、例えば120〜400sccmとする。成膜時間は、例えば40〜240分とする。成膜温度を低めに設定する場合、又は、成膜室内の圧力を低めに設定する場合には、成膜時間を長めに設定する。 Next, as shown in FIG. 4B, a silicon nitride film 40 having a thickness of 40 to 80 nm is formed on the entire surface by, eg, low pressure thermal CVD. BTBAS and NH 3 (ammonia) are used as raw materials. The film forming temperature is set to 550 to 580 ° C., for example. The pressure in the film forming chamber is, for example, 50 to 300 Pa. The flow rate of BTBAS is 30 to 100 sccm. The flow rate of NH 3 is set to 120 to 400 sccm, for example. The film formation time is, for example, 40 to 240 minutes. When the film forming temperature is set lower or when the pressure in the film forming chamber is set lower, the film forming time is set longer.

なお、ここでは、シリコン窒化膜40を減圧熱CVD法を用いて形成する場合を例に説明したが、シリコン窒化膜40の形成方法は、減圧熱CVD法に限定されるものではない。例えば常圧熱CVD法などを用いてもよい。但し、減圧熱CVD法を用いた場合の方が、常圧熱CVD法を用いた場合と比較して、ステップカバレージの良好なシリコン窒化膜40が得られる傾向がある。   Here, the case where the silicon nitride film 40 is formed by using the low pressure thermal CVD method has been described as an example, but the method of forming the silicon nitride film 40 is not limited to the low pressure thermal CVD method. For example, atmospheric pressure CVD may be used. However, the silicon nitride film 40 with good step coverage tends to be obtained when the reduced pressure thermal CVD method is used, compared to the case where the atmospheric pressure thermal CVD method is used.

次に、図5(a)に示すように、シリコン窒化膜40及びシリコン酸化膜38を異方性エッチングする。エッチングガスとしては、例えばハイドロフルオロカーボンを用いる。こうして、図5(b)に示すように、ゲート電極20の側壁部分に、シリコン酸化膜38とシリコン窒化膜40とから成る積層構造のサイドウォールスペーサ42が形成される。   Next, as shown in FIG. 5A, the silicon nitride film 40 and the silicon oxide film 38 are anisotropically etched. As an etching gas, for example, hydrofluorocarbon is used. Thus, as shown in FIG. 5B, the side wall spacer 42 having a laminated structure composed of the silicon oxide film 38 and the silicon nitride film 40 is formed on the side wall portion of the gate electrode 20.

次に、例えばスピンコート法により、全面に、フォトレジスト膜44を形成する。   Next, a photoresist film 44 is formed on the entire surface by, eg, spin coating.

次に、フォトリソグラフィ技術を用い、フォトレジスト膜44に、NMOSトランジスタが形成される領域14nを開口する開口部46を形成する。   Next, using the photolithography technique, an opening 46 is formed in the photoresist film 44 to open the region 14n where the NMOS transistor is to be formed.

次に、図6(b)に示すように、例えばイオン注入法により、フォトレジスト膜44、ゲート電極20及びサイドウォールスペーサ42をマスクとして、半導体基板10内にn型のドーパント不純物を導入する。n型のドーパント不純物としては、例えばAs又はPを用いることができる。イオン注入条件は、例えば、加速エネルギーを6keVとし、ドーズ量を1×1016cm-2とする。 Next, as shown in FIG. 6B, an n-type dopant impurity is introduced into the semiconductor substrate 10 by ion implantation, for example, using the photoresist film 44, the gate electrode 20 and the sidewall spacer 42 as a mask. For example, As or P can be used as the n-type dopant impurity. The ion implantation conditions are, for example, an acceleration energy of 6 keV and a dose amount of 1 × 10 16 cm −2 .

こうして、側壁部分にサイドウォールスペーサ42が形成されたゲート電極20の両側の半導体基板10内に、エクステンションソース/ドレイン構造の深い領域を構成するn型の不純物拡散領域48が形成される(図6(b)参照)。深い不純物拡散領域48は、浅い不純物拡散領域28よりキャリア濃度が高くなるように形成される。浅い不純物拡散領域28と深い不純物拡散領域48とにより、エクステンションソース/ドレイン構造のソース/ドレイン拡散層50が構成される。   Thus, the n-type impurity diffusion region 48 constituting the deep region of the extension source / drain structure is formed in the semiconductor substrate 10 on both sides of the gate electrode 20 having the sidewall spacer 42 formed on the sidewall portion (FIG. 6). (See (b)). The deep impurity diffusion region 48 is formed so that the carrier concentration is higher than that of the shallow impurity diffusion region 28. The shallow impurity diffusion region 28 and the deep impurity diffusion region 48 constitute a source / drain diffusion layer 50 having an extension source / drain structure.

この後、フォトレジスト膜44を剥離する。   Thereafter, the photoresist film 44 is peeled off.

次に、例えばスピンコート法により、全面に、フォトレジスト膜52を形成する。   Next, a photoresist film 52 is formed on the entire surface by, eg, spin coating.

次に、フォトリソグラフィ技術を用い、フォトレジスト膜52に、PMOSトランジスタが形成される領域14pを開口する開口部54を形成する。   Next, an opening 54 is formed in the photoresist film 52 to open the region 14p where the PMOS transistor is to be formed by using a photolithography technique.

次に、例えばイオン注入法により、フォトレジスト膜52、ゲート電極20及びサイドウォールスペーサ42をマスクとして、半導体基板20内にp型のドーパント不純物を導入する。p型のドーパント不純物としては、例えばBを用いることができる。イオン注入条件は、例えば、加速エネルギーを8keVとし、ドーズ量を5×1015cm-2とする。 Next, a p-type dopant impurity is introduced into the semiconductor substrate 20 by, eg, ion implantation using the photoresist film 52, the gate electrode 20 and the sidewall spacers 42 as a mask. For example, B can be used as the p-type dopant impurity. The ion implantation conditions are, for example, an acceleration energy of 8 keV and a dose amount of 5 × 10 15 cm −2 .

こうして、側壁部分にサイドウォールスペーサ42が形成されたゲート電極20の両側の半導体基板10内に、エクステンションソース/ドレイン構造の深い領域を構成するp型の不純物拡散領域56が形成される(図7(a)参照)。深い不純物拡散領域56は、浅い不純物拡散領域36よりキャリア濃度が高くなるように形成される。浅い不純物拡散領域36と深い不純物拡散領域56とにより、エクステンションソース/ドレイン構造のソース/ドレイン拡散層58が構成される。   Thus, the p-type impurity diffusion region 56 constituting the deep region of the extension source / drain structure is formed in the semiconductor substrate 10 on both sides of the gate electrode 20 having the sidewall spacer 42 formed on the sidewall portion (FIG. 7). (See (a)). The deep impurity diffusion region 56 is formed so that the carrier concentration is higher than that of the shallow impurity diffusion region 36. The shallow impurity diffusion region 36 and the deep impurity diffusion region 56 constitute a source / drain diffusion layer 58 having an extension source / drain structure.

この後、フォトレジスト膜52を剥離する。   Thereafter, the photoresist film 52 is peeled off.

次に、例えばRTA(Rapid Thermal Annealing)法により、ソース/ドレイン拡散層50、58に導入されたドーパント不純物を活性化するための熱処理を行う。熱処理は、例えば1000℃以上の短時間アニールとする。   Next, heat treatment for activating dopant impurities introduced into the source / drain diffusion layers 50 and 58 is performed by, for example, RTA (Rapid Thermal Annealing). The heat treatment is, for example, short-time annealing at 1000 ° C. or higher.

次に、図7(b)に示すように、全面に、例えばスパッタ法により、例えばCoより成る金属膜60を形成する、金属膜60の膜厚は、例えば5nm以上とする。   Next, as shown in FIG. 7B, a metal film 60 made of, eg, Co is formed on the entire surface by, eg, sputtering, and the thickness of the metal film 60 is, eg, 5 nm or more.

次に、熱処理を行うことにより、金属膜60のCoと半導体基板10のSiとを反応させる。こうして、例えばコバルトシリサイド膜が形成される。この後、Siと反応しなかった金属膜60を除去する。こうして、コバルトシリサイドよりなるソース/ドレイン電極62が形成される(図8参照)。   Next, heat treatment is performed to react Co in the metal film 60 and Si in the semiconductor substrate 10. Thus, for example, a cobalt silicide film is formed. Thereafter, the metal film 60 that has not reacted with Si is removed. Thus, source / drain electrodes 62 made of cobalt silicide are formed (see FIG. 8).

こうしてNMOSトランジスタ64nとPMOSトランジスタ64pとを有する本実施形態による半導体装置が製造される。   Thus, the semiconductor device according to the present embodiment having the NMOS transistor 64n and the PMOS transistor 64p is manufactured.

本実施形態による半導体装置の製造方法は、BTBASとO2とを原料として用い、熱CVD法により、500〜580℃の成膜温度で、シリコン酸化膜38を形成すること、また、BTBASとNH3とを原料として用い、熱CVD法により、550〜580℃の成膜温度で、シリコン窒化膜40を形成することに主な特徴がある。 In the method of manufacturing the semiconductor device according to the present embodiment, the silicon oxide film 38 is formed at a film formation temperature of 500 to 580 ° C. by thermal CVD using BTBAS and O 2 as raw materials. 3 is used as a raw material, and the main feature is that the silicon nitride film 40 is formed at a film formation temperature of 550 to 580 ° C. by a thermal CVD method.

従来は、サイドウォールスペーサ42を形成するためのシリコン酸化膜やシリコン窒化膜は高い温度で成膜されていたため、エクステンションソース/ドレイン構造の浅い領域を構成する不純物拡散領域28、36においてドーパント不純物が拡散してしまい、必ずしも良好な電気的特性を有するトランジスタを形成することができなかった。また、同様に、ポケット領域26、34においてもドーパント不純物が拡散してしまい、トランジスタの電流駆動力が低くなってしまう傾向があった。一方、プラズマCVD法を用いれば、シリコン酸化膜やシリコン窒化膜を比較的低温で成膜し得るが、プラズマCVD法を用いた場合には、半導体基板10にダメージが加わり、また、シリコン酸化膜やシリコン窒化膜に水素が含まれてしまう。このため、プラズマCVD法を用いた場合には、トランジスタのしきい値電圧の変動を招いてしまう。従って、プラズマCVD法を用いてシリコン酸化膜やシリコン窒化膜を成膜した場合には、良好な電気的特性を有するエクステンションソース/ドレイン構造のトランジスタを形成することは困難であった。   Conventionally, silicon oxide films and silicon nitride films for forming the sidewall spacers 42 are formed at a high temperature, so that dopant impurities are present in the impurity diffusion regions 28 and 36 constituting the shallow region of the extension source / drain structure. A transistor having good electrical characteristics could not always be formed due to diffusion. Similarly, the dopant impurities also diffuse in the pocket regions 26 and 34, and the current driving capability of the transistor tends to decrease. On the other hand, if the plasma CVD method is used, a silicon oxide film or a silicon nitride film can be formed at a relatively low temperature. However, if the plasma CVD method is used, the semiconductor substrate 10 is damaged, and the silicon oxide film In addition, hydrogen is contained in the silicon nitride film. For this reason, when the plasma CVD method is used, the threshold voltage of the transistor fluctuates. Therefore, when a silicon oxide film or a silicon nitride film is formed using the plasma CVD method, it is difficult to form a transistor having an extension source / drain structure having good electrical characteristics.

これに対し、本実施形態では、BTBASとO2とを原料として用い、500〜580℃という比較的低い成膜温度で、シリコン酸化膜を熱CVD法により形成し、また、BTBASとNH3とを原料として用い、550〜580℃という比較的低い成膜温度で、シリコン窒化膜を熱CVD法により形成する。このため、本実施形態によれば、エクステンションソース/ドレイン構造の浅い領域を構成する不純物拡散領域28、36やポケット領域26、34においてドーパント不純物が拡散してしまうのを抑制することができる。特に、NMOSトランジスタ64nのポケット領域26に導入するドーパント不純物としてBを用いた場合や、PMOSトランジスタ64pの浅い不純物拡散領域36に導入するドーパント不純物としてBを用いた場合には、ドーパント不純物の拡散を抑制する顕著な効果が得られる。従って、本実施形態によれば、エクステンションソース/ドレイン構造及びポケット構造を有する良好な電気的特性を有するトランジスタを形成することができ、ひいては電気的特性の極めて良好な微細な半導体装置を提供することができる。 On the other hand, in the present embodiment, BTBAS and O 2 are used as raw materials, a silicon oxide film is formed by a thermal CVD method at a relatively low film formation temperature of 500 to 580 ° C., and BTBAS and NH 3 As a raw material, a silicon nitride film is formed by a thermal CVD method at a relatively low film formation temperature of 550 to 580 ° C. For this reason, according to the present embodiment, it is possible to prevent the dopant impurities from diffusing in the impurity diffusion regions 28 and 36 and the pocket regions 26 and 34 constituting the shallow region of the extension source / drain structure. In particular, when B is used as a dopant impurity to be introduced into the pocket region 26 of the NMOS transistor 64n, or when B is used as a dopant impurity to be introduced into the shallow impurity diffusion region 36 of the PMOS transistor 64p, diffusion of the dopant impurity is performed. The remarkable effect which suppresses is acquired. Therefore, according to this embodiment, it is possible to form a transistor having an extension source / drain structure and a pocket structure having good electrical characteristics, and thus to provide a fine semiconductor device having extremely good electrical characteristics. Can do.

(評価結果)
次に、本実施形態による半導体装置の製造方法の評価結果について、図9乃至図12を用いて説明する。
(Evaluation results)
Next, the evaluation results of the semiconductor device manufacturing method according to the present embodiment will be explained with reference to FIGS.

まず、PMOSトランジスタ64pにおけるBの濃度分布について図9を用いて説明する。図9は、PMOSトランジスタにおけるBの濃度分布を示すグラフである。なお、Bの濃度分布は、SIMS(Secondary Ion Mass Spectrometry、二次イオン質量分析装置)を用いて測定した。   First, the B concentration distribution in the PMOS transistor 64p will be described with reference to FIG. FIG. 9 is a graph showing the concentration distribution of B in the PMOS transistor. The concentration distribution of B was measured using SIMS (Secondary Ion Mass Spectrometry).

図9における太い実線は、本実施形態の場合、即ちBTBASとO2とを原料として用い、530℃の成膜温度で、熱CVD法によりシリコン酸化膜38を形成し、BTBASとNH3とを原料として用い、580℃の成膜温度で熱CVD法によりシリコン窒化膜40を形成した後におけるBの濃度分布を示している。 The thick solid line in FIG. 9 indicates that in the case of this embodiment, that is, using BTBAS and O 2 as raw materials, a silicon oxide film 38 is formed by thermal CVD at a film formation temperature of 530 ° C., and BTBAS and NH 3 are The concentration distribution of B after forming the silicon nitride film 40 by thermal CVD at a film forming temperature of 580 ° C. as a raw material is shown.

図9における点線は、従来の半導体装置の製造方法の場合、即ち、TEOSとO2とを原料として用い、620℃の成膜温度で、熱CVD法によりシリコン酸化膜を形成し、ジクロロシランとNH3とを原料として用い、700℃の成膜温度で、熱CVD法によりシリコン窒化膜を形成した後におけるBの濃度分布を示している。 The dotted line in FIG. 9 shows the case of a conventional method for manufacturing a semiconductor device, that is, a silicon oxide film is formed by thermal CVD at a film forming temperature of 620 ° C. using TEOS and O 2 as a raw material. The concentration distribution of B after forming a silicon nitride film by thermal CVD at a film forming temperature of 700 ° C. using NH 3 as a raw material is shown.

図9における細い実線は、ゲート電極20をマスクとして半導体基板10にBを注入した直後におけるBの濃度分布を示している。   A thin solid line in FIG. 9 indicates a B concentration distribution immediately after B is implanted into the semiconductor substrate 10 using the gate electrode 20 as a mask.

図9から分かるように、従来の半導体装置では、Bを注入した直後におけるBの濃度分布と成膜後におけるBの濃度分布とを比較すると、Bの濃度分布は若干変化している。   As can be seen from FIG. 9, in the conventional semiconductor device, when the B concentration distribution immediately after the implantation of B is compared with the B concentration distribution after the film formation, the B concentration distribution slightly changes.

これに対し、本実施形態による半導体装置では、Bを注入した直後におけるBの濃度分布と成膜後におけるBの濃度分布とを比較すると、Bの濃度分布は殆ど変化していない。   On the other hand, in the semiconductor device according to the present embodiment, when the B concentration distribution immediately after the implantation of B is compared with the B concentration distribution after film formation, the B concentration distribution hardly changes.

このことから、本実施形態によれば、シリコン酸化膜38とシリコン窒化膜40とを形成する際におけるBの拡散を抑制し得ることが分かる。   From this, it can be seen that according to the present embodiment, B diffusion during formation of the silicon oxide film 38 and the silicon nitride film 40 can be suppressed.

次に、NMOSトランジスタ64nにおけるAsの濃度分布について図10を用いて説明する。図10は、NMOSトランジスタにおけるAsの濃度分布を示すグラフである。Asの濃度分布は、SIMSを用いて測定した。   Next, the As concentration distribution in the NMOS transistor 64n will be described with reference to FIG. FIG. 10 is a graph showing the concentration distribution of As in the NMOS transistor. The concentration distribution of As was measured using SIMS.

図10における太い実線は、本実施形態の場合、即ち、即ちBTBASとO2とを原料として用い、530℃の成膜温度で、熱CVD法によりシリコン酸化膜38を形成し、BTBASとNH3とを原料として用い、580℃の成膜温度で熱CVD法によりシリコン窒化膜40を形成した後におけるAsの濃度分布を示している。 The thick solid line in FIG. 10 indicates that in the case of this embodiment, that is, that is, using BTBAS and O 2 as raw materials, the silicon oxide film 38 is formed by thermal CVD at a film forming temperature of 530 ° C., and BTBAS and NH 3 The As concentration distribution after forming the silicon nitride film 40 by the thermal CVD method at a film forming temperature of 580 ° C. is used.

図10における点線は、従来の半導体装置の製造方法の場合、即ち、TEOSとO2とを原料として用い、620℃の成膜温度で、熱CVD法によりシリコン酸化膜を形成し、ジクロロシランとNH3とを原料として用い、700℃の成膜温度で、熱CVD法によりシリコン窒化膜を形成した後におけるAsの濃度分布を示している。 The dotted line in FIG. 10 shows the case of a conventional semiconductor device manufacturing method, that is, a silicon oxide film is formed by thermal CVD at a film forming temperature of 620 ° C. using TEOS and O 2 as a raw material. The concentration distribution of As after forming a silicon nitride film by thermal CVD at a film forming temperature of 700 ° C. using NH 3 as a raw material is shown.

図10における細い実線は、ゲート電極20をマスクとして半導体基板10にAsを注入した直後におけるAsの濃度分布を示している。   A thin solid line in FIG. 10 indicates the concentration distribution of As immediately after As is implanted into the semiconductor substrate 10 using the gate electrode 20 as a mask.

図10から分かるように、従来の半導体装置では、Asを注入した直後におけるAsの濃度分布と成膜後におけるAsの濃度分布とを比較すると、Asの濃度分布が大きく変化している。   As can be seen from FIG. 10, in the conventional semiconductor device, when the As concentration distribution immediately after the implantation of As and the As concentration distribution after film formation are compared, the As concentration distribution changes greatly.

これに対し、本実施形態による半導体装置では、Asを注入した直後におけるAsの濃度分布と成膜後におけるAsの濃度分布とを比較すると、Asの濃度分布が殆ど変化していない。   On the other hand, in the semiconductor device according to the present embodiment, when the As concentration distribution just after As is implanted and the As concentration distribution after film formation are compared, the As concentration distribution hardly changes.

このことから、本実施形態によれば、シリコン酸化膜38とシリコン窒化膜40とを形成する際におけるAsの拡散を抑制し得ることが分かる。   From this, it can be seen that according to the present embodiment, the diffusion of As when the silicon oxide film 38 and the silicon nitride film 40 are formed can be suppressed.

次に、NMOSトランジスタ64nにおけるロールオフ(Roll-Off)特性について図11を用いて説明する。図11は、NMOSトランジスタにおけるゲート長としきい値電圧との関係を示すグラフである。図11の横軸はゲート長を示している。紙面左側ほどゲート長は短く、紙面右側ほどゲート長が長い。図11の縦軸は、しきい値電圧Vthを示している。 Next, a roll-off characteristic in the NMOS transistor 64n will be described with reference to FIG. FIG. 11 is a graph showing the relationship between the gate length and the threshold voltage in an NMOS transistor. The horizontal axis in FIG. 11 indicates the gate length. The gate length is shorter toward the left side of the page, and the gate length is longer toward the right side of the page. The vertical axis in FIG. 11 indicates the threshold voltage Vth .

図11における■印は、本実施形態による半導体装置の場合を示している。   In FIG. 11, the ■ marks indicate the case of the semiconductor device according to the present embodiment.

図11における◆印は、従来の半導体装置の場合を示している。   The marks in FIG. 11 indicate the case of a conventional semiconductor device.

図11から分かるように、従来の半導体装置の場合には、ゲート長が短くなるに伴って、しきい値電圧Vthが大きく変化してしまっている。 As can be seen from FIG. 11, in the case of the conventional semiconductor device, the threshold voltage Vth greatly changes as the gate length becomes shorter.

これに対し、本実施形態による半導体装置の場合には、ゲート長を短くすることに伴うしきい値電圧Vthの変化が抑制されている。 On the other hand, in the semiconductor device according to the present embodiment, the change in the threshold voltage V th accompanying the shortening of the gate length is suppressed.

このことから、本実施形態によれば、NMOSトランジスタ64nにおいてロールオフ耐性を向上し得ることが分かる。   From this, it can be seen that according to the present embodiment, the roll-off resistance can be improved in the NMOS transistor 64n.

次に、PMOSトランジスタ64pにおけるIon−Ioff特性について図12を用いて説明する。図12は、PMOSトランジスタにおけるIon−Ioff特性を示すグラフである。図12の横軸は飽和電流Ionを示している。紙面左側ほど飽和電流Ionが小さく、紙面右側ほど飽和電流Ionが大きい。図12の縦軸は、リーク電流Ioffを示している。紙面上側ほどリーク電流が大きく、紙面下側ほどリーク電流が小さい。 Next, I on -I off characteristics in the PMOS transistor 64p will be described with reference to FIG. FIG. 12 is a graph showing I on -I off characteristics in a PMOS transistor. The horizontal axis of FIG. 12 indicates the saturation current I on . The saturation current I on is smaller on the left side of the paper and the saturation current I on is larger on the right side of the paper. The vertical axis in FIG. 12 indicates the leakage current Ioff . The leak current is larger toward the upper side of the paper, and the leak current is smaller toward the lower side of the paper.

図12における■印は、本実施形態による半導体装置の場合を示している。   The ▪ marks in FIG. 12 indicate the case of the semiconductor device according to the present embodiment.

図12における◆印は、従来の半導体装置の場合を示している。   The mark ♦ in FIG. 12 shows the case of a conventional semiconductor device.

図12から分かるように、本実施形態による半導体装置では、従来の半導体装置と比較して、リーク電流Ioffの値に対する飽和電流Ionの値大きくなっている。 As can be seen from FIG. 12, in the semiconductor device according to the present embodiment, the value of the saturation current I on is larger than the value of the leakage current I off as compared with the conventional semiconductor device.

このことから、本実施形態によれば、PMOSトランジスタ64pにおけるIon−Ioff特性を向上し得ることが分かる。 From this, it can be seen that according to the present embodiment, the I on -I off characteristics of the PMOS transistor 64p can be improved.

(変形例)
次に、本実施形態による半導体装置の製造方法の変形例について図13を用いて説明する。図13は、本変形例による半導体装置の製造方法を示す工程断面図である。
(Modification)
Next, a modification of the semiconductor device manufacturing method according to the present embodiment will be explained with reference to FIGS. FIG. 13 is a process cross-sectional view illustrating a method for manufacturing a semiconductor device according to this modification.

本変形例による半導体装置の製造方法は、サイドウォールスペーサ42aをシリコン酸化膜38のみを用いて形成することに主な特徴がある。   The semiconductor device manufacturing method according to this modification is mainly characterized in that the sidewall spacers 42a are formed using only the silicon oxide film 38.

まず、ゲート電極20の両側の半導体基板10内に、エクステンションソース/ドレイン構造の浅い領域を構成する不純物拡散領域36を形成する工程までは、図1(a)乃至図3(b)を用いて上述した半導体装置の製造方法と同様であるので説明を省略する。   First, the steps up to the step of forming the impurity diffusion region 36 constituting the shallow region of the extension source / drain structure in the semiconductor substrate 10 on both sides of the gate electrode 20 will be described with reference to FIGS. Since it is the same as the manufacturing method of the semiconductor device mentioned above, description is abbreviate | omitted.

次に、図13(a)に示すように、全面に、例えば熱CVD法により、シリコン酸化膜38を形成する。本変形例では、シリコン酸化膜38のみによりサイドウォールスペーサ42を形成するため、シリコン酸化膜38を厚めに形成する。シリコン酸化膜38の膜厚は、例えば100nm程度とする。原料としては、上記と同様に、BTBASとO2とを用いる。成膜温度は、上記と同様に、例えば500〜580℃とする。成膜室内の圧力は、上記と同様に、例えば10〜60Paとする。BTBASの流量は、上記と同様に、例えば20〜60sccmとする。O2の流量は、上記と同様に、例えば80〜240sccmとする。 Next, as shown in FIG. 13A, a silicon oxide film 38 is formed on the entire surface by, eg, thermal CVD. In this modification, the sidewall spacers 42 are formed only from the silicon oxide film 38, so the silicon oxide film 38 is formed thicker. The film thickness of the silicon oxide film 38 is, eg, about 100 nm. As the raw material, BTBAS and O 2 are used as described above. The film formation temperature is set to, for example, 500 to 580 ° C. as described above. The pressure in the film forming chamber is, for example, 10 to 60 Pa as described above. The flow rate of BTBAS is, for example, 20 to 60 sccm, as described above. The flow rate of O 2 is, for example, 80 to 240 sccm, as described above.

次に、シリコン酸化膜38を異方性エッチングする。エッチングガスとしては、例えばハイドロフルオロカーボンを用いる。こうして、図3(b)に示すように、ゲート電極20の側壁部分に、シリコン酸化膜38のみから成るサイドウォールスペーサ42aが形成される。即ち、単層構造のサイドウォールスペーサ42aが形成される。   Next, the silicon oxide film 38 is anisotropically etched. As an etching gas, for example, hydrofluorocarbon is used. Thus, as shown in FIG. 3B, a sidewall spacer 42a made only of the silicon oxide film 38 is formed on the sidewall portion of the gate electrode 20. That is, the sidewall spacer 42a having a single layer structure is formed.

この後の半導体装置の製造方法は、図6(a)乃至図8を用いて上述した半導体装置の製造方法と同様であるので、説明を省略する。   The subsequent manufacturing method of the semiconductor device is the same as the manufacturing method of the semiconductor device described above with reference to FIGS.

こうして、本変形例による半導体装置が製造される。   Thus, the semiconductor device according to this modification is manufactured.

このように、シリコン酸化膜38のみによりサイドウォールスペーサ42aを形成しても良い。   Thus, the sidewall spacers 42a may be formed only from the silicon oxide film 38.

[第2実施形態]
本発明の第2実施形態による半導体装置の製造方法を図14乃至図21を用いて説明する。図14乃至図21は、本実施形態による半導体装置の製造方法を示す工程断面図である。図1乃至図13に示す第1実施形態による半導体装置の製造方法と同一の構成要素には、同一の符号を付して説明を省略または簡潔にする。
[Second Embodiment]
A method for fabricating a semiconductor device according to the second embodiment of the present invention will be described with reference to FIGS. 14 to 21 are process cross-sectional views illustrating the method for fabricating the semiconductor device according to the present embodiment. The same components as those of the semiconductor device manufacturing method according to the first embodiment shown in FIGS. 1 to 13 are denoted by the same reference numerals, and description thereof will be omitted or simplified.

本実施形態による半導体装置の製造方法は、エクステンションソース/ドレイン構造の浅い領域を構成する不純物拡散領域28、36を形成する前に、エクステンションソース/ドレイン構造の深い領域を構成する不純物拡散領域48、56を形成することに主な特徴がある。   In the method of manufacturing the semiconductor device according to the present embodiment, before forming the impurity diffusion regions 28 and 36 constituting the shallow region of the extension source / drain structure, the impurity diffusion region 48 constituting the deep region of the extension source / drain structure, The main feature is in forming 56.

まず、ゲート電極20を形成する工程までは、図1(a)を用いて上述した半導体装置の製造方法と同様であるので説明を省略する(図14(a)参照)。   First, the process up to the step of forming the gate electrode 20 is the same as the method for manufacturing the semiconductor device described above with reference to FIG. 1A, and thus the description thereof is omitted (see FIG. 14A).

次に、図14(b)に示すように、全面に、例えば熱CVD法により、膜厚10〜30nmのシリコン酸化膜を形成する。原料としては、例えばTEOSとO2とを用いる。成膜温度は、例えば620〜700℃とする。エクステンションソース/ドレインの浅い領域を構成する不純物拡散領域28、36が未だ形成されていないため、このような比較的高い成膜温度でシリコン酸化膜66を形成しても特段の問題はない。 Next, as shown in FIG. 14B, a silicon oxide film having a thickness of 10 to 30 nm is formed on the entire surface by, eg, thermal CVD. For example, TEOS and O 2 are used as raw materials. The film forming temperature is set to 620 to 700 ° C., for example. Since the impurity diffusion regions 28 and 36 constituting the shallow region of the extension source / drain have not yet been formed, there is no particular problem even if the silicon oxide film 66 is formed at such a relatively high film formation temperature.

次に、全面に、例えば熱CVD法により、膜厚40〜80nmのシリコン窒化膜68を形成する。原料としては、例えばジクロロシランとNH3とを用いる。成膜温度は、例えば700〜800℃とする。エクステンションソース/ドレインの浅い領域を構成する不純物拡散領域28、36が未だ形成されていないため、このような比較的高い成膜温度でシリコン酸化膜66を形成しても特段の問題はない。 Next, a silicon nitride film 68 having a thickness of 40 to 80 nm is formed on the entire surface by, eg, thermal CVD. As the raw material, for example, dichlorosilane and NH 3 are used. The film forming temperature is set to 700 to 800 ° C., for example. Since the impurity diffusion regions 28 and 36 constituting the shallow region of the extension source / drain have not yet been formed, there is no particular problem even if the silicon oxide film 66 is formed at such a relatively high film formation temperature.

次に、シリコン窒化膜68及びシリコン酸化膜66を異方性エッチングする。エッチングガスとしては、例えばハイドロフルオロカーボンを用いる。こうして、図15(a)に示すように、ゲート電極20の側壁部分に、シリコン酸化膜66とシリコン窒化膜68とから成る積層構造のサイドウォールスペーサ70が形成される。   Next, the silicon nitride film 68 and the silicon oxide film 66 are anisotropically etched. As an etching gas, for example, hydrofluorocarbon is used. Thus, as shown in FIG. 15A, the side wall spacer 70 having a laminated structure composed of the silicon oxide film 66 and the silicon nitride film 68 is formed on the side wall portion of the gate electrode 20.

この後の図15(b)及び図16(a)に示す半導体装置の製造方法は、図6(a)及び図6(b)を用いて上述した半導体装置の製造方法と同様であるので、説明を省略する。   The subsequent method for manufacturing the semiconductor device shown in FIG. 15B and FIG. 16A is the same as the method for manufacturing the semiconductor device described above with reference to FIG. 6A and FIG. Description is omitted.

次に、例えばRTA法により、不純物拡散領域48、56に導入されたドーパント不純物を活性化するための熱処理を行う。熱処理は、例えば1000℃以上の短時間アニールとする。   Next, heat treatment for activating the dopant impurities introduced into the impurity diffusion regions 48 and 56 is performed by, for example, the RTA method. The heat treatment is, for example, short-time annealing at 1000 ° C. or higher.

次に、例えばウエットエッチングにより、シリコン酸化膜66とシリコン窒化膜68とから成るサイドウォールスペーサ70をエッチング除去する(図16(b)参照)。シリコン窒化膜68をエッチング除去する際には、例えばリン酸を用いる。また、シリコン酸化膜66をエッチング除去する際には、例えばフッ酸を用いる。   Next, the sidewall spacer 70 made of the silicon oxide film 66 and the silicon nitride film 68 is removed by etching, for example, by wet etching (see FIG. 16B). For removing the silicon nitride film 68 by etching, phosphoric acid is used, for example. Further, when the silicon oxide film 66 is removed by etching, for example, hydrofluoric acid is used.

この後の図17(a)乃至図18(b)に示す半導体装置の製造方法は、図1(b)乃至図3(a)を用いて上述した半導体装置の製造方法と同様であるので、説明を省略する。   The subsequent manufacturing method of the semiconductor device shown in FIGS. 17A to 18B is similar to the manufacturing method of the semiconductor device described above with reference to FIGS. 1B to 3A. Description is omitted.

次に、例えばRTA法により、ポケット領域26、34及び不純物拡散領域28、36に導入されたドーパント不純物を活性化するための熱処理を行う(図19(a)参照)。熱処理は、例えば1000℃以上の短時間アニールとする。   Next, heat treatment for activating the dopant impurities introduced into the pocket regions 26 and 34 and the impurity diffusion regions 28 and 36 is performed by, for example, RTA (see FIG. 19A). The heat treatment is, for example, short-time annealing at 1000 ° C. or higher.

この後の図19(b)乃至図20(b)に示す半導体装置の製造方法は、図4(a)乃至図5(b)を用いて上述した半導体装置の製造方法と同様であるので説明を省略する。   The subsequent manufacturing method of the semiconductor device shown in FIGS. 19B to 20B is the same as the manufacturing method of the semiconductor device described above with reference to FIGS. Is omitted.

また、この後の図21(a)乃至図21(b)に示す半導体装置の製造方法は、図7(b)及び図8を用いて上述した半導体装置の製造方法と同様であるので説明を省略する。   Further, the subsequent manufacturing method of the semiconductor device shown in FIGS. 21A to 21B is the same as the manufacturing method of the semiconductor device described above with reference to FIGS. Omitted.

こうして本実施形態による半導体装置が製造される。   Thus, the semiconductor device according to the present embodiment is manufactured.

本実施形態による半導体装置の製造方法は、上述したように、エクステンションソース/ドレイン構造の浅い領域を構成する不純物拡散領域28、36及びポケット領域26、34を形成する前に、エクステンションソース/ドレイン構造の深い領域を構成する不純物拡散領域48、56を形成することに主な特徴がある。   As described above, the method of manufacturing the semiconductor device according to the present embodiment has the extension source / drain structure before forming the impurity diffusion regions 28 and 36 and the pocket regions 26 and 34 constituting the shallow region of the extension source / drain structure. The main feature is that the impurity diffusion regions 48 and 56 constituting the deep region are formed.

本実施形態によれば、エクステンションソース/ドレイン構造の浅い領域を構成する不純物拡散領域28、36及びポケット領域26、34を形成する前に、エクステンションソース/ドレイン構造の深い領域を構成する不純物拡散領域48、56を形成するため、深い不純物拡散領域48、56に導入されたドーパント不純物を活性化するための熱処理を、浅い不純物拡散領域28、36及びポケット領域26、34を形成する前に行うことができる。このため、本実施形態によれば、エクステンションソース/ドレインの浅い領域を構成する不純物拡散領域28、36及びポケット領域26、34におけるドーパント不純物の拡散を、より抑制することができ、より短チャネル効果及びトランジスタの電流駆動力の低下を防止することが可能となる。   According to this embodiment, before forming the impurity diffusion regions 28 and 36 and the pocket regions 26 and 34 constituting the shallow region of the extension source / drain structure, the impurity diffusion region constituting the deep region of the extension source / drain structure. In order to form 48 and 56, heat treatment for activating dopant impurities introduced into the deep impurity diffusion regions 48 and 56 is performed before the shallow impurity diffusion regions 28 and 36 and the pocket regions 26 and 34 are formed. Can do. Therefore, according to the present embodiment, the diffusion of dopant impurities in the impurity diffusion regions 28 and 36 and the pocket regions 26 and 34 constituting the shallow region of the extension source / drain can be further suppressed, and the short channel effect can be further reduced. In addition, it is possible to prevent a decrease in the current driving capability of the transistor.

(変形例)
次に、本実施形態による半導体装置の製造方法の変形例について図22を用いて説明する。図22は、本変形例による半導体装置の製造方法を示す工程断面図である。
(Modification)
Next, a modification of the semiconductor device manufacturing method according to the present embodiment will be explained with reference to FIG. FIG. 22 is a process cross-sectional view illustrating a method for manufacturing a semiconductor device according to the present modification.

本変形例による半導体装置の製造方法は、サイドウォールスペーサ42aをシリコン酸化膜38のみにより形成することに主な特徴がある。   The manufacturing method of the semiconductor device according to this modification is mainly characterized in that the sidewall spacers 42a are formed only by the silicon oxide film 38.

まず、ポケット領域26、34及び不純物拡散領域28、36に導入されたドーパント不純物を活性化するための熱処理を行う工程までは、図14(a)乃至図19(a)を用いて上述した半導体装置の製造方法と同様であるので説明を省略する。   First, up to the step of performing a heat treatment for activating dopant impurities introduced into the pocket regions 26 and 34 and the impurity diffusion regions 28 and 36, the semiconductor described above with reference to FIGS. 14A to 19A. Since it is the same as the manufacturing method of the apparatus, the description is omitted.

次に、図13(a)を用いて上述した半導体装置の製造方法と同様にして、全面に、膜厚100nm程度のシリコン酸化膜38を形成する(図22(a)参照)。   Next, a silicon oxide film 38 having a thickness of about 100 nm is formed on the entire surface in the same manner as in the semiconductor device manufacturing method described above with reference to FIG. 13A (see FIG. 22A).

次に、図13(b)を用いて上述した半導体装置の製造方法と同様にして、シリコン酸化膜38を異方性エッチングする。こうして、ゲート電極20の側壁部分に、シリコン酸化膜38のみから成る単層構造のサイドウォールスペーサ42aが形成される(図22(b)参照)。   Next, the silicon oxide film 38 is anisotropically etched in the same manner as in the semiconductor device manufacturing method described above with reference to FIG. Thus, a single layer structure side wall spacer 42a made only of the silicon oxide film 38 is formed on the side wall portion of the gate electrode 20 (see FIG. 22B).

この後の半導体装置の製造方法は、図21(a)及び図21(b)を用いて上述した半導体装置の製造方法と同様であるので、説明を省略する。   The subsequent manufacturing method of the semiconductor device is the same as the manufacturing method of the semiconductor device described above with reference to FIGS.

このように、シリコン酸化膜38のみによりサイドウォールスペーサ38aを形成してもよい。   Thus, the sidewall spacers 38a may be formed only from the silicon oxide film 38.

[第3実施形態]
本発明の第3実施形態による半導体装置の製造方法を説明するに先立って、本発明の第3実施形態による半導体装置の製造方法の原理について説明する。
[Third Embodiment]
Prior to describing the semiconductor device manufacturing method according to the third embodiment of the present invention, the principle of the semiconductor device manufacturing method according to the third embodiment of the present invention will be described.

BTBASとNH3とを原料ガスとしてCVD法によりシリコン窒化膜を成膜する際の成膜反応は、N源となるN−Hが供給される2つの過程に律速されると考えられる。 It is considered that the film formation reaction when forming a silicon nitride film by CVD using BTBAS and NH 3 as source gases is rate-determined by two processes in which N—H serving as an N source is supplied.

図23は、BTBASとNH3とを原料ガスとして用いてシリコン窒化膜を成膜する際の成膜メカニズムを示す概念図である。 FIG. 23 is a conceptual diagram showing a film formation mechanism when a silicon nitride film is formed using BTBAS and NH 3 as source gases.

N源が供給される第1の過程は、以下の通りである。   The first process in which the N source is supplied is as follows.

即ち、まず、図23の(1)のように、BTBASの分子が半導体基板に吸着する。半導体基板に吸着したBTBASは、図23の(2)のように分解される。具体的には、BTBASの分子構造のうちで最も結合エネルギーが低い結合である、Si−NHC(CH33の結合が切断され、ターシャルブチルアミン(t-butylamine)が生成される。生成されたターシャルブチルアミンは、図23の(4)のように分解され、N−HがN源となる。なお、生成されたターシャルブチルアミンの一部は、図23の(3)のように、半導体基板から脱着する。 That is, first, as shown in (1) of FIG. 23, BTBAS molecules are adsorbed on the semiconductor substrate. The BTBAS adsorbed on the semiconductor substrate is decomposed as shown in (2) of FIG. Specifically, the bond of Si—NHC (CH 3 ) 3 , which is the bond having the lowest binding energy in the molecular structure of BTBAS, is cleaved to generate tertiary butylamine (t-butylamine). The produced tertiary butylamine is decomposed as shown in (4) of FIG. 23, and NH is used as the N source. Part of the generated tertiary butylamine is desorbed from the semiconductor substrate as shown in FIG. 23 (3).

N源が供給される第2の過程は、図23の(5)のように、NH3が分解し、N−HがN源となる過程である。 The second process in which the N source is supplied is a process in which NH 3 is decomposed and N—H becomes the N source as shown in FIG. 23 (5).

なお、図23の(6)のように、副産物も生ずる。   Incidentally, as shown in (6) of FIG. 23, a by-product is also generated.

これらのことから、N源をより提供しやすい原料を用いれば、反応速度が速くなり、比較的低い成膜温度であってもシリコン窒化膜を形成し得ると考えられる。   From these facts, it is considered that if a raw material that can provide an N source is used, the reaction rate is increased, and a silicon nitride film can be formed even at a relatively low film formation temperature.

本願発明者らは鋭意検討した結果、NH3を原料として用いる代わりに、分子中に窒素原子を複数含む原料を用いることに想到した。分子中に窒素原子を複数含む原料を用いれば、N源をより提供しやすくなり、反応速度が速くなり、比較的低い成膜温度であってもシリコン窒化膜を形成し得ると考えられる。分子中に窒素原子を複数含む原料としては、例えばヒドラジン化合物等が考えられる。 As a result of intensive studies, the inventors of the present application have come up with the idea of using a raw material containing a plurality of nitrogen atoms in the molecule instead of using NH 3 as a raw material. If a raw material containing a plurality of nitrogen atoms in the molecule is used, it becomes easier to provide an N source, the reaction rate is increased, and a silicon nitride film can be formed even at a relatively low film formation temperature. As a raw material containing a plurality of nitrogen atoms in the molecule, for example, a hydrazine compound can be considered.

次に、本発明の第3実施形態による半導体装置の製造方法を図24乃至図28を用いて説明する。図24は、本実施形態による半導体装置の製造方法を示す工程断面図である。図1乃至図23に示す第1及び第2実施形態による半導体装置の製造方法と同一の構成要素には、同一の符号を付して説明を省略または簡潔にする。   Next, a method for fabricating a semiconductor device according to the third embodiment of the present invention will be described with reference to FIGS. FIG. 24 is a process cross-sectional view illustrating the semiconductor device manufacturing method according to the present embodiment. The same components as those of the semiconductor device manufacturing method according to the first and second embodiments shown in FIGS. 1 to 23 are denoted by the same reference numerals, and description thereof is omitted or simplified.

まず、シリコン酸化膜38を形成する工程までは、図1(a)乃至図4(a)を用いて上述した半導体装置の製造方法と同様であるので説明を省略する。   First, the process up to the step of forming the silicon oxide film 38 is the same as the method for manufacturing the semiconductor device described above with reference to FIGS.

次に、図24に示すように、全面に、例えば熱CVD法により、膜厚40〜80nmのシリコン窒化膜を形成する。原料としては、シリコンと窒素とを含む化合物より成る原料と、分子中に窒素原子を複数含む化合物より成る原料とを用いる。シリコンと窒素とを含む原料としては、例えばBTBASを用いることができる。分子中に窒素原子を複数含む化合物より成る原料としては、例えばヒドラジン化合物を用いることができる。ヒドラジン化合物としては、例えばジメチルヒドラジン(DMHy、Dimethylhydrazine、(CH32HNNH2)を用いることができる。成膜温度は、例えば500〜650℃とする。成膜室内の圧力は、例えば100Torrとする。BTBASの流量は、例えば10〜100sccmとする。ジメチルヒドラジンの流量は、例えば30〜300sccmとする。成膜時間は、例えば10〜20分とする。 Next, as shown in FIG. 24, a silicon nitride film having a thickness of 40 to 80 nm is formed on the entire surface by, eg, thermal CVD. As the raw material, a raw material made of a compound containing silicon and nitrogen and a raw material made of a compound containing a plurality of nitrogen atoms in the molecule are used. As a raw material containing silicon and nitrogen, for example, BTBAS can be used. As a raw material comprising a compound containing a plurality of nitrogen atoms in the molecule, for example, a hydrazine compound can be used. As the hydrazine compound, for example, dimethylhydrazine (DMHy, Dimethylhydrazine, (CH 3 ) 2 HNNH 2 ) can be used. The film forming temperature is set to 500 to 650 ° C., for example. The pressure in the film forming chamber is, for example, 100 Torr. The flow rate of BTBAS is, for example, 10 to 100 sccm. The flow rate of dimethylhydrazine is, for example, 30 to 300 sccm. The film formation time is, for example, 10 to 20 minutes.

なお、ここでは、シリコン窒化膜40aを形成する際の成膜温度を500〜650℃としたが、シリコン窒化膜40aを形成する際の成膜温度は、500〜650℃に限定されるものではない。例えば、500℃以下でシリコン窒化膜40aを形成することも可能である。エクステンションソース/ドレインの浅い領域を構成する不純物拡散領域28、36及びポケット領域26、34におけるドーパント不純物の拡散を確実に抑制するためには、例えば550℃以下でシリコン窒化膜40aを成膜することが望ましい。   Here, the film formation temperature when forming the silicon nitride film 40a is 500 to 650 ° C. However, the film formation temperature when forming the silicon nitride film 40a is not limited to 500 to 650 ° C. Absent. For example, the silicon nitride film 40a can be formed at 500 ° C. or lower. In order to reliably suppress the diffusion of dopant impurities in the impurity diffusion regions 28 and 36 and the pocket regions 26 and 34 constituting the shallow region of the extension source / drain, the silicon nitride film 40a is formed at, for example, 550 ° C. or lower. Is desirable.

この後の半導体装置の製造方法は、図5(a)乃至図8を用いて上述した半導体装置の製造方法と同様であるので説明を省略する。   The subsequent manufacturing method of the semiconductor device is the same as the manufacturing method of the semiconductor device described above with reference to FIGS.

こうして本実施形態による半導体装置の製造方法が製造される。   Thus, the semiconductor device manufacturing method according to the present embodiment is manufactured.

本実施形態による半導体装置の製造方法は、上述したように、シリコンと窒素とを含む化合物より成る原料と、分子中に窒素を複数含む化合物より成る原料とを用いて、シリコン窒化膜40aを形成することに主な特徴がある。   As described above, in the method of manufacturing the semiconductor device according to the present embodiment, the silicon nitride film 40a is formed using the raw material made of the compound containing silicon and nitrogen and the raw material made of the compound containing a plurality of nitrogen atoms in the molecule. The main feature is to do.

本実施形態によれば、シリコンと窒素とを含む化合物より成る原料と、分子中に窒素原子を複数含む化合物より成る原料とを用いて、シリコン窒化膜40aを形成するため、シリコン窒化膜を比較的低い成膜温度で熱CVD法により形成することができる。このため、本実施形態によれば、エクステンションソース/ドレイン構造の浅い不純物拡散領域28、36及びポケット領域26、34におけるドーパント不純物の拡散を抑制することができる。このため、短チャネル効果及びトランジスタの電流駆動力の低下を防止することができ、またロールオフ耐性を向上することができる。従って、本実施形態によれば、良好な電気的特性を有する微細な半導体装置を提供することができる。   According to the present embodiment, since the silicon nitride film 40a is formed using a raw material made of a compound containing silicon and nitrogen and a raw material made of a compound containing a plurality of nitrogen atoms in the molecule, the silicon nitride films are compared. It can be formed by a thermal CVD method at a low film formation temperature. Therefore, according to the present embodiment, diffusion of dopant impurities in the shallow impurity diffusion regions 28 and 36 and the pocket regions 26 and 34 in the extension source / drain structure can be suppressed. For this reason, it is possible to prevent the short channel effect and the decrease in the current driving capability of the transistor, and to improve the roll-off resistance. Therefore, according to the present embodiment, a fine semiconductor device having good electrical characteristics can be provided.

(評価結果)
次に、本実施形態による半導体装置の製造方法の評価結果について図25乃至図28を用いて説明する。
(Evaluation results)
Next, evaluation results of the semiconductor device manufacturing method according to the present embodiment will be explained with reference to FIGS.

図25は、シリコン窒化膜の成膜速度を示すグラフである。図25は、アレニウスプロットである。横軸は温度の逆数を示しており、縦軸は成膜速度を示している。   FIG. 25 is a graph showing the deposition rate of the silicon nitride film. FIG. 25 is an Arrhenius plot. The horizontal axis indicates the reciprocal of the temperature, and the vertical axis indicates the film formation rate.

図25中に□印を用いて示した比較例1は、BTBASとNH3とを原料ガスとしてシリコン窒化膜を形成した場合の成膜速度を示している。BTBASとNH3とを原料ガスとしてシリコン窒化膜を形成した場合には、比較的高い成膜温度では反応律速となり、比較的低い成膜温度では輸送律速となる。見かけ上の活性化エネルギーは、反応律速においては3.3eVであり、輸送律速においては0.65eVであった。 Comparative Example 1 shown by using □ marks in FIG. 25 shows the film formation rate when a silicon nitride film is formed using BTBAS and NH 3 as source gases. When a silicon nitride film is formed using BTBAS and NH 3 as source gases, the reaction rate is controlled at a relatively high film formation temperature, and the transport rate is controlled at a relatively low film formation temperature. The apparent activation energy was 3.3 eV in the reaction rate limiting and 0.65 eV in the transport rate limiting.

図25中に●印を用いて示した実施例1は、本実施形態による半導体装置の製造方法の場合、即ち、BTBASとジメチルヒドラジン(DMHy)とを原料ガスとしてシリコン窒化膜を形成した場合の成膜速度を示している。見かけ上の活性化エネルギーは、2.3eVであった。   In Example 1 indicated by the mark ● in FIG. 25, the method of manufacturing the semiconductor device according to the present embodiment, that is, the case where a silicon nitride film is formed using BTBAS and dimethylhydrazine (DMHy) as source gases. The deposition rate is shown. The apparent activation energy was 2.3 eV.

図25から分かるように、実施例1では、比較例1に比べて、成膜速度が一桁以上速くなっている。5nm/minの成膜速度が得られる成膜温度について比較すると、実施例1では、比較例1に対して、成膜温度を100℃以上低くし得ることが分かる。   As can be seen from FIG. 25, in Example 1, the film formation rate is one digit or more faster than Comparative Example 1. Comparing the film formation temperature at which a film formation rate of 5 nm / min is obtained, it can be seen that the film formation temperature can be lowered by 100 ° C. or more in Example 1 compared to Comparative Example 1.

これらのことから、本実施形態によれば、極めて低い成膜温度でシリコン窒化膜を成膜し得ることが分かる。   From these, it can be seen that according to the present embodiment, the silicon nitride film can be formed at an extremely low film formation temperature.

図26は、シリコン窒化膜の成膜温度と屈折率との関係を示すグラフである。横軸はシリコン窒化膜を形成する際の成膜温度を示しており、縦軸はシリコン窒化膜の屈折率を示している。   FIG. 26 is a graph showing the relationship between the deposition temperature and the refractive index of the silicon nitride film. The horizontal axis represents the film formation temperature when forming the silicon nitride film, and the vertical axis represents the refractive index of the silicon nitride film.

図26中に■印を用いて示した実施例2は、本実施形態による半導体装置の製造方法の場合、即ち、BTBASとジメチルヒドラジン(DMHy)とを原料ガスとしてシリコン窒化膜を形成した場合におけるシリコン窒化膜の屈折率を示している。   Example 2 shown by using ■ marks in FIG. 26 is the case of the semiconductor device manufacturing method according to the present embodiment, that is, the case where a silicon nitride film is formed using BTBAS and dimethylhydrazine (DMHy) as source gases. The refractive index of the silicon nitride film is shown.

図中に●を用いて示した比較例2は、BTBASとNH3とを原料ガスとしてシリコン窒化膜を形成した場合におけるシリコン窒化膜の屈折率を示している。 Comparative Example 2 indicated by using ● in the figure shows the refractive index of the silicon nitride film when the silicon nitride film is formed using BTBAS and NH 3 as source gases.

実施例2と比較例2のいずれにおいても、高い成膜温度でシリコン窒化膜を形成するほど、シリコン窒化膜の屈折率は低くなる傾向がある。高い成膜温度でシリコン窒化膜を形成するほどシリコン窒化膜の屈折率が低くなるのは、成膜温度が高いほど、シリコン窒化膜中のSi濃度が化学量論的組成(Si34)より低くなり、シリコン窒化膜中のN濃度が化学量論的組成より高くなる傾向があるためと考えられる。このことは、FTIRによる分析結果と一致している。 In both Example 2 and Comparative Example 2, the refractive index of the silicon nitride film tends to decrease as the silicon nitride film is formed at a higher film formation temperature. The higher the film formation temperature, the lower the refractive index of the silicon nitride film. The higher the film formation temperature, the more the Si concentration in the silicon nitride film becomes stoichiometric (Si 3 N 4 ). This is considered to be because the N concentration in the silicon nitride film tends to be lower than the stoichiometric composition. This is consistent with the analysis result by FTIR.

なお、化学量論的組成のバルクのSi34の場合、Si濃度は約43%である。また、化学量論的組成のバルクのSi34の場合、屈折率は2.0〜2.1程度である。 In the case of bulk Si 3 N 4 having a stoichiometric composition, the Si concentration is about 43%. In the case of bulk Si 3 N 4 having a stoichiometric composition, the refractive index is about 2.0 to 2.1.

図27は、原料ガスの流量比とシリコン窒化膜の屈折率との関係を示すグラフである。横軸は、BTBASの流量に対するジメチルヒドラジンの流量を示しており、縦軸はシリコン窒化膜の屈折率を示している。   FIG. 27 is a graph showing the relationship between the flow rate ratio of the source gas and the refractive index of the silicon nitride film. The horizontal axis shows the flow rate of dimethylhydrazine relative to the flow rate of BTBAS, and the vertical axis shows the refractive index of the silicon nitride film.

図27から分かるように、ジメチルヒドラジンの流量比が大きくなるほど、シリコン窒化膜の屈折率は低くなる傾向がある。ジメチルヒドラジンの流量比を大きくするほどシリコン窒化膜の屈折率が低くなるのは、ジメチルヒドラジンの流量を増加すると、成膜速度が速くなる一方、成膜されるシリコン窒化膜の膜密度が低くなるためと考えられる。   As can be seen from FIG. 27, the refractive index of the silicon nitride film tends to decrease as the flow ratio of dimethylhydrazine increases. The higher the dimethylhydrazine flow rate ratio, the lower the refractive index of the silicon nitride film. Increasing the flow rate of dimethylhydrazine increases the deposition rate while lowering the film density of the deposited silicon nitride film. This is probably because of this.

シリコン窒化膜の膜密度が低いと、半導体基板10中やゲート電極20中にドープされているドーパント不純物がシリコン窒化膜中に拡散してしまう場合があるため、シリコン窒化膜の膜密度は高い方が望ましい。従って、BTBASの流量に対するジメチルヒドラジンの流量は、例えば3倍以下とすることが望ましい。   If the film density of the silicon nitride film is low, dopant impurities doped in the semiconductor substrate 10 or the gate electrode 20 may diffuse into the silicon nitride film, so the film density of the silicon nitride film is higher. Is desirable. Therefore, it is desirable that the flow rate of dimethylhydrazine is, for example, not more than 3 times that of BTBAS.

図28は、シリコン酸化膜の比誘電率を示すグラフである。   FIG. 28 is a graph showing the relative dielectric constant of the silicon oxide film.

比較例3は、ジクロロシランとNH3とを原料ガスとして用い、700℃の成膜温度でシリコン窒化膜を形成した場合におけるシリコン窒化膜の比誘電率を示している。なお、成膜装置としては、縦型CVD装置を用いた。 Comparative Example 3 shows the relative dielectric constant of a silicon nitride film when dichlorosilane and NH 3 are used as source gases and a silicon nitride film is formed at a film forming temperature of 700 ° C. Note that a vertical CVD apparatus was used as the film forming apparatus.

比較例4は、BTBASとNH3とを原料ガスとして用い、580℃の成膜温度でシリコン窒化膜を形成した場合におけるシリコン窒化膜の比誘電率を示している。なお、成膜装置としては、縦型CVD装置を用いた。 Comparative Example 4 shows the relative dielectric constant of a silicon nitride film when a silicon nitride film is formed at a film forming temperature of 580 ° C. using BTBAS and NH 3 as source gases. Note that a vertical CVD apparatus was used as the film forming apparatus.

実施例3は、BTBASとジメチルヒドラジンとNH3とを原料ガスとして用い、500℃の成膜温度でシリコン窒化膜を形成した場合である。なお、成膜装置としては、枚葉式のCVD装置を用いた。 Example 3 is a case where a silicon nitride film is formed at a film forming temperature of 500 ° C. using BTBAS, dimethylhydrazine and NH 3 as source gases. Note that a single-wafer CVD apparatus was used as the film forming apparatus.

なお、バルクのSi34における比誘電率は6.9である。 The relative dielectric constant of bulk Si 3 N 4 is 6.9.

実施例4は、BTBASとジメチルヒドラジンとを原料ガスとして用い、500℃の成膜温度でシリコン窒化膜を形成した場合におけるシリコン窒化膜の比誘電率を示している。なお、成膜装置としては、枚葉式のCVD装置を用いた。   Example 4 shows the relative dielectric constant of a silicon nitride film when BTBAS and dimethylhydrazine are used as source gases and a silicon nitride film is formed at a film formation temperature of 500 ° C. Note that a single-wafer CVD apparatus was used as the film forming apparatus.

図28から分かるように、実施例3、4では、比較例3、4に対して、比誘電率の低いシリコン窒化膜が得られることが分かる。   As can be seen from FIG. 28, in Examples 3 and 4, silicon nitride films having a lower relative dielectric constant than those in Comparative Examples 3 and 4 can be obtained.

また、実施例3と実施例4とを比較すると、実施例4では、実施例3よりも比誘電率の低いシリコン窒化膜が得られることが分かる。   Further, when Example 3 and Example 4 are compared, it can be seen that in Example 4, a silicon nitride film having a relative dielectric constant lower than that in Example 3 can be obtained.

これらのことから、本実施形態によれば、シリコン窒化膜の比誘電率を低くすることができ、ゲート電極とシリコン窒化膜との間の静電容量を低減し得ることが分かる。従って、本実施形態によれば、半導体装置の動作速度をより向上することが可能となる。   From these facts, it can be seen that according to the present embodiment, the relative dielectric constant of the silicon nitride film can be lowered, and the capacitance between the gate electrode and the silicon nitride film can be reduced. Therefore, according to the present embodiment, the operation speed of the semiconductor device can be further improved.

(変形例)
次に、本実施形態による半導体装置の製造方法の変形例について図29及び図30を用いて説明する。図29は、本変形例による半導体装置の製造方法を示す工程断面図である。
(Modification)
Next, a modification of the semiconductor device manufacturing method according to the present embodiment will be explained with reference to FIGS. FIG. 29 is a process cross-sectional view illustrating the method for manufacturing the semiconductor device according to the present modification.

本変形例による半導体装置の製造方法は、シリコンと窒素とを含む化合物より成る原料と、分子中に窒素原子を複数含む化合物より成る原料の他に、更に、NH3より成る原料を用いて、シリコン窒化膜を形成することに主な特徴がある。 The method for manufacturing a semiconductor device according to the present modification uses a raw material composed of a compound containing silicon and nitrogen, a raw material composed of a compound containing a plurality of nitrogen atoms in the molecule, and a raw material composed of NH 3 , The main feature is to form a silicon nitride film.

まず、シリコン酸化膜38を形成する工程までは、図1(a)乃至図4(a)を用いて上述した半導体装置の製造方法と同様であるので説明を省略する。   First, the process up to the step of forming the silicon oxide film 38 is the same as the method for manufacturing the semiconductor device described above with reference to FIGS.

次に、図29に示すように、全面に、例えば熱CVD法により、膜厚40〜80nmのシリコン窒化膜40bを形成する。原料としては、シリコンと窒素とを含む化合物より成る原料と、分子中に窒素原子を複数含む化合物より成る原料の他に、更に、NH3より成る原料を用いる。シリコンと窒素とを含む化合物より成る原料としては、上記と同様に、例えばBTBASを用いることができる。分子中に窒素原子を複数含む化合物より成る原料としては、上記と同様に、例えばヒドラジン化合物を用いることができる。ヒドラジン化合物としては、上記と同様に、例えばジメチルヒドラジンを用いることができる。成膜温度は、例えば500〜650℃とする。成膜室内の圧力は、例えば100Torrとする。BTBASの流量は、例えば10〜100sccmとする。ジメチルヒドラジンの流量は、例えば30〜300sccmとする。NH3の流量は、例えば30〜300sccmとする。成膜時間は、例えば10〜20分とする。 Next, as shown in FIG. 29, a silicon nitride film 40b having a thickness of 40 to 80 nm is formed on the entire surface by, eg, thermal CVD. As a raw material, in addition to a raw material composed of a compound containing silicon and nitrogen and a raw material composed of a compound containing a plurality of nitrogen atoms in the molecule, a raw material composed of NH 3 is further used. As a raw material made of a compound containing silicon and nitrogen, for example, BTBAS can be used as described above. As a raw material comprising a compound containing a plurality of nitrogen atoms in the molecule, for example, a hydrazine compound can be used as described above. As the hydrazine compound, for example, dimethylhydrazine can be used as described above. The film forming temperature is set to 500 to 650 ° C., for example. The pressure in the film forming chamber is, for example, 100 Torr. The flow rate of BTBAS is, for example, 10 to 100 sccm. The flow rate of dimethylhydrazine is, for example, 30 to 300 sccm. The flow rate of NH 3 is, for example, 30 to 300 sccm. The film formation time is, for example, 10 to 20 minutes.

この後の半導体装置の製造方法は、図5(a)乃至図8を用いて上述した半導体装置の製造方法と同様であるので説明を省略する。   The subsequent manufacturing method of the semiconductor device is the same as the manufacturing method of the semiconductor device described above with reference to FIGS.

こうして本実施形態による半導体装置の製造方法が製造される。   Thus, the semiconductor device manufacturing method according to the present embodiment is manufactured.

このように、シリコンと窒素とを含む化合物より成る原料と、分子中に窒素原子を複数含む化合物より成る原料との他に、更に、NH3より成る原料を用いて、シリコン窒化膜40bを形成してもよい。 In this way, the silicon nitride film 40b is formed by using a source material made of NH 3 in addition to a source material made of a compound containing silicon and nitrogen and a source material made of a compound containing a plurality of nitrogen atoms in the molecule. May be.

(評価結果)
次に、本変形例による半導体装置の製造方法の評価結果を図30を用いて説明する。図30は、シリコン窒化膜におけるリーク電流特性を示すグラフである。横軸は電界強度を示しており、縦軸はリーク電流密度を示している。
(Evaluation results)
Next, evaluation results of the semiconductor device manufacturing method according to the present modification will be described with reference to FIG. FIG. 30 is a graph showing leakage current characteristics in the silicon nitride film. The horizontal axis indicates the electric field strength, and the vertical axis indicates the leakage current density.

実施例5は、本変形例の場合、即ち、BTBASとジメチルヒドラジンとNH3とを原料として用い、シリコン窒化膜を形成した場合におけるシリコン窒化膜のリーク電流密度を示している。 Example 5 shows the leakage current density of the silicon nitride film in the case of this modification, that is, when a silicon nitride film is formed using BTBAS, dimethylhydrazine, and NH 3 as raw materials.

比較例5は、BTBASとジメチルヒドラジンとを原料としてシリコン窒化膜を形成した場合におけるシリコン窒化膜のリーク電流密度を示している。   Comparative Example 5 shows the leakage current density of the silicon nitride film when the silicon nitride film is formed using BTBAS and dimethylhydrazine as raw materials.

図30から分かるように、実施例5では、比較例5と比較して、シリコン窒化膜におけるリーク電流が低くなっている。   As can be seen from FIG. 30, in Example 5, the leakage current in the silicon nitride film is lower than that in Comparative Example 5.

このように、本変形例によれば、シリコン窒化膜におけるリーク電流を低減することができる。   Thus, according to this modification, the leakage current in the silicon nitride film can be reduced.

[第4実施形態]
次に、本発明の第4実施形態による半導体装置の製造方法を図31乃至図33を用いて説明する。図31乃至図33は、本実施形態による半導体装置の製造方法を示す工程断面図である。図1乃至図30に示す第1乃至第3実施形態による半導体装置の製造方法と同一の構成要素には、同一の符号を付して説明を省略または簡潔にする。
[Fourth Embodiment]
Next, the method for fabricating the semiconductor device according to the fourth embodiment of the present invention will be explained with reference to FIGS. 31 to 33 are process cross-sectional views illustrating the semiconductor device manufacturing method according to the present embodiment. The same components as those in the semiconductor device manufacturing method according to the first to third embodiments shown in FIGS. 1 to 30 are denoted by the same reference numerals, and description thereof will be omitted or simplified.

本実施形態による半導体装置の製造方法は、SiN、SiCN又はSiOCNより成るキャップ膜やストッパ膜を成膜する際に、上記と同様にして、シリコンと窒素とを含む化合物より成る原料と、分子中に窒素原子を複数含む化合物より成る原料とを用いて、成膜することに主な特徴がある。   In the semiconductor device manufacturing method according to the present embodiment, when a cap film or stopper film made of SiN, SiCN, or SiOCN is formed, a raw material made of a compound containing silicon and nitrogen, The main feature is that a film is formed using a raw material made of a compound containing a plurality of nitrogen atoms.

まず、図31(a)に示すように、トランジスタ(図示せず)等が形成された半導体基板10上の全面に、例えばプラズマCVD法により、膜厚400〜800nmのFSG(Fluorine doped Silicate Glass)より成る層間絶縁膜72を形成する。   First, as shown in FIG. 31A, an FSG (Fluorine doped Silicate Glass) having a film thickness of 400 to 800 nm is formed on the entire surface of the semiconductor substrate 10 on which transistors (not shown) and the like are formed by, for example, plasma CVD. An interlayer insulating film 72 is formed.

次に、全面に、膜厚30〜100nmのSiN、SiCN又はSiOCNより成るキャップ膜74を形成する。キャップ膜74は、例えばパッシベーション膜として機能するものである。キャップ膜74としてSiN膜を形成する場合には、例えば、図24を用いて上述したシリコン窒化膜40aの形成方法と同様にしてキャップ膜74を形成すればよい。キャップ膜74としてSiCN膜を形成する場合には、原料ガスとしてCを含む原料ガスを用いればよい。キャップ膜74としてSiOCN膜を形成する場合には、成膜室内に酸素ガスを適宜導入すればよい。   Next, a cap film 74 made of SiN, SiCN, or SiOCN having a thickness of 30 to 100 nm is formed on the entire surface. The cap film 74 functions as, for example, a passivation film. In the case of forming a SiN film as the cap film 74, for example, the cap film 74 may be formed in the same manner as the method for forming the silicon nitride film 40a described above with reference to FIG. When a SiCN film is formed as the cap film 74, a source gas containing C as a source gas may be used. When a SiOCN film is formed as the cap film 74, oxygen gas may be appropriately introduced into the film formation chamber.

次に、図31(b)に示すように、全面に、例えば膜厚30〜100nmのSiN、SiCN又はSiOCNより成るストッパ膜76を形成する。ストッパ膜76は、層間絶縁膜78に配線を埋め込むための溝、即ちトレンチを形成する際に、エッチングストッパとして機能するものである。ストッパ膜76としてSiN膜を形成する場合には、例えば、図24を用いて上述したシリコン窒化膜40aの形成方法と同様にしてストッパ膜76を形成すればよい。ストッパ膜76としてSiCN膜を形成する場合には、原料ガスとしてCを含む原料ガスを用いればよい。ストッパ膜76としてSiOCN膜を形成する場合には、成膜室内に酸素ガスを適宜導入すればよい。   Next, as shown in FIG. 31B, a stopper film 76 made of, for example, SiN, SiCN or SiOCN having a film thickness of 30 to 100 nm is formed on the entire surface. The stopper film 76 functions as an etching stopper when forming a groove for embedding wiring in the interlayer insulating film 78, that is, a trench. In the case of forming a SiN film as the stopper film 76, for example, the stopper film 76 may be formed in the same manner as the method for forming the silicon nitride film 40a described above with reference to FIG. When a SiCN film is formed as the stopper film 76, a source gas containing C as a source gas may be used. In the case where a SiOCN film is formed as the stopper film 76, oxygen gas may be appropriately introduced into the deposition chamber.

次に、全面に、例えばプラズマCVD法により、膜厚400〜800nmのFSGより成る層間絶縁膜78を形成する。   Next, an interlayer insulating film 78 made of FSG having a thickness of 400 to 800 nm is formed on the entire surface by, eg, plasma CVD.

次に、全面に、例えば膜厚30〜100nmのSiN、SiCN又はSiOCNより成るストッパ膜80を形成する。ストッパ膜80は、後述する工程でCMP法により導電膜を研磨する際に、ストッパとして機能するものである。ストッパ膜80としてSiN膜を形成する場合には、例えば、図24を用いて上述したシリコン窒化膜40aの形成方法と同様にしてストッパ膜80を形成すればよい。ストッパ膜80としてSiCN膜を形成する場合には、原料ガスとしてCを含む原料ガスを用いればよい。ストッパ膜80としてSiOCN膜を形成する場合には、成膜室内に酸素ガスを適宜導入すればよい。   Next, a stopper film 80 made of, for example, SiN, SiCN, or SiOCN having a film thickness of 30 to 100 nm is formed on the entire surface. The stopper film 80 functions as a stopper when the conductive film is polished by the CMP method in a process described later. In the case of forming a SiN film as the stopper film 80, for example, the stopper film 80 may be formed in the same manner as the method for forming the silicon nitride film 40a described above with reference to FIG. When a SiCN film is formed as the stopper film 80, a source gas containing C may be used as a source gas. In the case where a SiOCN film is formed as the stopper film 80, oxygen gas may be appropriately introduced into the deposition chamber.

次に、フォトリソグラフィ技術を用い、ストッパ膜80をパターニングする。この後、ストッパ膜80をマスクとし、ストッパ膜76をエッチングストッパとして、層間絶縁膜78をエッチングする。この後、溝82内のストッパ膜76をエッチング除去する。こうして、配線84(図32(b)参照)を埋め込むための溝82が形成される。   Next, the stopper film 80 is patterned using a photolithography technique. Thereafter, the interlayer insulating film 78 is etched using the stopper film 80 as a mask and the stopper film 76 as an etching stopper. Thereafter, the stopper film 76 in the groove 82 is removed by etching. Thus, a groove 82 for embedding the wiring 84 (see FIG. 32B) is formed.

次に、全面に、例えばめっき法により、膜厚400〜800nmのCu膜を形成する。この後、CMP法により、Cu膜をストッパ膜80の表面が露出するまで研磨する。こうして、溝82内にCuより成る配線84が埋め込まれる。   Next, a Cu film having a thickness of 400 to 800 nm is formed on the entire surface by, eg, plating. Thereafter, the Cu film is polished by CMP until the surface of the stopper film 80 is exposed. Thus, the wiring 84 made of Cu is embedded in the groove 82.

次に、全面に、例えば膜厚30〜100nmのSiN、SiCN又はSiOCNより成るキャップ膜86を形成する。キャップ膜86の形成方法は、例えば、図24を用いて上述したシリコン窒化膜40aの形成方法と同様とすればよい。   Next, a cap film 86 made of SiN, SiCN, or SiOCN having a film thickness of 30 to 100 nm, for example, is formed on the entire surface. The method for forming the cap film 86 may be the same as the method for forming the silicon nitride film 40a described above with reference to FIG.

次に、全面に、例えばプラズマCVD法により、膜厚400〜800nmのFSGより成る層間絶縁膜88を形成する。   Next, an interlayer insulating film 88 made of FSG having a thickness of 400 to 800 nm is formed on the entire surface by, eg, plasma CVD.

こうして本実施形態による半導体装置が製造される。   Thus, the semiconductor device according to the present embodiment is manufactured.

本実施形態による半導体装置の製造方法は、上述したように、SiN、SiCN又はSiOCNより成るキャップ膜やストッパ膜を成膜する際に、シリコンと窒素とを含む化合物より成る原料と、分子中に窒素原子を複数含む化合物より成る原料とを用いて、成膜することに主な特徴がある。   As described above, in the method of manufacturing the semiconductor device according to the present embodiment, when forming a cap film or stopper film made of SiN, SiCN, or SiOCN, a raw material made of a compound containing silicon and nitrogen, The main feature is that a film is formed using a raw material made of a compound containing a plurality of nitrogen atoms.

層間絶縁膜72等を形成した後に形成されるSiN、SiCN又はSiOCNより成るキャップ膜74、86やストッパ膜76、80は、層間絶縁膜72等の変形や変質等を避ける必要があるため、比較的低い温度で成膜しなければならない。このため、従来は、比較的低い温度での成膜が可能なプラズマCVD法を用いて、SiN等より成るキャップ膜やストッパ膜を形成していた。一方、サイドウォールスペーサを形成する際に用いられるシリコン窒化膜は、高温の熱CVD法により形成されていたため、キャップ膜やストッパ膜を形成する際に用いられる半導体製造装置とサイドウォールスペーサを形成するために用いられる半導体製造装置とを兼ねることはできなかった。このことは、設備投資費用の低減や製造プロセスの単純化における阻害要因となっていた。   Since the cap films 74 and 86 and the stopper films 76 and 80 made of SiN, SiCN, or SiOCN formed after the interlayer insulating film 72 and the like are formed need to avoid deformation or alteration of the interlayer insulating film 72 or the like. The film must be formed at a low temperature. For this reason, conventionally, a cap film or a stopper film made of SiN or the like has been formed using a plasma CVD method capable of forming a film at a relatively low temperature. On the other hand, since the silicon nitride film used for forming the sidewall spacer is formed by a high-temperature thermal CVD method, the semiconductor manufacturing apparatus and the sidewall spacer used for forming the cap film and the stopper film are formed. Therefore, it could not be used as a semiconductor manufacturing apparatus. This has been an impediment to reducing capital investment costs and simplifying the manufacturing process.

これに対し、本実施形態では、比較的低い温度でSiN、SiCN又はSiOCNより成るキャップ膜やストッパ膜を熱CVD法やプラズマCVD法により形成することができるため、キャップ膜やストッパ膜を形成する際に用いる半導体製造装置とサイドウォールスペーサを形成する際に用いられる半導体製造装置とを兼ねることができる。このため、本実施形態によれば、設備投資費用を低減することができ、また、プロセスの単純化を図ることができる。   On the other hand, in the present embodiment, a cap film or stopper film made of SiN, SiCN, or SiOCN can be formed by a thermal CVD method or a plasma CVD method at a relatively low temperature. The semiconductor manufacturing apparatus used at the time can also serve as the semiconductor manufacturing apparatus used when forming the sidewall spacer. For this reason, according to the present embodiment, the capital investment cost can be reduced, and the process can be simplified.

[変形実施形態]
本発明は上記実施形態に限らず種々の変形が可能である。
[Modified Embodiment]
The present invention is not limited to the above embodiment, and various modifications can be made.

例えば、第1及び第2実施形態では、シリコン酸化膜38を熱CVD法により形成する際に、原料としてBTBASとO2とを用い、成膜温度を500〜580℃としたが、シリコン酸化膜38の成膜方法は、これに限定されるものではない。 For example, in the first and second embodiments, when the silicon oxide film 38 is formed by the thermal CVD method, BTBAS and O 2 are used as raw materials and the film forming temperature is 500 to 580 ° C. The film forming method 38 is not limited to this.

例えば、TEOS(Tetra-Ethyl-Ortho-Silicate、テトラエトキシシラン)とO2とを原料として用い、560〜580℃の成膜温度で、シリコン酸化膜を熱CVD法により形成してもよい。この場合、成膜室内の圧力は、例えば30〜100Paとすればよい。また、TEOSの流量は、例えば30〜130sccmとすればよい。また、O2流量は、例えば0〜10sccmとすればよい。この場合にも、比較的低い成膜温度で、シリコン酸化膜を熱CVD法により形成することが可能である。 For example, a silicon oxide film may be formed by a thermal CVD method using TEOS (Tetra-Ethyl-Ortho-Silicate, tetraethoxysilane) and O 2 as raw materials at a film formation temperature of 560 to 580 ° C. In this case, the pressure in the film formation chamber may be 30 to 100 Pa, for example. Further, the flow rate of TEOS may be set to 30 to 130 sccm, for example. Also, O 2 flow rate may be, for example, 0~10Sccm. Also in this case, the silicon oxide film can be formed by a thermal CVD method at a relatively low film formation temperature.

また、シランとN2O(亜酸化窒素)とを原料として用い、600〜700℃の成膜温度、15分以下の成膜時間で、シリコン酸化膜を熱CVD法により形成してもよい。この場合、成膜室内の圧力は、200Torrとすればよい。また、シランの流量は、例えば60sccmとすればよい。また、N2Oの流量は、例えば3000sccmとすればよい。この場合には、第1及び第2実施形態の場合より成膜温度は高くなるが、成膜時間が短時間であるため、浅い不純物拡散領域及びポケット領域におけるドーパント不純物の拡散を抑制することが可能である。なお、成膜時間を短くするためには、例えば枚葉式のCVD装置を用いればよい。また、枚葉式のCVD装置を用いない場合であっても、成膜室内に導入する半導体基板の枚数を少なくすれば、短い成膜時間でシリコン窒化膜を形成することが可能である。 Alternatively, a silicon oxide film may be formed by a thermal CVD method using silane and N 2 O (nitrous oxide) as raw materials at a film formation temperature of 600 to 700 ° C. and a film formation time of 15 minutes or less. In this case, the pressure in the film forming chamber may be 200 Torr. The flow rate of silane may be 60 sccm, for example. The flow rate of N 2 O may be 3000 sccm, for example. In this case, the film formation temperature is higher than in the first and second embodiments, but the film formation time is short, so that diffusion of dopant impurities in the shallow impurity diffusion region and the pocket region can be suppressed. Is possible. In order to shorten the film formation time, for example, a single wafer CVD apparatus may be used. Even when a single wafer CVD apparatus is not used, a silicon nitride film can be formed in a short film formation time if the number of semiconductor substrates introduced into the film formation chamber is reduced.

なお、本明細書中で成膜時間とは、所定の成膜温度にて実際に成膜が行われる時間のことである。   Note that in this specification, the film formation time is a time during which film formation is actually performed at a predetermined film formation temperature.

また、TEOSとO3とを原料として用い、480〜500℃の成膜温度、30分以下の成膜時間で、シリコン酸化膜を熱CVD法により形成してもよい。この場合、成膜室内の圧力は、例えば200Torrとすればよい。また、TEOSの流量は、例えば600mgとすればよい。また、O3の流量は、例えば4000sccmとすればよい。この場合には、成膜温度が比較的低いのみならず、成膜時間も短いため、不純物拡散領域及びポケット領域における不純物の拡散をより抑制することが可能となる。 Alternatively, a silicon oxide film may be formed by a thermal CVD method using TEOS and O 3 as raw materials at a film formation temperature of 480 to 500 ° C. and a film formation time of 30 minutes or less. In this case, the pressure in the film formation chamber may be 200 Torr, for example. The flow rate of TEOS may be 600 mg, for example. Further, the flow rate of O 3 may be set to 4000 sccm, for example. In this case, since not only the film formation temperature is relatively low but also the film formation time is short, it is possible to further suppress the diffusion of impurities in the impurity diffusion region and the pocket region.

また、ジシランとN2Oとを原料として用い、500〜530℃の成膜温度、30分以下の成膜時間で、シリコン酸化膜を熱CVD法により形成してもよい。この場合、成膜室内の圧力は、例えば200Torrとすればよい。また、ジシランの流量は、例えば20sccmとすればよい。また、N2Oの流量は、例えば4500sccmとすればよい。この場合も、成膜温度が比較的低いのみならず、成膜時間も短いため、浅い不純物拡散領域及びポケット領域における不純物の拡散をより抑制することが可能である。 Alternatively, disilane and N 2 O may be used as raw materials, and the silicon oxide film may be formed by a thermal CVD method at a film formation temperature of 500 to 530 ° C. and a film formation time of 30 minutes or less. In this case, the pressure in the film formation chamber may be 200 Torr, for example. Further, the flow rate of disilane may be set to 20 sccm, for example. The flow rate of N 2 O may be 4500 sccm, for example. Also in this case, not only the film formation temperature is relatively low, but also the film formation time is short, so that diffusion of impurities in the shallow impurity diffusion region and the pocket region can be further suppressed.

また、第1及び第2実施形態では、熱CVD法によりシリコン窒化膜40を形成する際に、原料としてBTBASとNH3とを用い、成膜温度を550〜580℃としたが、シリコン窒化膜の成膜方法は、これに限定されるものではない。 In the first and second embodiments, when the silicon nitride film 40 is formed by the thermal CVD method, BTBAS and NH 3 are used as raw materials and the film forming temperature is set to 550 to 580 ° C. The film forming method is not limited to this.

例えば、シランとNH3とを原料として用い、650〜700℃の成膜温度、15分以下の成膜時間で、シリコン窒化膜を熱CVD法により形成してもよい。この場合には、成膜温度は若干高くなるが、成膜時間が比較的短いため、エクステンションソース/ドレインの浅い領域を構成する不純物拡散領域及びポケット領域におけるドーパント不純物の拡散を抑制することが可能である。なお、成膜時間を短くするためには、例えば枚葉式のCVD装置を用いればよい。また、枚葉式のCVD装置を用いない場合であっても、成膜室内に導入する半導体基板の枚数を少なくすれば、短い成膜時間でシリコン窒化膜を形成することが可能である。 For example, a silicon nitride film may be formed by a thermal CVD method using silane and NH 3 as raw materials at a film formation temperature of 650 to 700 ° C. and a film formation time of 15 minutes or less. In this case, although the film formation temperature is slightly higher, since the film formation time is relatively short, it is possible to suppress diffusion of dopant impurities in the impurity diffusion region and the pocket region constituting the shallow region of the extension source / drain. It is. In order to shorten the film formation time, for example, a single wafer CVD apparatus may be used. Even when a single wafer CVD apparatus is not used, a silicon nitride film can be formed in a short film formation time if the number of semiconductor substrates introduced into the film formation chamber is reduced.

なお、第3及び第4実施形態では、シリコンと窒素とを含む化合物より成る原料ガスとしてBTBASを用いたが、かかる原料ガスはBTBASに限定されるものではなく、シリコンと窒素とを含む化合物より成る原料を広く用いることが可能である。   In the third and fourth embodiments, BTBAS is used as a source gas made of a compound containing silicon and nitrogen. However, the source gas is not limited to BTBAS, but a compound gas containing silicon and nitrogen. It is possible to use a wide range of raw materials.

また、第3及び第4実施形態では、分子中に窒素原子を複数含む化合物より成る原料としてヒドラジン化合物を用いたが、かかる原料ガスはヒドラジン化合物に限定されるものではなく、分子中に窒素原子を複数含む化合物より成る原料を広く用いることができる。例えば、分子中に窒素原子を複数含む原料として、アジド化合物を挙げることができる。アジド化合物としては、例えば、ジエチルアジド、ジメチルアジド、アジ化メチル(CH33)、アジ化エチル(C253)、ターシャルブチルアジド(C493)等を挙げることができる。 In the third and fourth embodiments, a hydrazine compound is used as a raw material composed of a compound containing a plurality of nitrogen atoms in the molecule. However, the raw material gas is not limited to a hydrazine compound, and a nitrogen atom in the molecule. A raw material comprising a compound containing a plurality of can be widely used. For example, an azide compound can be mentioned as a raw material containing a plurality of nitrogen atoms in the molecule. Examples of the azide compound include diethyl azide, dimethyl azide, methyl azide (CH 3 N 3 ), ethyl azide (C 2 H 5 N 3 ), tertiary butyl azide (C 4 H 9 N 3 ) and the like. be able to.

また、第3及び第4実施形態では、ヒドラジン化合物より成る原料ガスとして、ジメチルヒドラジンを用いたが、ヒドラジン化合物はジメチルヒドラジンに限定されるものではなく、他のあらゆるヒドラジン化合物を用いることが可能である。例えば、ジメチルヒドラジン以外のヒドラジン化合物としては、例えば、ヒドラジン(N24)、モノメチルヒドラジン((CH3222)、uns−ジメチルヒドラジン((CH3222)、sym−ジメチルヒドラジン((CH3)HN2(CH3)H)、トリメチルヒドラジン((CH322(CH3)H)、テトラメチルヒドラジン((CH322(CH32)、エチルヒドラジン((C25)HN22)等を挙げることができる。 In the third and fourth embodiments, dimethyl hydrazine is used as a source gas composed of a hydrazine compound, but the hydrazine compound is not limited to dimethyl hydrazine, and any other hydrazine compound can be used. is there. For example, as a hydrazine compound other than dimethylhydrazine, for example, hydrazine (N 2 H 4 ), monomethylhydrazine ((CH 3 ) 2 N 2 H 2 ), uns-dimethylhydrazine ((CH 3 ) 2 N 2 H 2 ) , sym-dimethylhydrazine ((CH 3) HN 2 ( CH 3) H), trimethyl hydrazine ((CH 3) 2 N 2 (CH 3) H), tetramethyl hydrazine ((CH 3) 2 N 2 (CH 3 2 ), ethyl hydrazine ((C 2 H 5 ) HN 2 H 2 ) and the like.

また、第3実施形態では、シリコンと窒素とを含む化合物より成る原料ガスと分子中に窒素原子を複数含む化合物より成る原料とを用いて、シリコン窒化膜を形成する場合を例に説明したが、かかる原料を用いて形成する絶縁膜は、シリコン窒化膜に限定されるものではない。例えば、SiCN膜やSiOCN膜等を形成することも可能である。原料ガスとしてCを含む原料ガスを用いれば、成膜条件を適宜設定することにより、SiCN膜を形成することが可能である。また、成膜室内に酸素ガスを適宜導入すれば、SiOCN膜を形成することが可能である。   In the third embodiment, the case where a silicon nitride film is formed using a source gas made of a compound containing silicon and nitrogen and a source gas made of a compound containing a plurality of nitrogen atoms in the molecule has been described as an example. The insulating film formed using such a raw material is not limited to the silicon nitride film. For example, a SiCN film or a SiOCN film can be formed. If a source gas containing C is used as the source gas, a SiCN film can be formed by appropriately setting the film formation conditions. In addition, a SiOCN film can be formed by appropriately introducing oxygen gas into the deposition chamber.

また、第3及び第4実施形態では、エクステンションソース/ドレイン構造の浅い領域を構成する不純物拡散領域28、36及びポケット領域26、34を形成した後に、エクステンションソース/ドレイン構造の深い領域を構成する不純物拡散領域48、56を形成する場合を例に説明したが、第2実施形態による半導体装置の製造方法のように、エクステンションソース/ドレイン構造の浅い領域を構成する不純物拡散領域28、36及びポケット領域26、34を形成する前に、エクステンションソース/ドレイン構造の深い領域を構成する不純物拡散領域48、56を形成してもよい。   In the third and fourth embodiments, after forming the impurity diffusion regions 28 and 36 and the pocket regions 26 and 34 constituting the shallow region of the extension source / drain structure, the deep region of the extension source / drain structure is formed. Although the case where the impurity diffusion regions 48 and 56 are formed has been described as an example, the impurity diffusion regions 28 and 36 and the pockets constituting the shallow region of the extension source / drain structure as in the semiconductor device manufacturing method according to the second embodiment have been described. Before forming the regions 26 and 34, impurity diffusion regions 48 and 56 constituting deep regions of the extension source / drain structure may be formed.

また、第3実施形態では、シリコン酸化膜38とシリコン窒化膜40aとから成るサイドウォールスペーサを形成する場合を例に説明したが、シリコン窒化膜40aのみから成るサイドウォールスペーサを形成してもよい。   In the third embodiment, the case where the side wall spacer made of the silicon oxide film 38 and the silicon nitride film 40a is formed has been described as an example. However, the side wall spacer made only of the silicon nitride film 40a may be formed. .

また、第4実施形態では、配線84の材料としてCuを用いたが、配線の材料はCuに限定されるものではなく、他のあらゆる配線材料を適宜用いることができる。例えば、配線の材料としてAlを用いてもよい。   In the fourth embodiment, Cu is used as the material of the wiring 84. However, the material of the wiring is not limited to Cu, and any other wiring material can be used as appropriate. For example, Al may be used as the wiring material.

また、第4実施形態では、熱CVD法によりキャップ膜74、86やストッパ膜76、80を形成したが、キャップ膜74、86やストッパ膜76、80の成膜方法は熱CVD法に限定されるものではなく、他の成膜方法を適宜用いることができる。例えば、プラズマCVD法を用いてキャップ膜74、86やストッパ膜76、80を形成してもよい。キャップ膜74、86やストッパ膜76、80を形成する際には、トランジスタ(図示せず)が層間絶縁膜72により覆われているため、プラズマCVD法を用いて成膜しても、トランジスタの電気的特性に悪影響を与えることはないためである。   In the fourth embodiment, the cap films 74 and 86 and the stopper films 76 and 80 are formed by the thermal CVD method. However, the method for forming the cap films 74 and 86 and the stopper films 76 and 80 is limited to the thermal CVD method. However, other film forming methods can be used as appropriate. For example, the cap films 74 and 86 and the stopper films 76 and 80 may be formed using a plasma CVD method. When the cap films 74 and 86 and the stopper films 76 and 80 are formed, the transistor (not shown) is covered with the interlayer insulating film 72. Therefore, even if the film is formed using the plasma CVD method, This is because the electrical characteristics are not adversely affected.

また、上記実施形態では、ポケット領域26、34を形成した後に、エクステンションソース/ドレイン構造の浅い領域を構成する不純物拡散領域28、36を形成したが、浅い不純物拡散領域28、36を形成した後に、ポケット領域26、34を形成してもよい。   In the above embodiment, the impurity diffusion regions 28 and 36 constituting the shallow region of the extension source / drain structure are formed after the pocket regions 26 and 34 are formed. However, after the shallow impurity diffusion regions 28 and 36 are formed. The pocket regions 26 and 34 may be formed.

(付記1) 半導体基板上にゲート絶縁膜を介してゲート電極を形成する工程と、前記ゲート電極をマスクとして前記半導体基板内にドーパント不純物を導入することにより、前記ゲート電極の両側の前記半導体基板内に不純物拡散領域を形成する工程と、前記半導体基板上に、前記ゲート電極を覆うようにシリコン酸化膜を形成する工程と、前記シリコン酸化膜を異方性エッチングすることにより、前記ゲート電極の側壁部分に前記シリコン酸化膜を有するサイドウォールスペーサを形成する工程とを有する半導体装置の製造方法であって、
前記シリコン酸化膜を形成する工程では、ビスターシャルブチルアミノシランと酸素とを原料として用い、熱CVD法により、500〜580℃の成膜温度で、前記シリコン酸化膜を形成する
ことを特徴とする半導体装置の製造方法。
(Supplementary Note 1) A step of forming a gate electrode on a semiconductor substrate through a gate insulating film, and introducing a dopant impurity into the semiconductor substrate using the gate electrode as a mask, thereby providing the semiconductor substrate on both sides of the gate electrode. Forming an impurity diffusion region therein, forming a silicon oxide film on the semiconductor substrate so as to cover the gate electrode, and anisotropically etching the silicon oxide film, Forming a sidewall spacer having the silicon oxide film on the sidewall portion, and a method of manufacturing a semiconductor device,
In the step of forming the silicon oxide film, the silicon oxide film is formed at a film formation temperature of 500 to 580 ° C. by a thermal CVD method using binary butylaminosilane and oxygen as raw materials. Device manufacturing method.

(付記2) 半導体基板上にゲート絶縁膜を介してゲート電極を形成する工程と、前記ゲート電極をマスクとして前記半導体基板内にドーパント不純物を導入することにより、前記ゲート電極の両側の前記半導体基板内に不純物拡散領域を形成する工程と、前記半導体基板上に、前記ゲート電極を覆うようにシリコン酸化膜を形成する工程と、前記シリコン酸化膜を異方性エッチングすることにより、前記ゲート電極の側壁部分に前記シリコン酸化膜を有するサイドウォールスペーサを形成する工程とを有する半導体装置の製造方法であって、
前記シリコン酸化膜を形成する工程では、TEOSと酸素とを原料として用い、熱CVD法により、560〜580℃の成膜温度で、前記シリコン酸化膜を形成する
ことを特徴とする半導体装置の製造方法。
(Appendix 2) Forming a gate electrode on a semiconductor substrate through a gate insulating film, and introducing a dopant impurity into the semiconductor substrate using the gate electrode as a mask, thereby providing the semiconductor substrate on both sides of the gate electrode. Forming an impurity diffusion region therein, forming a silicon oxide film on the semiconductor substrate so as to cover the gate electrode, and anisotropically etching the silicon oxide film, Forming a sidewall spacer having the silicon oxide film on the sidewall portion, and a method of manufacturing a semiconductor device,
In the step of forming the silicon oxide film, the silicon oxide film is formed at a film formation temperature of 560 to 580 ° C. by thermal CVD using TEOS and oxygen as raw materials. Method.

(付記3) 半導体基板上にゲート絶縁膜を介してゲート電極を形成する工程と、前記ゲート電極をマスクとして前記半導体基板内にドーパント不純物を導入することにより、前記ゲート電極の両側の前記半導体基板内に不純物拡散領域を形成する工程と、前記半導体基板上に、前記ゲート電極を覆うようにシリコン酸化膜を形成する工程と、前記シリコン酸化膜を異方性エッチングすることにより、前記ゲート電極の側壁部分に前記シリコン酸化膜を有するサイドウォールスペーサを形成する工程とを有する半導体装置の製造方法であって、
前記シリコン酸化膜を形成する工程では、シランと亜酸化窒素とを原料として用い、熱CVD法により、600〜700℃の成膜温度、15分以下の成膜時間で、前記シリコン酸化膜を形成する
ことを特徴とする半導体装置の製造方法。
(Supplementary Note 3) Forming a gate electrode on a semiconductor substrate through a gate insulating film, and introducing a dopant impurity into the semiconductor substrate using the gate electrode as a mask, thereby providing the semiconductor substrate on both sides of the gate electrode. Forming an impurity diffusion region therein, forming a silicon oxide film on the semiconductor substrate so as to cover the gate electrode, and anisotropically etching the silicon oxide film, Forming a sidewall spacer having the silicon oxide film on the sidewall portion, and a method of manufacturing a semiconductor device,
In the step of forming the silicon oxide film, silane and nitrous oxide are used as raw materials, and the silicon oxide film is formed by a thermal CVD method at a film forming temperature of 600 to 700 ° C. and a film forming time of 15 minutes or less. A method of manufacturing a semiconductor device.

(付記4) 半導体基板上にゲート絶縁膜を介してゲート電極を形成する工程と、前記ゲート電極をマスクとして前記半導体基板内にドーパント不純物を導入することにより、前記ゲート電極の両側の前記半導体基板内に不純物拡散領域を形成する工程と、前記半導体基板上に、前記ゲート電極を覆うようにシリコン酸化膜を形成する工程と、前記シリコン酸化膜を異方性エッチングすることにより、前記ゲート電極の側壁部分に前記シリコン酸化膜を有するサイドウォールスペーサを形成する工程とを有する半導体装置の製造方法であって、
前記シリコン酸化膜を形成する工程では、TEOSとオゾンとを原料として用い、熱CVD法により、480〜500℃の成膜温度、30分以下の成膜時間で、CVD法により、前記シリコン酸化膜を形成する
ことを特徴とする半導体装置の製造方法。
(Supplementary Note 4) A step of forming a gate electrode on a semiconductor substrate through a gate insulating film, and introducing a dopant impurity into the semiconductor substrate using the gate electrode as a mask, thereby providing the semiconductor substrate on both sides of the gate electrode. Forming an impurity diffusion region therein, forming a silicon oxide film on the semiconductor substrate so as to cover the gate electrode, and anisotropically etching the silicon oxide film, Forming a sidewall spacer having the silicon oxide film on the sidewall portion, and a method of manufacturing a semiconductor device,
In the step of forming the silicon oxide film, TEOS and ozone are used as raw materials, and the silicon oxide film is formed by a CVD method with a film formation temperature of 480 to 500 ° C. and a film formation time of 30 minutes or less by a thermal CVD method. A method of manufacturing a semiconductor device, comprising: forming a semiconductor device.

(付記5) 半導体基板上にゲート絶縁膜を介してゲート電極を形成する工程と、前記ゲート電極をマスクとして前記半導体基板内にドーパント不純物を導入することにより、前記ゲート電極の両側の前記半導体基板内に不純物拡散領域を形成する工程と、前記半導体基板上に、前記ゲート電極を覆うようにシリコン酸化膜を形成する工程と、前記シリコン酸化膜を異方性エッチングすることにより、前記ゲート電極の側壁部分に前記シリコン酸化膜を有するサイドウォールスペーサを形成する工程とを有する半導体装置の製造方法であって、
前記シリコン酸化膜を形成する工程では、ジシランと亜酸化窒素とを原料として用い、熱CVD法により、500〜530℃の成膜温度、30分以下の成膜時間で、CVD法により、前記シリコン酸化膜を形成する
ことを特徴とする半導体装置の製造方法。
(Supplementary Note 5) A step of forming a gate electrode on a semiconductor substrate through a gate insulating film, and introducing a dopant impurity into the semiconductor substrate using the gate electrode as a mask, thereby providing the semiconductor substrate on both sides of the gate electrode. Forming an impurity diffusion region therein, forming a silicon oxide film on the semiconductor substrate so as to cover the gate electrode, and anisotropically etching the silicon oxide film, Forming a sidewall spacer having the silicon oxide film on the sidewall portion, and a method of manufacturing a semiconductor device,
In the step of forming the silicon oxide film, disilane and nitrous oxide are used as raw materials, and the silicon film is formed by a CVD method at a film formation temperature of 500 to 530 ° C. and a film formation time of 30 minutes or less by a thermal CVD method. A method for manufacturing a semiconductor device, comprising forming an oxide film.

(付記6) 付記1乃至5のいずれかに記載の半導体装置の製造方法において、
前記シリコン酸化膜を形成する工程の後、前記サイドウォールスペーサを形成する工程の前に、前記シリコン酸化膜を覆うようにシリコン窒化膜を形成する工程を更に有し、
前記シリコン窒化膜を形成する工程では、ビスターシャルブチルアミノシランとアンモニアとを原料として用い、熱CVD法により、550〜580℃の成膜温度で、前記シリコン窒化膜を形成し、
前記サイドウォールスペーサを形成する工程では、前記シリコン窒化膜及び前記シリコン酸化膜を異方性エッチングすることにより、前記ゲート電極の側壁部分に前記シリコン酸化膜と前記シリコン窒化膜とを有するサイドウォールスペーサを形成する
ことを特徴とする半導体装置の製造方法。
(Supplementary note 6) In the method for manufacturing a semiconductor device according to any one of supplementary notes 1 to 5,
After the step of forming the silicon oxide film, before the step of forming the sidewall spacer, further comprising a step of forming a silicon nitride film so as to cover the silicon oxide film,
In the step of forming the silicon nitride film, the silicon nitride film is formed at a film formation temperature of 550 to 580 ° C. by a thermal CVD method using binary butylaminosilane and ammonia as raw materials.
In the step of forming the sidewall spacer, the sidewall spacer having the silicon oxide film and the silicon nitride film on the side wall portion of the gate electrode by anisotropically etching the silicon nitride film and the silicon oxide film. A method of manufacturing a semiconductor device, comprising: forming a semiconductor device.

(付記7) 付記1乃至5のいずれかに記載の半導体装置の製造方法において、
前記シリコン酸化膜を形成する工程の後、前記サイドウォールスペーサを形成する工程の前に、前記シリコン酸化膜を覆うようにシリコン窒化膜を形成する工程を更に有し、
前記シリコン窒化膜を形成する工程では、シランとアンモニアとを原料として用い、熱CVD法により、650〜700℃の成膜温度、15分以下の成膜時間で、前記シリコン窒化膜を形成し、
前記サイドウォールスペーサを形成する工程では、前記シリコン窒化膜及び前記シリコン酸化膜を異方性エッチングすることにより、前記ゲート電極の側壁部分に前記シリコン酸化膜と前記シリコン窒化膜とを有するサイドウォールスペーサを形成する
ことを特徴とする半導体装置の製造方法。
(Supplementary note 7) In the method for manufacturing a semiconductor device according to any one of supplementary notes 1 to 5,
After the step of forming the silicon oxide film, before the step of forming the sidewall spacer, further comprising a step of forming a silicon nitride film so as to cover the silicon oxide film,
In the step of forming the silicon nitride film, silane and ammonia are used as raw materials, and the silicon nitride film is formed by a thermal CVD method at a film forming temperature of 650 to 700 ° C. and a film forming time of 15 minutes or less.
In the step of forming the sidewall spacer, the sidewall spacer having the silicon oxide film and the silicon nitride film on the side wall portion of the gate electrode by anisotropically etching the silicon nitride film and the silicon oxide film. A method of manufacturing a semiconductor device, comprising: forming a semiconductor device.

(付記8) 少なくともシリコンと窒素とを含む化合物より成る第1の原料と、分子中に窒素原子を複数含む化合物より成る第2の原料とを用いて、SiN、SiCN又はSiOCNより成る絶縁膜を形成する工程を有する
ことを特徴とする半導体装置の製造方法。
(Supplementary Note 8) An insulating film made of SiN, SiCN, or SiOCN is formed using a first raw material made of a compound containing at least silicon and nitrogen and a second raw material made of a compound containing a plurality of nitrogen atoms in the molecule. A method for manufacturing a semiconductor device, comprising: forming a semiconductor device.

(付記9) 付記8記載の半導体装置の製造方法において、
前記絶縁膜を形成する工程の前に、半導体基板上にゲート絶縁膜を介してゲート電極を形成する工程と、前記ゲート電極をマスクとして前記半導体基板内にドーパント不純物を導入することにより、前記ゲート電極の両側の前記半導体基板内に不純物拡散領域を形成する工程とを更に有し、
前記絶縁膜を形成する工程では、前記半導体基板上に、前記ゲート電極を覆うように前記絶縁膜を形成し、
前記絶縁膜を形成する工程の後に、前記絶縁膜を異方性エッチングすることにより、前記ゲート電極の側壁部分に前記絶縁膜を有するサイドウォールスペーサを形成する工程を更に有する
ことを特徴とする半導体装置の製造方法。
(Supplementary note 9) In the method for manufacturing a semiconductor device according to supplementary note 8,
Before the step of forming the insulating film, a step of forming a gate electrode on the semiconductor substrate via a gate insulating film, and introducing a dopant impurity into the semiconductor substrate using the gate electrode as a mask, Further forming an impurity diffusion region in the semiconductor substrate on both sides of the electrode,
In the step of forming the insulating film, the insulating film is formed on the semiconductor substrate so as to cover the gate electrode,
The semiconductor further comprising a step of forming a sidewall spacer having the insulating film on a side wall portion of the gate electrode by anisotropically etching the insulating film after the step of forming the insulating film. Device manufacturing method.

(付記10) 付記9記載の半導体装置の製造方法において、
前記ゲート電極の両側の前記半導体基板内に前記不純物拡散領域を形成する工程の後、前記絶縁膜を形成する工程の前に、前記半導体基板上に、前記ゲート電極を覆うようにシリコン酸化膜を形成する工程を更に有し、
前記サイドウォールスペーサを形成する工程では、前記絶縁膜及び前記シリコン酸化膜を異方性エッチングすることにより、前記ゲート電極の側壁部分に前記シリコン酸化膜と前記絶縁膜とを有するサイドウォールスペーサを形成する
ことを特徴とする半導体装置の製造方法。
(Additional remark 10) In the manufacturing method of the semiconductor device of Additional remark 9,
After the step of forming the impurity diffusion region in the semiconductor substrate on both sides of the gate electrode and before the step of forming the insulating film, a silicon oxide film is formed on the semiconductor substrate so as to cover the gate electrode. Further comprising forming,
In the step of forming the sidewall spacer, the sidewall spacer having the silicon oxide film and the insulating film is formed on the side wall portion of the gate electrode by anisotropically etching the insulating film and the silicon oxide film. A method of manufacturing a semiconductor device.

(付記11) 付記8記載の半導体装置の製造方法において、
前記絶縁膜を形成する工程の前に、半導体基板上にトランジスタを形成する工程と、前記半導体基板上に前記トランジスタを覆うように他の絶縁膜を形成する工程とを更に有し、
前記絶縁膜を形成する工程では、前記他の絶縁膜を覆うように前記絶縁膜を形成する
ことを特徴とする半導体装置の製造方法。
(Additional remark 11) In the manufacturing method of the semiconductor device of Additional remark 8,
Before the step of forming the insulating film, further comprising a step of forming a transistor on a semiconductor substrate and a step of forming another insulating film on the semiconductor substrate so as to cover the transistor,
In the step of forming the insulating film, the insulating film is formed so as to cover the other insulating film.

(付記12) 付記11記載の半導体装置の製造方法において、
前記絶縁膜を形成する工程の後に、前記絶縁膜とエッチング特性が異なる更に他の絶縁膜を形成する工程と、前記更に他の絶縁膜に、前記絶縁膜に達する溝を形成する工程と、前記溝内に配線を埋め込む工程とを有する
ことを特徴とする半導体装置の製造方法。
(Additional remark 12) In the manufacturing method of the semiconductor device of Additional remark 11,
After the step of forming the insulating film, a step of forming another insulating film having etching characteristics different from those of the insulating film, a step of forming a groove reaching the insulating film in the further insulating film, And a step of embedding a wiring in the groove.

(付記13) 付記8記載の半導体装置の製造方法において、
前記絶縁膜を形成する工程の前に、半導体基板上にトランジスタを形成する工程と、前記半導体基板上に前記トランジスタを覆うように他の絶縁膜を形成する工程と、前記他の絶縁膜の上方に、更に他の絶縁膜を形成する工程とを更に有し、
前記絶縁膜を形成する工程では、前記更に他の絶縁膜を覆うように前記絶縁膜を形成し、
前記絶縁膜を形成する工程の後に、前記絶縁膜及び前記更に他の絶縁膜に溝を形成する工程と、前記溝内及び前記絶縁膜上に導電膜を形成する工程と、前記導電膜を前記絶縁膜が露出するまで研磨し、前記溝内に前記導電膜より成る配線を形成する工程とを更に有する
ことを特徴とする半導体装置の製造方法。
(Supplementary note 13) In the method for manufacturing a semiconductor device according to supplementary note 8,
Before the step of forming the insulating film, a step of forming a transistor on a semiconductor substrate, a step of forming another insulating film on the semiconductor substrate so as to cover the transistor, and an upper side of the other insulating film And further forming another insulating film,
In the step of forming the insulating film, the insulating film is formed so as to cover the further insulating film,
After the step of forming the insulating film, a step of forming a groove in the insulating film and the further other insulating film, a step of forming a conductive film in the groove and on the insulating film, and the conductive film Polishing the insulating film until it is exposed, and further forming a wiring made of the conductive film in the groove.

(付記14) 付記8記載の半導体装置の製造方法において、
前記絶縁膜を形成する工程の前に、半導体基板上にトランジスタを形成する工程と、前記半導体基板上に前記トランジスタを覆うように他の絶縁膜を形成する工程と、前記他の絶縁膜の上方に、更に他の絶縁膜を形成する工程と、前記更に他の絶縁膜に配線を埋め込む工程とを更に有し、
前記絶縁膜を形成する工程では、前記更に他の絶縁膜上及び前記配線上に前記絶縁膜を形成する
ことを特徴とする半導体装置の製造方法。
(Supplementary Note 14) In the method for manufacturing a semiconductor device according to Supplementary Note 8,
Before the step of forming the insulating film, a step of forming a transistor on a semiconductor substrate, a step of forming another insulating film on the semiconductor substrate so as to cover the transistor, and an upper side of the other insulating film And further comprising a step of forming another insulating film and a step of embedding wiring in the further other insulating film,
In the step of forming the insulating film, the insulating film is formed on the other insulating film and on the wiring.

(付記15) 付記9乃至14のいずれかに記載の半導体装置の製造方法において、
前記絶縁膜を形成する工程では、熱CVD法により前記絶縁膜を形成する
ことを特徴とする半導体装置の製造方法。
(Supplementary Note 15) In the method for manufacturing a semiconductor device according to any one of Supplementary Notes 9 to 14,
In the step of forming the insulating film, the insulating film is formed by a thermal CVD method.

(付記16) 付記11乃至14のいずれかに記載の半導体装置の製造方法において、
前記絶縁膜を形成する工程では、プラズマCVD法により前記絶縁膜を形成する
ことを特徴とする半導体装置の製造方法。
(Supplementary Note 16) In the method for manufacturing a semiconductor device according to any one of Supplementary Notes 11 to 14,
In the step of forming the insulating film, the insulating film is formed by a plasma CVD method.

(付記17) 付記8乃至16のいずれかに記載の半導体装置の製造方法において、
前記絶縁膜を形成する工程では、NH3より成る第3の原料を更に用いて、前記絶縁膜を形成する
ことを特徴とする半導体装置の製造方法。
(Supplementary note 17) In the method for manufacturing a semiconductor device according to any one of supplementary notes 8 to 16,
In the step of forming the insulating film, the insulating film is formed by further using a third material made of NH 3 .

(付記18) 付記8乃至17のいずれかに記載の半導体装置の製造方法において、
前記第1の原料は、ビスターシャルブチルアミノシランである
ことを特徴とする半導体装置の製造方法。
(Supplementary note 18) In the method for manufacturing a semiconductor device according to any one of supplementary notes 8 to 17,
The method of manufacturing a semiconductor device, wherein the first raw material is Vista-butylaminosilane.

(付記19) 付記8乃至18のいずれかに記載の半導体装置の製造方法において、
前記第2の原料は、ヒドラジン化合物又はアジド化合物である
ことを特徴とする半導体装置の製造方法。
(Supplementary note 19) In the method for manufacturing a semiconductor device according to any one of supplementary notes 8 to 18,
Said 2nd raw material is a hydrazine compound or an azide compound. The manufacturing method of the semiconductor device characterized by the above-mentioned.

(付記20) 付記8乃至19のいずれかに記載の半導体装置の製造方法において、
前記絶縁膜を形成する工程では、550℃以下の成膜温度で前記絶縁膜を形成する
ことを特徴とする半導体装置の製造方法。
(Supplementary note 20) In the method for manufacturing a semiconductor device according to any one of supplementary notes 8 to 19,
In the step of forming the insulating film, the insulating film is formed at a film forming temperature of 550 ° C. or lower.

(付記21) 付記1乃至7、9及び10のいずれかに記載の半導体装置の製造方法において、
前記サイドウォールスペーサを形成する工程の後に、前記ゲート電極と前記サイドウォールスペーサとをマスクとして前記半導体基板内にドーパント不純物を導入することにより、前記不純物拡散領域よりキャリア濃度が高く深い他の不純物拡散領域を形成する工程を更に有する
ことを特徴とする半導体装置の製造方法。
(Supplementary note 21) In the method for manufacturing a semiconductor device according to any one of supplementary notes 1 to 7, 9, and 10,
After the step of forming the sidewall spacer, by introducing a dopant impurity into the semiconductor substrate using the gate electrode and the sidewall spacer as a mask, another impurity diffusion having a carrier concentration higher than that of the impurity diffusion region and deeper. A method of manufacturing a semiconductor device, further comprising a step of forming a region.

(付記22) 付記1乃至7、9及び10のいずれかに記載の半導体装置の製造方法において、
前記ゲート電極を形成する工程の後、前記不純物拡散領域を形成する工程の前に、前記ゲート電極の側壁部分に他のサイドウォールスペーサを形成する工程と、前記ゲート電極と前記他のサイドウォールスペーサとをマスクとして前記半導体基板内にドーパント不純物を導入することにより、前記不純物拡散領域よりキャリア濃度が高く深い他の不純物拡散領域を形成する工程と、前記他のサイドウォールスペーサをエッチング除去する工程とを更に有する
ことを特徴とする半導体装置の製造方法。
(Supplementary note 22) In the method for manufacturing a semiconductor device according to any one of supplementary notes 1 to 7, 9, and 10,
After the step of forming the gate electrode and before the step of forming the impurity diffusion region, a step of forming another side wall spacer on the side wall portion of the gate electrode, and the gate electrode and the other side wall spacer And using as a mask, a dopant impurity is introduced into the semiconductor substrate to form another impurity diffusion region having a carrier concentration higher than that of the impurity diffusion region, and a step of etching away the other sidewall spacer. The method for manufacturing a semiconductor device, further comprising:

(付記23) 付記1乃至7のいずれかに記載の半導体装置の製造方法において、
前記ゲート電極を形成する工程の後で前記不純物拡散領域を形成する工程の前に、又は、前記不純物拡散領域を形成する工程の後でシリコン酸化膜を形成する工程の前に、前記不純物拡散領域に隣接するように、前記不純物拡散領域と反対の導電型のポケット領域を形成する工程を更に有する
ことを特徴とする半導体装置の製造方法。
(Supplementary note 23) In the method for manufacturing a semiconductor device according to any one of supplementary notes 1 to 7,
The impurity diffusion region before the step of forming the impurity diffusion region after the step of forming the gate electrode or before the step of forming a silicon oxide film after the step of forming the impurity diffusion region. The method further includes the step of forming a pocket region of a conductivity type opposite to the impurity diffusion region so as to be adjacent to the impurity diffusion region.

(付記24) 付記9又は10記載の半導体装置の製造方法において、
前記ゲート電極を形成する工程の後で前記不純物拡散領域を形成する工程の前に、又は、前記不純物拡散領域を形成する工程の後で前記絶縁膜を形成する工程の前に、前記不純物拡散領域に隣接するように、前記不純物拡散領域と反対の導電型のポケット領域を形成する工程を更に有する
ことを特徴とする半導体装置の製造方法。
(Supplementary Note 24) In the method for manufacturing a semiconductor device according to Supplementary Note 9 or 10,
The impurity diffusion region before the step of forming the impurity diffusion region after the step of forming the gate electrode or before the step of forming the insulating film after the step of forming the impurity diffusion region. The method further includes the step of forming a pocket region of a conductivity type opposite to the impurity diffusion region so as to be adjacent to the impurity diffusion region.

本発明の第1実施形態による半導体装置の製造方法を示す工程断面図(その1)である。It is process sectional drawing (the 1) which shows the manufacturing method of the semiconductor device by 1st Embodiment of this invention. 本発明の第1実施形態による半導体装置の製造方法を示す工程断面図(その2)である。FIG. 9 is a process cross-sectional view (part 2) illustrating the method for manufacturing the semiconductor device according to the first embodiment of the invention; 本発明の第1実施形態による半導体装置の製造方法を示す工程断面図(その3)である。It is process sectional drawing (the 3) which shows the manufacturing method of the semiconductor device by 1st Embodiment of this invention. 本発明の第1実施形態による半導体装置の製造方法を示す工程断面図(その4)である。FIG. 9 is a process cross-sectional view (No. 4) illustrating the method for manufacturing the semiconductor device according to the first embodiment of the invention; 本発明の第1実施形態による半導体装置の製造方法を示す工程断面図(その5)である。It is process sectional drawing (the 5) which shows the manufacturing method of the semiconductor device by 1st Embodiment of this invention. 本発明の第1実施形態による半導体装置の製造方法を示す工程断面図(その6)である。It is process sectional drawing (the 6) which shows the manufacturing method of the semiconductor device by 1st Embodiment of this invention. 本発明の第1実施形態による半導体装置の製造方法を示す工程断面図(その7)である。It is process sectional drawing (the 7) which shows the manufacturing method of the semiconductor device by 1st Embodiment of this invention. 本発明の第1実施形態による半導体装置の製造方法を示す工程断面図(その8)である。It is process sectional drawing (the 8) which shows the manufacturing method of the semiconductor device by 1st Embodiment of this invention. PMOSトランジスタにおけるBの濃度分布を示すグラフである。It is a graph which shows the density | concentration distribution of B in a PMOS transistor. NMOSトランジスタにおけるAsの濃度分布を示すグラフである。3 is a graph showing an As concentration distribution in an NMOS transistor. NMOSトランジスタにおけるゲート長としきい値電圧との関係を示すグラフである。It is a graph which shows the relationship between the gate length and threshold voltage in an NMOS transistor. PMOSトランジスタにおけるIon−Ioff特性を示すグラフである。It is a graph showing the I on -I off characteristics in the PMOS transistor. 本発明の第1実施形態の変形例による半導体装置の製造方法を示す工程断面図である。It is process sectional drawing which shows the manufacturing method of the semiconductor device by the modification of 1st Embodiment of this invention. 本発明の第2実施形態による半導体装置の製造方法を示す工程断面図(その1)である。It is process sectional drawing (the 1) which shows the manufacturing method of the semiconductor device by 2nd Embodiment of this invention. 本発明の第2実施形態による半導体装置の製造方法を示す工程断面図(その2)である。It is process sectional drawing (the 2) which shows the manufacturing method of the semiconductor device by 2nd Embodiment of this invention. 本発明の第2実施形態による半導体装置の製造方法を示す工程断面図(その3)である。It is process sectional drawing (the 3) which shows the manufacturing method of the semiconductor device by 2nd Embodiment of this invention. 本発明の第2実施形態による半導体装置の製造方法を示す工程断面図(その4)である。It is process sectional drawing (the 4) which shows the manufacturing method of the semiconductor device by 2nd Embodiment of this invention. 本発明の第2実施形態による半導体装置の製造方法を示す工程断面図(その5)である。It is process sectional drawing (the 5) which shows the manufacturing method of the semiconductor device by 2nd Embodiment of this invention. 本発明の第2実施形態による半導体装置の製造方法を示す工程断面図(その6)である。It is process sectional drawing (the 6) which shows the manufacturing method of the semiconductor device by 2nd Embodiment of this invention. 本発明の第2実施形態による半導体装置の製造方法を示す工程断面図(その7)である。It is process sectional drawing (the 7) which shows the manufacturing method of the semiconductor device by 2nd Embodiment of this invention. 本発明の第2実施形態による半導体装置の製造方法を示す工程断面図(その8)である。It is process sectional drawing (the 8) which shows the manufacturing method of the semiconductor device by 2nd Embodiment of this invention. 本発明の第2実施形態の変形例による半導体装置の製造方法を示す工程断面図である。It is process sectional drawing which shows the manufacturing method of the semiconductor device by the modification of 2nd Embodiment of this invention. BTBASとNH3とを原料ガスとして用いてシリコン窒化膜を成膜する際の成膜メカニズムを示す概念図である。BTBAS and NH 3 is a conceptual diagram showing a film forming mechanism in forming the silicon nitride film used as a material gas. 本発明の第3実施形態による半導体装置の製造方法を示す工程断面図である。It is process sectional drawing which shows the manufacturing method of the semiconductor device by 3rd Embodiment of this invention. シリコン窒化膜の成膜速度を示すグラフである。It is a graph which shows the film-forming speed | rate of a silicon nitride film. シリコン窒化膜の成膜温度と屈折率との関係を示すグラフである。It is a graph which shows the relationship between the film-forming temperature of a silicon nitride film, and a refractive index. 原料ガスの流量比とシリコン窒化膜の屈折率との関係を示すグラフである。It is a graph which shows the relationship between the flow rate ratio of source gas, and the refractive index of a silicon nitride film. シリコン酸化膜の比誘電率を示すグラフである。It is a graph which shows the dielectric constant of a silicon oxide film. 本発明の第3実施形態の変形例による半導体装置の製造方法を示す工程断面図である。It is process sectional drawing which shows the manufacturing method of the semiconductor device by the modification of 3rd Embodiment of this invention. シリコン窒化膜におけるリーク電流特性を示すグラフである。It is a graph which shows the leakage current characteristic in a silicon nitride film. 本発明の第4実施形態による半導体装置の製造方法を示す工程断面図(その1)である。It is process sectional drawing (the 1) which shows the manufacturing method of the semiconductor device by 4th Embodiment of this invention. 本発明の第4実施形態による半導体装置の製造方法を示す工程断面図(その2)である。It is process sectional drawing (the 2) which shows the manufacturing method of the semiconductor device by 4th Embodiment of this invention. 本発明の第4実施形態による半導体装置の製造方法を示す工程断面図(その3)である。It is process sectional drawing (the 3) which shows the manufacturing method of the semiconductor device by 4th Embodiment of this invention.

符号の説明Explanation of symbols

10…半導体基板
12…素子分離領域
14n…NMOSトランジスタが形成される領域
14p…PMOSトランジスタが形成される領域
16n…n形ウェル
16p…p形ウェル
18…ゲート絶縁膜
20…ゲート電極
22…フォトレジスト膜
24…開口部
26…ポケット領域
28…不純物拡散領域
30…フォトレジスト膜
32…開口部
34…ポケット領域
36…不純物拡散領域
38…シリコン酸化膜
40、40a、40b…シリコン窒化膜
42、42a…サイドウォールスペーサ
44…フォトレジスト膜
46…開口部
48…不純物拡散領域
50…ソース/ドレイン拡散層
52…フォトレジスト膜
54…開口部
56…不純物拡散領域
58…ソース/ドレイン拡散層
60…金属膜
62…ソース/ドレイン電極
64n…NMOSトランジスタ
64p…PMOSトランジスタ
66…シリコン酸化膜
68…シリコン窒化膜
70…サイドウォールスペーサ
72…層間絶縁膜
74…キャップ膜
76…ストッパ膜
78…層間絶縁膜
80…ストッパ膜
82…溝
84…配線
86…キャップ膜
88…層間絶縁膜
DESCRIPTION OF SYMBOLS 10 ... Semiconductor substrate 12 ... Element isolation region 14n ... Region 14p where NMOS transistor is formed ... Region 16n where PMOS transistor is formed ... N-type well 16p ... P-type well 18 ... Gate insulating film 20 ... Gate electrode 22 ... Photoresist Film 24 ... opening 26 ... pocket region 28 ... impurity diffusion region 30 ... photoresist film 32 ... opening 34 ... pocket region 36 ... impurity diffusion region 38 ... silicon oxide films 40, 40a, 40b ... silicon nitride films 42, 42a ... Side wall spacer 44 ... Photoresist film 46 ... Opening 48 ... Impurity diffusion region 50 ... Source / drain diffusion layer 52 ... Photoresist film 54 ... Opening 56 ... Impurity diffusion region 58 ... Source / drain diffusion layer 60 ... Metal film 62 ... source / drain electrode 64n ... NMOS transistor 64p ... MOS transistor 66 ... silicon oxide film 68 ... silicon nitride film 70 ... sidewall spacer 72 ... interlayer insulating film 74 ... cap film 76 ... stopper film 78 ... interlayer insulating film 80 ... stopper film 82 ... groove 84 ... wiring 86 ... cap film 88 ... Interlayer insulation film

Claims (10)

半導体基板上にゲート絶縁膜を介してゲート電極を形成する工程と、前記ゲート電極をマスクとして前記半導体基板内にドーパント不純物を導入することにより、前記ゲート電極の両側の前記半導体基板内に不純物拡散領域を形成する工程と、前記半導体基板上に、前記ゲート電極を覆うようにシリコン酸化膜を形成する工程と、前記シリコン酸化膜を異方性エッチングすることにより、前記ゲート電極の側壁部分に前記シリコン酸化膜を有するサイドウォールスペーサを形成する工程とを有する半導体装置の製造方法であって、
前記シリコン酸化膜を形成する工程では、ビスターシャルブチルアミノシランと酸素とを原料として用い、熱CVD法により、500〜580℃の成膜温度で、前記シリコン酸化膜を形成する
ことを特徴とする半導体装置の製造方法。
Forming a gate electrode on a semiconductor substrate through a gate insulating film, and introducing a dopant impurity into the semiconductor substrate using the gate electrode as a mask, thereby diffusing impurities into the semiconductor substrate on both sides of the gate electrode; Forming a region on the semiconductor substrate, forming a silicon oxide film on the semiconductor substrate so as to cover the gate electrode, and anisotropically etching the silicon oxide film, thereby forming the region on the side wall portion of the gate electrode. Forming a sidewall spacer having a silicon oxide film, and a method of manufacturing a semiconductor device,
In the step of forming the silicon oxide film, the silicon oxide film is formed at a film formation temperature of 500 to 580 ° C. by a thermal CVD method using binary butylaminosilane and oxygen as raw materials. Device manufacturing method.
半導体基板上にゲート絶縁膜を介してゲート電極を形成する工程と、前記ゲート電極をマスクとして前記半導体基板内にドーパント不純物を導入することにより、前記ゲート電極の両側の前記半導体基板内に不純物拡散領域を形成する工程と、前記半導体基板上に、前記ゲート電極を覆うようにシリコン酸化膜を形成する工程と、前記シリコン酸化膜を異方性エッチングすることにより、前記ゲート電極の側壁部分に前記シリコン酸化膜を有するサイドウォールスペーサを形成する工程とを有する半導体装置の製造方法であって、
前記シリコン酸化膜を形成する工程では、TEOSと酸素とを原料として用い、熱CVD法により、560〜580℃の成膜温度で、前記シリコン酸化膜を形成する
ことを特徴とする半導体装置の製造方法。
Forming a gate electrode on a semiconductor substrate through a gate insulating film, and introducing a dopant impurity into the semiconductor substrate using the gate electrode as a mask, thereby diffusing impurities into the semiconductor substrate on both sides of the gate electrode; Forming a region on the semiconductor substrate, forming a silicon oxide film on the semiconductor substrate so as to cover the gate electrode, and anisotropically etching the silicon oxide film, thereby forming the region on the side wall portion of the gate electrode. Forming a sidewall spacer having a silicon oxide film, and a method of manufacturing a semiconductor device,
In the step of forming the silicon oxide film, the silicon oxide film is formed at a film formation temperature of 560 to 580 ° C. by thermal CVD using TEOS and oxygen as raw materials. Method.
半導体基板上にゲート絶縁膜を介してゲート電極を形成する工程と、前記ゲート電極をマスクとして前記半導体基板内にドーパント不純物を導入することにより、前記ゲート電極の両側の前記半導体基板内に不純物拡散領域を形成する工程と、前記半導体基板上に、前記ゲート電極を覆うようにシリコン酸化膜を形成する工程と、前記シリコン酸化膜を異方性エッチングすることにより、前記ゲート電極の側壁部分に前記シリコン酸化膜を有するサイドウォールスペーサを形成する工程とを有する半導体装置の製造方法であって、
前記シリコン酸化膜を形成する工程では、シランと亜酸化窒素とを原料として用い、熱CVD法により、600〜700℃の成膜温度、15分以下の成膜時間で、前記シリコン酸化膜を形成する
ことを特徴とする半導体装置の製造方法。
Forming a gate electrode on a semiconductor substrate through a gate insulating film, and introducing a dopant impurity into the semiconductor substrate using the gate electrode as a mask, thereby diffusing impurities into the semiconductor substrate on both sides of the gate electrode; Forming a region on the semiconductor substrate, forming a silicon oxide film on the semiconductor substrate so as to cover the gate electrode, and anisotropically etching the silicon oxide film, thereby forming the region on the side wall portion of the gate electrode. Forming a sidewall spacer having a silicon oxide film, and a method of manufacturing a semiconductor device,
In the step of forming the silicon oxide film, silane and nitrous oxide are used as raw materials, and the silicon oxide film is formed by a thermal CVD method at a film forming temperature of 600 to 700 ° C. and a film forming time of 15 minutes or less. A method of manufacturing a semiconductor device.
半導体基板上にゲート絶縁膜を介してゲート電極を形成する工程と、前記ゲート電極をマスクとして前記半導体基板内にドーパント不純物を導入することにより、前記ゲート電極の両側の前記半導体基板内に不純物拡散領域を形成する工程と、前記半導体基板上に、前記ゲート電極を覆うようにシリコン酸化膜を形成する工程と、前記シリコン酸化膜を異方性エッチングすることにより、前記ゲート電極の側壁部分に前記シリコン酸化膜を有するサイドウォールスペーサを形成する工程とを有する半導体装置の製造方法であって、
前記シリコン酸化膜を形成する工程では、TEOSとオゾンとを原料として用い、熱CVD法により、480〜500℃の成膜温度、30分以下の成膜時間で、CVD法により、前記シリコン酸化膜を形成する
ことを特徴とする半導体装置の製造方法。
Forming a gate electrode on a semiconductor substrate through a gate insulating film, and introducing a dopant impurity into the semiconductor substrate using the gate electrode as a mask, thereby diffusing impurities into the semiconductor substrate on both sides of the gate electrode; Forming a region on the semiconductor substrate, forming a silicon oxide film on the semiconductor substrate so as to cover the gate electrode, and anisotropically etching the silicon oxide film, thereby forming the region on the side wall portion of the gate electrode. Forming a sidewall spacer having a silicon oxide film, and a method of manufacturing a semiconductor device,
In the step of forming the silicon oxide film, TEOS and ozone are used as raw materials, and the silicon oxide film is formed by a CVD method with a film formation temperature of 480 to 500 ° C. and a film formation time of 30 minutes or less by a thermal CVD method. A method of manufacturing a semiconductor device, comprising: forming a semiconductor device.
半導体基板上にゲート絶縁膜を介してゲート電極を形成する工程と、前記ゲート電極をマスクとして前記半導体基板内にドーパント不純物を導入することにより、前記ゲート電極の両側の前記半導体基板内に不純物拡散領域を形成する工程と、前記半導体基板上に、前記ゲート電極を覆うようにシリコン酸化膜を形成する工程と、前記シリコン酸化膜を異方性エッチングすることにより、前記ゲート電極の側壁部分に前記シリコン酸化膜を有するサイドウォールスペーサを形成する工程とを有する半導体装置の製造方法であって、
前記シリコン酸化膜を形成する工程では、ジシランと亜酸化窒素とを原料として用い、熱CVD法により、500〜530℃の成膜温度、30分以下の成膜時間で、CVD法により、前記シリコン酸化膜を形成する
ことを特徴とする半導体装置の製造方法。
Forming a gate electrode on a semiconductor substrate through a gate insulating film, and introducing a dopant impurity into the semiconductor substrate using the gate electrode as a mask, thereby diffusing impurities into the semiconductor substrate on both sides of the gate electrode; Forming a region on the semiconductor substrate, forming a silicon oxide film on the semiconductor substrate so as to cover the gate electrode, and anisotropically etching the silicon oxide film, thereby forming the region on the side wall portion of the gate electrode. Forming a sidewall spacer having a silicon oxide film, and a method of manufacturing a semiconductor device,
In the step of forming the silicon oxide film, disilane and nitrous oxide are used as raw materials, and the silicon film is formed by a CVD method at a film formation temperature of 500 to 530 ° C. and a film formation time of 30 minutes or less by a thermal CVD method. A method for manufacturing a semiconductor device, comprising forming an oxide film.
請求項1乃至5のいずれか1項に記載の半導体装置の製造方法において、
前記シリコン酸化膜を形成する工程の後、前記サイドウォールスペーサを形成する工程の前に、前記シリコン酸化膜を覆うようにシリコン窒化膜を形成する工程を更に有し、
前記シリコン窒化膜を形成する工程では、ビスターシャルブチルアミノシランとアンモニアとを原料として用い、熱CVD法により、550〜580℃の成膜温度で、前記シリコン窒化膜を形成し、
前記サイドウォールスペーサを形成する工程では、前記シリコン窒化膜及び前記シリコン酸化膜を異方性エッチングすることにより、前記ゲート電極の側壁部分に前記シリコン酸化膜と前記シリコン窒化膜とを有するサイドウォールスペーサを形成する
ことを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to any one of claims 1 to 5,
After the step of forming the silicon oxide film, before the step of forming the sidewall spacer, further comprising a step of forming a silicon nitride film so as to cover the silicon oxide film,
In the step of forming the silicon nitride film, the silicon nitride film is formed at a film formation temperature of 550 to 580 ° C. by a thermal CVD method using binary butylaminosilane and ammonia as raw materials.
In the step of forming the sidewall spacer, the sidewall spacer having the silicon oxide film and the silicon nitride film on the side wall portion of the gate electrode by anisotropically etching the silicon nitride film and the silicon oxide film. A method of manufacturing a semiconductor device, comprising: forming a semiconductor device.
請求項1乃至5のいずれか1項に記載の半導体装置の製造方法において、
前記シリコン酸化膜を形成する工程の後、前記サイドウォールスペーサを形成する工程の前に、前記シリコン酸化膜を覆うようにシリコン窒化膜を形成する工程を更に有し、
前記シリコン窒化膜を形成する工程では、シランとアンモニアとを原料として用い、熱CVD法により、650〜700℃の成膜温度、15分以下の成膜時間で、前記シリコン窒化膜を形成し、
前記サイドウォールスペーサを形成する工程では、前記シリコン窒化膜及び前記シリコン酸化膜を異方性エッチングすることにより、前記ゲート電極の側壁部分に前記シリコン酸化膜と前記シリコン窒化膜とを有するサイドウォールスペーサを形成する
ことを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to any one of claims 1 to 5,
After the step of forming the silicon oxide film, before the step of forming the sidewall spacer, further comprising a step of forming a silicon nitride film so as to cover the silicon oxide film,
In the step of forming the silicon nitride film, silane and ammonia are used as raw materials, and the silicon nitride film is formed by a thermal CVD method at a film forming temperature of 650 to 700 ° C. and a film forming time of 15 minutes or less.
In the step of forming the sidewall spacer, the sidewall spacer having the silicon oxide film and the silicon nitride film on the side wall portion of the gate electrode by anisotropically etching the silicon nitride film and the silicon oxide film. A method of manufacturing a semiconductor device, comprising: forming a semiconductor device.
少なくともシリコンと窒素とを含む化合物より成る第1の原料と、分子中に窒素原子を複数含む化合物より成る第2の原料とを用いて、SiN、SiCN又はSiOCNより成る絶縁膜を形成する工程を有する
ことを特徴とする半導体装置の製造方法。
Forming an insulating film made of SiN, SiCN, or SiOCN using a first raw material made of a compound containing at least silicon and nitrogen and a second raw material made of a compound containing a plurality of nitrogen atoms in the molecule; A method for manufacturing a semiconductor device, comprising:
請求項8記載の半導体装置の製造方法において、
前記絶縁膜を形成する工程の前に、半導体基板上にゲート絶縁膜を介してゲート電極を形成する工程と、前記ゲート電極をマスクとして前記半導体基板内にドーパント不純物を導入することにより、前記ゲート電極の両側の前記半導体基板内に不純物拡散領域を形成する工程とを更に有し、
前記絶縁膜を形成する工程では、前記半導体基板上に、前記ゲート電極を覆うように前記絶縁膜を形成し、
前記絶縁膜を形成する工程の後に、前記絶縁膜を異方性エッチングすることにより、前記ゲート電極の側壁部分に前記絶縁膜を有するサイドウォールスペーサを形成する工程を更に有する
ことを特徴とする半導体装置の製造方法。
The method of manufacturing a semiconductor device according to claim 8.
Before the step of forming the insulating film, a step of forming a gate electrode on the semiconductor substrate through a gate insulating film, and introducing a dopant impurity into the semiconductor substrate using the gate electrode as a mask, Further forming an impurity diffusion region in the semiconductor substrate on both sides of the electrode,
In the step of forming the insulating film, the insulating film is formed on the semiconductor substrate so as to cover the gate electrode,
The semiconductor further comprising a step of forming a sidewall spacer having the insulating film on a side wall portion of the gate electrode by anisotropically etching the insulating film after the step of forming the insulating film. Device manufacturing method.
請求項8又は9記載の半導体装置の製造方法において、
前記絶縁膜を形成する工程では、NHより成る第3の原料を更に用いて、前記絶縁膜を形成する
ことを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device of Claim 8 or 9,
In the step of forming the insulating film, the insulating film is formed by further using a third raw material made of NH 3 .
JP2007184567A 2007-07-13 2007-07-13 Method for manufacturing semiconductor device Pending JP2008010881A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2007184567A JP2008010881A (en) 2007-07-13 2007-07-13 Method for manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007184567A JP2008010881A (en) 2007-07-13 2007-07-13 Method for manufacturing semiconductor device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2002317456A Division JP2004153066A (en) 2002-10-10 2002-10-31 Method of manufacturing semiconductor device

Publications (1)

Publication Number Publication Date
JP2008010881A true JP2008010881A (en) 2008-01-17

Family

ID=39068740

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007184567A Pending JP2008010881A (en) 2007-07-13 2007-07-13 Method for manufacturing semiconductor device

Country Status (1)

Country Link
JP (1) JP2008010881A (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009295621A (en) * 2008-06-02 2009-12-17 Panasonic Corp Semiconductor device and method of manufacturing the same
JP2012528345A (en) * 2009-05-29 2012-11-12 テクノロジアン タトキマスケスクス ヴィーティーティー Adjustable micromechanical Fabry-Perot interferometer, intermediate product, and method of manufacturing the same
WO2019087445A1 (en) * 2017-10-31 2019-05-09 株式会社Kokusai Electric Method of manufacturing semiconductor device, substrate processing device, and program

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07235535A (en) * 1993-12-27 1995-09-05 Sony Corp Formation of insulating film
JP2000100966A (en) * 1998-09-25 2000-04-07 Nec Corp Semiconductor device equipped with gate insulating films and manufacture thereof
JP2002060944A (en) * 2000-04-20 2002-02-28 Internatl Business Mach Corp <Ibm> Precursory raw material mixture, film deposition method and formation of structure

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07235535A (en) * 1993-12-27 1995-09-05 Sony Corp Formation of insulating film
JP2000100966A (en) * 1998-09-25 2000-04-07 Nec Corp Semiconductor device equipped with gate insulating films and manufacture thereof
JP2002060944A (en) * 2000-04-20 2002-02-28 Internatl Business Mach Corp <Ibm> Precursory raw material mixture, film deposition method and formation of structure

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009295621A (en) * 2008-06-02 2009-12-17 Panasonic Corp Semiconductor device and method of manufacturing the same
JP2012528345A (en) * 2009-05-29 2012-11-12 テクノロジアン タトキマスケスクス ヴィーティーティー Adjustable micromechanical Fabry-Perot interferometer, intermediate product, and method of manufacturing the same
WO2019087445A1 (en) * 2017-10-31 2019-05-09 株式会社Kokusai Electric Method of manufacturing semiconductor device, substrate processing device, and program

Similar Documents

Publication Publication Date Title
US20070072381A1 (en) Method for fabricating a semiconductor device including the use of a compound containing silicon and nitrogen to form an insulation film of SiN, SiCN or SiOCN
US8643113B2 (en) Structure and method for metal gate stack oxygen concentration control using an oxygen diffusion barrier layer and a sacrificial oxygen gettering layer
US8334198B2 (en) Method of fabricating a plurality of gate structures
US8673725B2 (en) Multilayer sidewall spacer for seam protection of a patterned structure
US7615840B2 (en) Device performance improvement using flowfill as material for isolation structures
US8324038B2 (en) Method of removing a spacer, method of manufacturing a metal-oxide-semiconductor transistor device, and metal-oxide-semiconductor transistor device
TWI400741B (en) High performance cmos transistors using pmd linear stress
US8987095B2 (en) Method of fabricating a carbon-free dielectric layer over a carbon-doped dielectric layer
US10068983B2 (en) High-K metal gate
EP1403915B1 (en) Method for fabricating a MOS transistor
US8415723B2 (en) Spacer structure wherein carbon-containing oxide film formed within
JP2005079223A (en) Semiconductor device and its manufacturing method
KR100718835B1 (en) semiconductor MOS transistor and method of manufacturing the same
US7306995B2 (en) Reduced hydrogen sidewall spacer oxide
KR100821089B1 (en) Semiconductor device and method for fabricating the same
JP2008010881A (en) Method for manufacturing semiconductor device
US7091135B2 (en) Method of manufacturing semiconductor device
KR20110135086A (en) Semiconductor device and method of manufacturing the same
KR20100108419A (en) Thin film and method for manufacturing semiconductor device using the thin film
JP5141321B2 (en) Manufacturing method of semiconductor device
JP2005277285A (en) Method of manufacturing semiconductor device
JP2009239002A (en) Method of manufacturing semiconductor device
KR100532741B1 (en) Method for forming an etch stop layer of semiconductor device
CN117410235A (en) CMOS device manufacturing method based on multilayer stress memory technology
KR20070030468A (en) semiconductor MOS transistor and method of manufacturing the same

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20080728

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110531

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20111011