JP2007005840A - Method of manufacturing semiconductor integrated circuit device - Google Patents

Method of manufacturing semiconductor integrated circuit device Download PDF

Info

Publication number
JP2007005840A
JP2007005840A JP2006280936A JP2006280936A JP2007005840A JP 2007005840 A JP2007005840 A JP 2007005840A JP 2006280936 A JP2006280936 A JP 2006280936A JP 2006280936 A JP2006280936 A JP 2006280936A JP 2007005840 A JP2007005840 A JP 2007005840A
Authority
JP
Japan
Prior art keywords
film
silicon oxide
insulating film
barrier metal
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006280936A
Other languages
Japanese (ja)
Inventor
Junji Noguchi
純司 野口
Tadashi Ohashi
直史 大橋
Kenichi Takeda
健一 武田
Tatsuyuki Saito
達之 齋藤
Hide Yamaguchi
日出 山口
Nobuo Owada
伸郎 大和田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Technology Corp
Original Assignee
Renesas Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Technology Corp filed Critical Renesas Technology Corp
Priority to JP2006280936A priority Critical patent/JP2007005840A/en
Publication of JP2007005840A publication Critical patent/JP2007005840A/en
Pending legal-status Critical Current

Links

Abstract

<P>PROBLEM TO BE SOLVED: To improve dielectric breakdown strength (reliability) of a copper wiring formed by means of a damascene method. <P>SOLUTION: Cu wirings 46a to 46e to be buried in wiring grooves 40 of silicon oxide film 39, are formed by means of polishing using CMP. Then, after being subjected to a cleaning step after CMP, the surfaces of the silicon oxide film 39 and Cu wirings 46a to 46e are treated with reducing plasma (ammonia plasma). Thereafter, without breaking a vacuum, a cap film (silicon nitride film 47) is formed continuously. <P>COPYRIGHT: (C)2007,JPO&INPIT

Description

本発明は、半導体集積回路装置および半導体集積回路装置の製造方法に関し、特に、銅を主導電層とする配線を、絶縁膜への溝形成、溝を埋め込む銅膜の形成およびCMP(Chemical Mechanical Polishing )法を用いた研磨により形成する、いわゆるダマシン法に適用して有効な技術に関するものである。   The present invention relates to a semiconductor integrated circuit device and a method for manufacturing a semiconductor integrated circuit device, and more particularly, to a wiring having copper as a main conductive layer, forming a groove in an insulating film, forming a copper film filling the groove, and CMP (Chemical Mechanical Polishing) The present invention relates to a technique that is effective when applied to a so-called damascene method, which is formed by polishing using a method.

近年の半導体集積回路装置における配線の微細化に伴い、配線抵抗の増大、配線遅延の増加、これらに起因する半導体集積回路装置の性能が低下が問題になっている。特に高性能なロジックLSIにおいては、その性能阻害要因として大きな問題を生じている。このため、たとえば1993 VMIC(VLSI Multilevel Interconnection Conference)予稿集、p15〜p21(非特許文献1)に記載されているように、絶縁膜に形成した配線溝に銅(Cu)を主導体層とする配線用金属を埋め込んだ後、配線溝外部の余分な金属を化学機械研磨法(CMP法)を用いて除去することにより配線溝内に配線パターンを形成する方法が検討されている。   With the recent miniaturization of wiring in semiconductor integrated circuit devices, there has been a problem of increased wiring resistance, increased wiring delay, and reduced performance of the semiconductor integrated circuit device due to these. In particular, in a high-performance logic LSI, a great problem has arisen as a performance impediment factor. Therefore, for example, as described in the 1993 VMIC (VLSI Multilevel Interconnection Conference) Proceedings, p15 to p21 (Non-patent Document 1), copper (Cu) is used as the main conductor layer in the wiring groove formed in the insulating film. A method for forming a wiring pattern in a wiring groove by embedding a wiring metal and then removing excess metal outside the wiring groove using a chemical mechanical polishing method (CMP method) has been studied.

なお、特開平9−306915号公報(特許文献1)には、半導体基板上のシリコン酸化膜に配線溝を形成後、スパッタ法を用いて窒化チタン膜および銅膜を堆積し、リフローにより溝内に銅を充填し、その後CMP法により溝以外の銅膜を除去し、さらに水素雰囲気中で熱処理を施す技術が記載されている。これにより銅配線中の欠陥を低減できるとしている。   In JP-A-9-306915 (Patent Document 1), after forming a wiring groove in a silicon oxide film on a semiconductor substrate, a titanium nitride film and a copper film are deposited by sputtering, and the inside of the groove is formed by reflow. A technique is described in which copper is filled in, and then a copper film other than the trench is removed by a CMP method, followed by heat treatment in a hydrogen atmosphere. As a result, defects in the copper wiring can be reduced.

また、特開平10−56014号公報(特許文献2)には、半導体基板上に形成された窒化チタン膜およびタングステン膜を有する被研磨材料をCMP法により研磨した後、その研磨された表面にハロゲン系混合ガスを用いたプラズマ処理を施す技術が記載されている。これにより、CMP法に由来するマイクロスクラッチが形成されても配線ショートを生じないとしている。   Japanese Patent Application Laid-Open No. 10-56014 (Patent Document 2) discloses that a polishing material having a titanium nitride film and a tungsten film formed on a semiconductor substrate is polished by a CMP method, and then a halogen is formed on the polished surface. A technique for performing plasma treatment using a system mixed gas is described. As a result, even if a micro scratch derived from the CMP method is formed, no wiring short-circuit occurs.

また、特開平10−56014号公報(特許文献2)には、配線を形成したい下地上に感光性SOG膜を形成し、このSOG膜に配線溝を形成し、窒化チタン膜、銅膜および銅チタン合金膜を形成し、CMP法による研磨により配線溝内にのみ前記被膜を残し、さらにアンモニア雰囲気で加熱処理して銅チタン合金膜の表層部に窒化チタン膜を形成する技術が記載されている。
特開平9−306915号公報 特開平10−56014号公報 1993 VMIC(VLSI Multilevel Interconnection Conference)予稿集、p15〜p21
Japanese Patent Laid-Open No. 10-56014 (Patent Document 2) discloses that a photosensitive SOG film is formed on a base on which a wiring is to be formed, a wiring groove is formed in the SOG film, a titanium nitride film, a copper film, and a copper film. A technique is described in which a titanium alloy film is formed, the coating film is left only in the wiring trench by polishing by a CMP method, and further a heat treatment is performed in an ammonia atmosphere to form a titanium nitride film on the surface layer portion of the copper titanium alloy film. .
JP-A-9-306915 JP-A-10-56014 1993 VMIC (VLSI Multilevel Interconnection Conference) Proceedings, p15-p21

上記配線溝を形成した後にこれを埋め込む金属膜(たとえば銅膜)を形成し、配線溝以外の銅膜をCMP法により除去する配線形成法、いわゆるダマシン法による配線形成技術において、本発明者らは、以下に説明するような問題を認識した。   In the wiring formation technique by the so-called damascene method, in which the metal film (for example, copper film) for embedding the wiring groove is formed after the wiring groove is formed and the copper film other than the wiring groove is removed by the CMP method, the present inventors Recognized the problems described below.

すなわち、高性能のロジックLSIへの適用を考慮した場合、配線抵抗の低減は重要な技術検討課題の一つである。そこで、発明者らは、配線を構成する金属として銅を検討している。銅は、その物性として他の金属(たとえばアルミニウム、タングステン)と比較して絶縁膜であるシリコン酸化膜中を拡散しやすいという性質を有する。よって、配線を覆うバリア膜の検討が重要である。配線溝内のバリア膜としては窒化チタン膜が検討されている。一方、配線上部を覆う被膜(キャップ膜)としてシリコン窒化膜が検討されている。これら配線溝に沿う窒化チタン膜と配線の上部をキャップするシリコン窒化膜とで銅を覆い、層間絶縁膜(シリコン酸化膜)への銅の拡散をブロックし、配線の信頼性を高めることが検討されている。   That is, when considering application to a high-performance logic LSI, reduction of wiring resistance is one of the important technical considerations. Therefore, the inventors have studied copper as a metal constituting the wiring. Copper has the property of being easily diffused in a silicon oxide film as an insulating film as compared with other metals (for example, aluminum and tungsten) as its physical properties. Therefore, it is important to examine a barrier film that covers the wiring. As a barrier film in the wiring trench, a titanium nitride film has been studied. On the other hand, a silicon nitride film has been studied as a film (cap film) covering the upper part of the wiring. A study of increasing the reliability of wiring by covering copper with a titanium nitride film along these wiring grooves and a silicon nitride film that caps the top of the wiring to block the diffusion of copper into the interlayer insulating film (silicon oxide film) Has been.

ところが、銅を配線材料に用いた場合、TDDB(Time Dependence on Dielectric Breakdown )寿命が、他の金属材料(たとえばアルミニウム、タングステン)の場合と比較して著しく短いという問題が存在する。なお、TDDB試験とは、配線間の絶縁破壊強度を評価する加速試験方法の一種であり、通常使用環境より高い所定の温度での高電界下における絶縁破壊時間から、通常使用状態における絶縁破壊時間(寿命)を推定する試験方法である。TDDB寿命はこのTDDB試験から推定される寿命である。TDDB寿命については後に詳述する。   However, when copper is used as a wiring material, there is a problem that the TDDB (Time Dependence on Dielectric Breakdown) life is significantly shorter than that of other metal materials (for example, aluminum, tungsten). The TDDB test is a kind of accelerated test method for evaluating the dielectric breakdown strength between wirings. From the dielectric breakdown time under a high electric field at a predetermined temperature higher than the normal use environment, the dielectric breakdown time in the normal use state. This is a test method for estimating (lifetime). The TDDB life is a life estimated from this TDDB test. The TDDB life will be described in detail later.

図55は、銅配線、アルミニウム配線、タングステン配線のTDDB特性を測定したデータを示すグラフである。縦軸にTDDB寿命を横軸に電界強度を割り当てている。アルミニウム配線の特性(データA)およびタングステン配線の特性(データB)を外挿すると、電界強度が0.2MV/cm(通常使用状態)におけるTDDB寿命は、本発明者らの開発目標である3×10sec(10年)を優に越える。一方、銅配線の特性(データC)を外挿すると、10年の開発目標に対してほとんどマージンのない状態であることがわかる。アルミニウム配線は被膜の堆積とフォトリソグラフィを用いたパターニングにより形成しているが、タングステン配線は銅配線と同様にダマシン法を用いて形成している。すなわち、銅配線とタングステン配線の相違は材料のみであり、構造上の相違はない。それにもかかわらずTDDB特性の顕著な相違があることは、配線材料の相違に起因することが示唆される。なお、ここでのTDDB特性は140℃の温度下で行ったデータを示している。 FIG. 55 is a graph showing data obtained by measuring TDDB characteristics of copper wiring, aluminum wiring, and tungsten wiring. The vertical axis indicates the TDDB life, and the horizontal axis indicates the electric field strength. Extrapolating the characteristics of the aluminum wiring (data A) and the tungsten wiring (data B), the TDDB life at an electric field strength of 0.2 MV / cm (normal use state) is the development target of the present inventors 3 × 10 8 sec (10 years) is well exceeded. On the other hand, extrapolating the copper wiring characteristics (data C) shows that there is almost no margin for the 10-year development goal. The aluminum wiring is formed by depositing a film and patterning using photolithography, while the tungsten wiring is formed using the damascene method in the same manner as the copper wiring. That is, the difference between the copper wiring and the tungsten wiring is only the material, and there is no structural difference. Nevertheless, it is suggested that there is a significant difference in TDDB characteristics due to the difference in wiring materials. Here, the TDDB characteristics indicate data performed at a temperature of 140 ° C.

このようなTDDB寿命の劣化原因は、配線材料に適用された銅が周辺に拡散し、これが配線間の絶縁耐圧を低下させることによると一般には考えられるが、本発明者らの検討によれば、その銅は原子状の銅よりも、酸化銅あるいは銅シリサイドから供給されるイオン化銅が配線間の電位でドリフトし拡散する要因が支配的であると考えられる。また、銅の拡散経路は銅配線が形成された絶縁膜とキャップ膜との界面が支配的であると考えられる。すなわち、銅配線の表面に酸化銅あるいは銅シリサイドが形成され、これら銅の化合物から銅イオンが形成され、イオン化された銅が配線形成用絶縁膜とキャップ膜との界面に沿って配線間電界によりドリフトして拡散し、この拡散した銅原子がリーク電流を増加させる原因になると考えられる。そしてリーク電流の増加は熱ストレスを増加させ、最終的にリークパスで絶縁破壊が生じてTDDB寿命に至ると考えられる。なお、この点のメカニズムは後に詳述する。   The cause of the deterioration of the TDDB life is generally considered that copper applied to the wiring material diffuses to the periphery, which lowers the withstand voltage between the wirings, but according to the study by the present inventors. The copper is considered to be dominated by the factor that ionized copper supplied from copper oxide or copper silicide drifts and diffuses at the potential between the wirings, rather than atomic copper. Further, it is considered that the interface between the insulating film on which the copper wiring is formed and the cap film is dominant in the copper diffusion path. That is, copper oxide or copper silicide is formed on the surface of the copper wiring, copper ions are formed from these copper compounds, and the ionized copper is caused by the electric field between the wirings along the interface between the wiring forming insulating film and the cap film. It is considered that the diffused copper atoms cause the leakage current to increase due to drift and diffusion. The increase in the leakage current increases the thermal stress, and it is considered that the dielectric breakdown is finally generated in the leakage path and the TDDB life is reached. The mechanism of this point will be described in detail later.

また、本発明者らの検討では、配線層を多層に形成した場合、上層配線の形成工程であるCMP工程において、下層配線とその上層に形成された絶縁膜(キャップ膜)との間に剥離が生ずる問題もある。   Further, according to the study by the present inventors, when the wiring layer is formed in multiple layers, peeling is performed between the lower layer wiring and the insulating film (cap film) formed in the upper layer in the CMP process which is the upper layer wiring forming process. There is also a problem that occurs.

さらに、銅配線上のキャップ膜としてシリコン窒化膜を用いると、銅とシリコン窒化膜との界面にシリサイド物が形成され、銅配線の抵抗が増加するという問題もある。   Further, when a silicon nitride film is used as a cap film on the copper wiring, there is a problem that a silicide is formed at the interface between the copper and the silicon nitride film and the resistance of the copper wiring is increased.

本発明の目的は、ダマシン法を用いて形成された銅配線の絶縁破壊耐性(信頼性)を向上することにある。   An object of the present invention is to improve dielectric breakdown resistance (reliability) of a copper wiring formed by using a damascene method.

また、本発明の目的は、配線層とキャップ膜との剥離の発生を抑制することにある。   Another object of the present invention is to suppress the occurrence of peeling between the wiring layer and the cap film.

さらに、本発明の目的は、キャップ膜にシリコン窒化膜を用いた場合の銅配線の抵抗値の増加を防止することにある。   Furthermore, an object of the present invention is to prevent an increase in the resistance value of the copper wiring when a silicon nitride film is used as the cap film.

本発明の前記ならびにその他の目的と新規な特徴は、本明細書の記述および添付図面から明らかになるであろう。   The above and other objects and novel features of the present invention will be apparent from the description of this specification and the accompanying drawings.

本願において開示される発明のうち、代表的なものの概要を簡単に説明すれば、次のとおりである。   Of the inventions disclosed in the present application, the outline of typical ones will be briefly described as follows.

すなわち、本発明は、CMP工程の後、配線上にキャップ膜(たとえばシリコン窒化膜)を形成する前に、配線およびそれが埋め込まれた層間絶縁膜(たとえばシリコン酸化膜)の表面を還元性プラズマで処理するものである。   That is, according to the present invention, after the CMP process, before forming a cap film (for example, a silicon nitride film) on the wiring, the surface of the wiring and the interlayer insulating film (for example, a silicon oxide film) in which the wiring is embedded is reduced plasma. Is to be processed.

これにより、配線および層間絶縁膜とキャップ絶縁膜との間の界面を連続的に形成でき、界面における密着性を改善してTDDB寿命を著しく向上することができる。   As a result, the interface between the wiring and the interlayer insulating film and the cap insulating film can be continuously formed, the adhesion at the interface can be improved, and the TDDB life can be significantly improved.

以下、本発明の概要を列記して説明する。   Hereinafter, the outline of the present invention will be listed and described.

本発明の製造方法は、半導体基板の上層に第1絶縁膜(たとえばシリコン酸化膜)を形成し、第1絶縁膜に溝(配線溝)を形成する。その後第1導電膜(銅の拡散を防止するブロッキング膜、たとえば窒化チタン膜)、溝を埋め込む第2導電膜(銅膜)を順次形成し、第2導電膜および第1導電膜を研磨して溝内に配線を形成する。その後、第1絶縁膜および配線の表面を還元性雰囲気のプラズマにより処理する。さらに、第1絶縁膜および配線上に第2絶縁膜(キャップ絶縁膜、たとえばシリコン窒化膜)を堆積する。   In the manufacturing method of the present invention, a first insulating film (for example, a silicon oxide film) is formed on an upper layer of a semiconductor substrate, and a groove (wiring groove) is formed in the first insulating film. Thereafter, a first conductive film (blocking film for preventing copper diffusion, such as a titanium nitride film) and a second conductive film (copper film) for filling the groove are sequentially formed, and the second conductive film and the first conductive film are polished. A wiring is formed in the groove. Thereafter, the surfaces of the first insulating film and the wiring are treated with plasma in a reducing atmosphere. Further, a second insulating film (cap insulating film such as a silicon nitride film) is deposited on the first insulating film and the wiring.

ここで、還元性雰囲気のプラズマは、アンモニア(NH)プラズマあるいは水素(H)プラズマとすることができる。また、アンモニア(NH)と希釈ガス(水素(H)、窒素(N)、アルゴン(Ar)、ヘリウム(He)から選択された単一または複数のガス)との混合ガスプラズマ、あるいは、水素(H)と希釈ガス(アンモニア(NH)、窒素(N)、アルゴン(Ar)、ヘリウム(He)から選択された単一または複数のガス)との混合ガスプラズマとすることができる。なお、混合ガスに対するアンモニアあるいは水素の濃度は5%以上である。 Here, the reducing atmosphere plasma may be ammonia (NH 3 ) plasma or hydrogen (H 2 ) plasma. Also, a mixed gas plasma of ammonia (NH 3 ) and a diluent gas (single or plural gas selected from hydrogen (H 2 ), nitrogen (N 2 ), argon (Ar), helium (He)), or , A mixed gas plasma of hydrogen (H 2 ) and a diluent gas (single or plural gas selected from ammonia (NH 3 ), nitrogen (N 2 ), argon (Ar), helium (He)) Can do. The concentration of ammonia or hydrogen with respect to the mixed gas is 5% or more.

また、第1絶縁膜はシリコン酸化膜とし、第2導電膜は銅、第2絶縁膜はシリコン窒化膜とすることができる。なお、言うまでもないことであるが、銅は配線としての特性を損なわない範囲で合金要素や添加物、不純物を含むことを許容する。実施の形態で用いる高純度の銅は一般に4Nすなわち99.99%以上のものが用いられる。   The first insulating film can be a silicon oxide film, the second conductive film can be copper, and the second insulating film can be a silicon nitride film. Needless to say, copper allows alloy elements, additives, and impurities to be contained within a range that does not impair the characteristics of the wiring. The high-purity copper used in the embodiment is generally 4N, that is, 99.99% or more.

また、研磨工程の後、プラズマ処理前に第1絶縁膜および配線の表面を酸洗浄することができる。酸洗浄には、フッ化水素(HF)またはクエン酸(C(CHCOOH)(OH)(COOH))の水溶液を用いることができる。 In addition, the surface of the first insulating film and the wiring can be acid cleaned after the polishing process and before the plasma treatment. For the acid cleaning, an aqueous solution of hydrogen fluoride (HF) or citric acid (C (CH 2 COOH) 2 (OH) (COOH)) can be used.

また、研磨工程には、砥粒フリーの化学機械研磨法を用いることができる。研磨は、砥粒フリー化学機械研磨を行う第1研磨、有砥粒化学機械研磨を行う第2研磨、および、第2導電膜に対して第1導電膜の選択比が5以上である化学機械研磨を行う第3研磨の3段階の化学機械研磨で行うことができる。   In addition, an abrasive-free chemical mechanical polishing method can be used for the polishing step. Polishing includes a first polishing for performing abrasive-free chemical mechanical polishing, a second polishing for performing abrasive-chemical chemical polishing, and a chemical machine having a first conductive film selection ratio of 5 or more with respect to the second conductive film. It can be performed by three-stage chemical mechanical polishing of the third polishing for polishing.

本発明の製造方法は、半導体基板の上層に第1絶縁膜を形成し、第1絶縁膜に溝を形成し、第1導電膜、溝を埋め込む第2導電膜を形成し、第2導電膜および第1導電膜を研磨して溝内に配線を形成し、第1絶縁膜および配線の表面にプラズマを用いて還元処理および窒化処理をし、第1絶縁膜および配線上に第2絶縁膜を堆積するものである。   In the manufacturing method of the present invention, a first insulating film is formed on an upper layer of a semiconductor substrate, a groove is formed in the first insulating film, a first conductive film, a second conductive film filling the groove is formed, and a second conductive film is formed. The first conductive film is polished to form a wiring in the groove, the surface of the first insulating film and the wiring is subjected to reduction treatment and nitridation treatment, and the second insulating film is formed on the first insulating film and the wiring. Is to be deposited.

この場合のプラズマは、アンモニア(NH)またはアンモニアおよび水素(H)と、窒素(N)、アルゴン(Ar)、ヘリウム(He)から選択された単一または複数のガスとの混合ガスのプラズマである。 In this case, the plasma is a mixed gas of ammonia (NH 3 ) or ammonia and hydrogen (H 2 ) and a single gas or a plurality of gases selected from nitrogen (N 2 ), argon (Ar), and helium (He). Of plasma.

また、本発明の製造方法は、保護膜(パッシベーション膜)に含まれるシリコン酸化膜よりも誘電率の低い第1絶縁膜を形成し、第1絶縁膜に溝または孔を形成し、第1絶縁膜の露出面を還元性雰囲気のプラズマにより処理し、溝または孔の内壁を含む表面を覆う第1導電膜を堆積し、溝または孔を埋め込む第2導電膜を形成し、溝または孔以外の第2導電膜および第1導電膜を研磨により除去し、溝または孔内に導電部材を形成するものである。この場合の還元性雰囲気のプラズマも前記同様である。なお、第1絶縁膜上に第2絶縁膜を形成しても良い。   In the manufacturing method of the present invention, the first insulating film having a lower dielectric constant than the silicon oxide film included in the protective film (passivation film) is formed, and a groove or a hole is formed in the first insulating film. The exposed surface of the film is treated with plasma in a reducing atmosphere, a first conductive film that covers the surface including the inner wall of the groove or hole is deposited, and a second conductive film that fills the groove or hole is formed. The second conductive film and the first conductive film are removed by polishing, and a conductive member is formed in the groove or hole. The reducing atmosphere plasma in this case is the same as described above. Note that a second insulating film may be formed over the first insulating film.

本発明の半導体集積回路装置は、第1絶縁膜と、第1絶縁膜の溝内に埋め込んで形成された配線と、第1絶縁膜および配線上に形成された第2絶縁膜とをし、第1絶縁膜および配線と第2絶縁膜との界面には窒化膜が形成されているものである。この場合、第1絶縁膜はシリコン酸化膜であり、配線は銅であり、第2絶縁膜はシリコン窒化膜である。また、窒化膜は、その膜中の窒素濃度が、第1絶縁膜および配線側から第2絶縁膜側に進むに従って高くなるものである。   The semiconductor integrated circuit device of the present invention includes a first insulating film, a wiring formed by being embedded in a groove of the first insulating film, a first insulating film and a second insulating film formed on the wiring, A nitride film is formed at the interface between the first insulating film and wiring and the second insulating film. In this case, the first insulating film is a silicon oxide film, the wiring is copper, and the second insulating film is a silicon nitride film. Further, the nitride film has a nitrogen concentration that increases as it proceeds from the first insulating film and wiring side to the second insulating film side.

さらに、本発明の製造方法は、半導体基板の上層に第1絶縁膜を形成し、第1絶縁膜に溝を形成し、第1絶縁膜上に第1導電膜を堆積し、溝を埋め込む第2導電膜を形成し、第2導電膜および第1導電膜を研磨して溝内に配線を形成し、第1絶縁膜および配線の表面を還元性雰囲気のプラズマにより処理し、さらに、半導体基板を大気雰囲気に曝すことなく減圧または不活性状態を維持し、連続的に第1絶縁膜および配線上に第2絶縁膜を堆積するものである。   Furthermore, in the manufacturing method of the present invention, a first insulating film is formed on an upper layer of a semiconductor substrate, a groove is formed in the first insulating film, a first conductive film is deposited on the first insulating film, and the groove is embedded. 2 conductive films are formed, the second conductive film and the first conductive film are polished to form wirings in the grooves, the surfaces of the first insulating film and the wirings are treated with plasma in a reducing atmosphere, and a semiconductor substrate The second insulating film is continuously deposited on the first insulating film and the wiring while maintaining the reduced pressure or inactive state without exposing the film to the atmosphere.

本願に内示されたその他の発明の概要は以下のごとくである。   The outline | summary of the other invention shown in this application is as follows.

項1:(a)半導体基板の上層に第1絶縁膜を形成し、前記第1絶縁膜に溝を形成する工程、
(b)前記第1絶縁膜上に第1導電膜を堆積し、前記溝を埋め込む第2導電膜を形成する工程、
(c)前記溝以外の前記第1絶縁膜上の前記第2導電膜および第1導電膜を研磨により除去し、前記溝内に配線を形成する工程、
(d)前記第1絶縁膜および配線の表面を還元性雰囲気のプラズマにより処理する工程、
(e)前記プラズマ処理工程の終了後、前記第1絶縁膜および配線上に第2絶縁膜を堆積する工程、
を含むことを特徴とする半導体集積回路装置の製造方法。
Item 1: (a) a step of forming a first insulating film on an upper layer of a semiconductor substrate and forming a groove in the first insulating film;
(B) depositing a first conductive film on the first insulating film and forming a second conductive film filling the groove;
(C) removing the second conductive film and the first conductive film on the first insulating film other than the groove by polishing, and forming a wiring in the groove;
(D) treating the surfaces of the first insulating film and the wiring with plasma in a reducing atmosphere;
(E) a step of depositing a second insulating film on the first insulating film and the wiring after completion of the plasma processing step;
A method for manufacturing a semiconductor integrated circuit device, comprising:

項2:項1記載の半導体集積回路装置の製造方法であって、
前記還元性雰囲気のプラズマは、アンモニア(NH)プラズマ、または、水素(H)プラズマであることを特徴とする半導体集積回路装置の製造方法。
Item 2: A method of manufacturing a semiconductor integrated circuit device according to Item 1,
The method of manufacturing a semiconductor integrated circuit device, wherein the reducing atmosphere plasma is ammonia (NH 3 ) plasma or hydrogen (H 2 ) plasma.

項3:項1記載の半導体集積回路装置の製造方法であって、
前記還元性雰囲気のプラズマは、アンモニア(NH3 )と希釈ガスとの混合ガスプラズマであり、
前記希釈ガスは、水素(H)、窒素(N)、アルゴン(Ar)、ヘリウム(He)から選択された単一または複数のガスであることを特徴とする半導体集積回路装置の製造方法。
Item 3: A method of manufacturing a semiconductor integrated circuit device according to Item 1,
The reducing atmosphere plasma is a mixed gas plasma of ammonia (NH3) and a dilution gas,
The method for manufacturing a semiconductor integrated circuit device, wherein the dilution gas is a single gas or a plurality of gases selected from hydrogen (H 2 ), nitrogen (N 2 ), argon (Ar), and helium (He). .

項4:項3記載の半導体集積回路装置の製造方法であって、
前記混合ガスに対するアンモニア(NH)の濃度は5%以上であることを特徴とする半導体集積回路装置の製造方法。
Item 4: A method of manufacturing a semiconductor integrated circuit device according to Item 3,
A method of manufacturing a semiconductor integrated circuit device, wherein the concentration of ammonia (NH 3 ) with respect to the mixed gas is 5% or more.

項5:項1記載の半導体集積回路装置の製造方法であって、
前記還元性雰囲気のプラズマは、水素(H)と希釈ガスとの混合ガスプラズマであり、
前記希釈ガスは、アンモニア(NH)、窒素(N)、アルゴン(Ar)、ヘリウム(He)から選択された単一または複数のガスであることを特徴とする半導体集積回路装置の製造方法。
Item 5: A method of manufacturing a semiconductor integrated circuit device according to Item 1,
The reducing atmosphere plasma is a mixed gas plasma of hydrogen (H 2 ) and a diluent gas,
The method for manufacturing a semiconductor integrated circuit device, wherein the dilution gas is a single gas or a plurality of gases selected from ammonia (NH 3 ), nitrogen (N 2 ), argon (Ar), and helium (He). .

項6:項5記載の半導体集積回路装置の製造方法であって、
前記混合ガスに対する水素(H)の濃度は5%以上であることを特徴とする半導体集積回路装置の製造方法。
Item 6: A method of manufacturing a semiconductor integrated circuit device according to Item 5,
The method of manufacturing a semiconductor integrated circuit device, wherein a concentration of hydrogen (H 2 ) with respect to the mixed gas is 5% or more.

項7:項1記載の半導体集積回路装置の製造方法であって、
前記第1絶縁膜はシリコン酸化膜であり、
前記第2導電膜は銅からなることを特徴とする半導体集積回路装置の製造方法。
Item 7: A method of manufacturing a semiconductor integrated circuit device according to Item 1,
The first insulating film is a silicon oxide film;
The method of manufacturing a semiconductor integrated circuit device, wherein the second conductive film is made of copper.

項8:項7記載の半導体集積回路装置の製造方法であって、
前記第2絶縁膜はシリコン窒化膜であることを特徴とする半導体集積回路装置の製造方法。
Item 8: A method of manufacturing a semiconductor integrated circuit device according to Item 7,
The method of manufacturing a semiconductor integrated circuit device, wherein the second insulating film is a silicon nitride film.

項9:項8記載の半導体集積回路装置の製造方法であって、
前記還元性雰囲気のプラズマは、アンモニア(NH)、水素(H)、または、これらのガスと窒素(N)、アルゴン(Ar)、ヘリウム(He)から選択された単一または複数のガスとの混合ガスのプラズマであることを特徴とする半導体集積回路装置の製造方法。
Item 9: A method of manufacturing a semiconductor integrated circuit device according to Item 8,
The reducing atmosphere plasma may be ammonia (NH 3 ), hydrogen (H 2 ), or one or more of these gases selected from nitrogen (N 2 ), argon (Ar), and helium (He). A method for manufacturing a semiconductor integrated circuit device, wherein the plasma is a mixed gas with a gas.

項10:項9記載の半導体集積回路装置の製造方法であって、
前記銅は、99.99%以上の高純度であることを特徴とする半導体集積回路装置の製造方法。
Item 10: A method of manufacturing a semiconductor integrated circuit device according to Item 9,
The method for manufacturing a semiconductor integrated circuit device, wherein the copper has a high purity of 99.99% or more.

項11:項1記載の半導体集積回路装置の製造方法であって、
前記(c)工程と(d)工程との間に、前記第1絶縁膜および配線の表面を酸洗浄する工程を有することを特徴とする半導体集積回路装置の製造方法。
Item 11: A method of manufacturing a semiconductor integrated circuit device according to Item 1,
A method of manufacturing a semiconductor integrated circuit device, comprising a step of acid cleaning the surfaces of the first insulating film and the wiring between the steps (c) and (d).

項12:項11記載の半導体集積回路装置の製造方法であって、
前記酸洗浄には、フッ化水素(HF)またはクエン酸(C(CHCOOH)(OH)(COOH))の水溶液を用いることを特徴とする半導体集積回路装置の製造方法。
Item 12: A method of manufacturing a semiconductor integrated circuit device according to Item 11,
A method for manufacturing a semiconductor integrated circuit device, wherein an aqueous solution of hydrogen fluoride (HF) or citric acid (C (CH 2 COOH) 2 (OH) (COOH)) is used for the acid cleaning.

項13:項12記載の半導体集積回路装置の製造方法であって、
前記第1絶縁膜はシリコン酸化膜であり、前記第2導電膜は銅からなり、前記第2絶縁膜はシリコン窒化膜であることを特徴とする半導体集積回路装置の製造方法。
Item 13: A method of manufacturing a semiconductor integrated circuit device according to Item 12,
The method of manufacturing a semiconductor integrated circuit device, wherein the first insulating film is a silicon oxide film, the second conductive film is made of copper, and the second insulating film is a silicon nitride film.

項14:項13記載の半導体集積回路装置の製造方法であって、
前記還元性雰囲気のプラズマは、アンモニア(NH)、水素(H)、または、これらのガスと窒素(N)、アルゴン(Ar)、ヘリウム(He)から選択された単一または複数のガスとの混合ガスのプラズマであることを特徴とする半導体集積回路装置の製造方法。
Item 14: A method of manufacturing a semiconductor integrated circuit device according to Item 13,
The reducing atmosphere plasma may be ammonia (NH 3 ), hydrogen (H 2 ), or one or more of these gases selected from nitrogen (N 2 ), argon (Ar), and helium (He). A method for manufacturing a semiconductor integrated circuit device, wherein the plasma is a mixed gas with a gas.

項15:項14記載の半導体集積回路装置の製造方法であって、
前記銅は、99.99%以上の高純度であることを特徴とする半導体集積回路装置の製造方法。
Item 15: A method of manufacturing a semiconductor integrated circuit device according to Item 14,
The method for manufacturing a semiconductor integrated circuit device, wherein the copper has a high purity of 99.99% or more.

項16:項1記載の半導体集積回路装置の製造方法であって、
前記(c)工程における研磨には、砥粒フリーの化学機械研磨法を用いることを特徴とする半導体集積回路装置の製造方法。
Item 16: A method of manufacturing a semiconductor integrated circuit device according to Item 1,
A polishing method in the step (c) uses an abrasive-free chemical mechanical polishing method.

項17:項16記載の半導体集積回路装置の製造方法であって、
前記(c)工程における研磨は、砥粒フリー化学機械研磨を行う第1研磨、有砥粒化学機械研磨を行う第2研磨、および、前記第2導電膜に対して前記第1導電膜の選択比が5以上である化学機械研磨を行う第3研磨の3段階の化学機械研磨で行われることを特徴とする半導体集積回路装置の製造方法。
Item 17: A method of manufacturing a semiconductor integrated circuit device according to Item 16,
The polishing in the step (c) includes first polishing for performing abrasive-free chemical mechanical polishing, second polishing for performing abrasive-grain chemical mechanical polishing, and selection of the first conductive film with respect to the second conductive film. A method for manufacturing a semiconductor integrated circuit device, wherein the chemical mechanical polishing is performed in three stages of third polishing for performing chemical mechanical polishing with a ratio of 5 or more.

項18:項17記載の半導体集積回路装置の製造方法であって、
前記第1絶縁膜はシリコン酸化膜であり、前記第2導電膜は銅からなり、前記第2絶縁膜はシリコン窒化膜であることを特徴とする半導体集積回路装置の製造方法。
Item 18: A method of manufacturing a semiconductor integrated circuit device according to Item 17,
The method of manufacturing a semiconductor integrated circuit device, wherein the first insulating film is a silicon oxide film, the second conductive film is made of copper, and the second insulating film is a silicon nitride film.

項19:項18記載の半導体集積回路装置の製造方法であって、
前記還元性雰囲気のプラズマは、アンモニア(NH)、水素(H)、または、これらのガスと窒素(N)、アルゴン(Ar)、ヘリウム(He)から選択された単一または複数のガスとの混合ガスのプラズマであることを特徴とする半導体集積回路装置の製造方法。
Item 19: A method of manufacturing a semiconductor integrated circuit device according to Item 18,
The reducing atmosphere plasma may be ammonia (NH 3 ), hydrogen (H 2 ), or one or more of these gases selected from nitrogen (N 2 ), argon (Ar), and helium (He). A method for manufacturing a semiconductor integrated circuit device, wherein the plasma is a mixed gas with a gas.

項20:項19記載の半導体集積回路装置の製造方法であって、
前記(c)工程と(d)工程との間に、前記第1絶縁膜および配線の表面をフッ化水素(HF)またはクエン酸(C(CHCOOH)(OH)(COOH))の水溶液を用いて酸洗浄する工程を有することを特徴とする半導体集積回路装置の製造方法。
Item 20: A method of manufacturing a semiconductor integrated circuit device according to Item 19,
Between the step (c) and the step (d), the surfaces of the first insulating film and the wiring are made of hydrogen fluoride (HF) or citric acid (C (CH 2 COOH) 2 (OH) (COOH)). A method for manufacturing a semiconductor integrated circuit device, comprising a step of acid cleaning using an aqueous solution.

項21:項20記載の半導体集積回路装置の製造方法であって、
前記銅は、99.99%以上の高純度であることを特徴とする半導体集積回路装置の製造方法。
Item 21: A method of manufacturing a semiconductor integrated circuit device according to Item 20,
The method for manufacturing a semiconductor integrated circuit device, wherein the copper has a high purity of 99.99% or more.

項22:(a)半導体基板の上層に第1絶縁膜を形成し、前記第1絶縁膜に溝を形成する工程、
(b)前記第1絶縁膜上に第1導電膜を堆積し、前記溝を埋め込む第2導電膜を形成する工程、
(c)前記溝以外の前記第1絶縁膜上の前記第2導電膜および第1導電膜を研磨により除去し、前記溝内に配線を形成する工程、
(d)前記第1絶縁膜および配線の表面にプラズマを用いて還元処理および窒化処理を施す工程、
(e)前記第1絶縁膜および配線上に第2絶縁膜を堆積する工程、
を含むことを特徴とする半導体集積回路装置の製造方法。
Item 22: (a) forming a first insulating film on an upper layer of a semiconductor substrate and forming a groove in the first insulating film;
(B) depositing a first conductive film on the first insulating film and forming a second conductive film filling the groove;
(C) removing the second conductive film and the first conductive film on the first insulating film other than the groove by polishing, and forming a wiring in the groove;
(D) performing a reduction treatment and a nitriding treatment using plasma on the surfaces of the first insulating film and the wiring;
(E) depositing a second insulating film on the first insulating film and the wiring;
A method for manufacturing a semiconductor integrated circuit device, comprising:

項23:項22記載の半導体集積回路装置の製造方法であって、
前記プラズマは、アンモニア(NH)、またはアンモニアと希釈ガスとの混合ガスのプラズマであり、前記希釈ガスは、水素(H)、窒素(N)、アルゴン(Ar)、ヘリウム(He)から選択された単一または複数のガスであることを特徴とする半導体集積回路装置の製造方法。
Item 23: A method of manufacturing a semiconductor integrated circuit device according to Item 22,
The plasma is a plasma of ammonia (NH 3 ) or a mixed gas of ammonia and a diluent gas, and the diluent gas is hydrogen (H 2 ), nitrogen (N 2 ), argon (Ar), helium (He). A method of manufacturing a semiconductor integrated circuit device, wherein the gas is a single gas or a plurality of gases selected from the group consisting of:

項24:半導体基板の上層に形成された第1絶縁膜と、前記第1絶縁膜よりさらに上層に形成された不純物の侵入を防止する保護膜と、を有する半導体集積回路装置の製造方法であって、
(a)前記保護膜に含まれるシリコン酸化膜の誘電率より低い誘電率を有する第1絶縁膜を形成する工程、
(b)前記第1絶縁膜に溝または孔を形成する工程、
(c)前記第1絶縁膜の露出面を還元性雰囲気のプラズマにより処理する工程、
(d)前記溝または孔の内壁を含む表面を覆う第1導電膜を堆積し、前記溝または孔を埋め込む第2導電膜を形成する工程、
(e)前記溝または孔以外の前記第2導電膜および第1導電膜を研磨により除去し、前記溝または孔内に導電部材を形成する工程、
を含むことを特徴とする半導体集積回路装置の製造方法。
Item 24: A method for manufacturing a semiconductor integrated circuit device, comprising: a first insulating film formed on an upper layer of a semiconductor substrate; and a protective film formed on an upper layer of the first insulating film to prevent intrusion of impurities. And
(A) forming a first insulating film having a dielectric constant lower than that of the silicon oxide film included in the protective film;
(B) forming a groove or a hole in the first insulating film;
(C) treating the exposed surface of the first insulating film with plasma in a reducing atmosphere;
(D) depositing a first conductive film covering a surface including an inner wall of the groove or hole, and forming a second conductive film filling the groove or hole;
(E) removing the second conductive film and the first conductive film other than the groove or hole by polishing, and forming a conductive member in the groove or hole;
A method for manufacturing a semiconductor integrated circuit device, comprising:

項25:項24記載の半導体集積回路装置の製造方法であって、
前記還元性雰囲気のプラズマは、アンモニア(NH)、水素(H)、または、これらのガスと窒素(N)、アルゴン(Ar)、ヘリウム(He)から選択された単一または複数のガスとの混合ガスのプラズマであることを特徴とする半導体集積回路装置の製造方法。
Item 25: A method of manufacturing a semiconductor integrated circuit device according to Item 24,
The reducing atmosphere plasma may be ammonia (NH 3 ), hydrogen (H 2 ), or one or more of these gases selected from nitrogen (N 2 ), argon (Ar), and helium (He). A method for manufacturing a semiconductor integrated circuit device, wherein the plasma is a mixed gas with a gas.

項26:項25記載の半導体集積回路装置の製造方法であって、
前記第1絶縁膜の上面に第2絶縁膜を形成し、前記(b)工程において前記第1および第2絶縁膜に溝または孔を形成し、前記(c)工程において前記溝または孔の内壁に露出した前記第1絶縁膜の露出面を還元性雰囲気のプラズマにより処理することを特徴とする半導体集積回路装置の製造方法。
Item 26: A method of manufacturing a semiconductor integrated circuit device according to Item 25,
A second insulating film is formed on the upper surface of the first insulating film, grooves or holes are formed in the first and second insulating films in the step (b), and inner walls of the grooves or holes are formed in the step (c). A method of manufacturing a semiconductor integrated circuit device, comprising: treating an exposed surface of the first insulating film exposed to the surface with plasma in a reducing atmosphere.

項27:半導体基板の上層に形成された第1絶縁膜と、前記第1絶縁膜の溝内に埋め込んで形成された配線と、前記第1絶縁膜および配線上に形成された第2絶縁膜とを有する半導体集積回路装置であって、
前記第1絶縁膜および配線と前記第2絶縁膜との界面には窒化膜が形成されていることを特徴とする半導体集積回路装置。
Item 27: A first insulating film formed in an upper layer of a semiconductor substrate, a wiring formed by being embedded in a groove of the first insulating film, and a second insulating film formed on the first insulating film and the wiring A semiconductor integrated circuit device comprising:
A semiconductor integrated circuit device, wherein a nitride film is formed at an interface between the first insulating film and wiring and the second insulating film.

項28:項27記載の半導体集積回路装置であって、
前記第1絶縁膜はシリコン酸化膜であり、前記配線は銅であり、前記第2絶縁膜はシリコン窒化膜であることを特徴とする半導体集積回路装置。
Item 28: The semiconductor integrated circuit device according to Item 27,
The semiconductor integrated circuit device according to claim 1, wherein the first insulating film is a silicon oxide film, the wiring is copper, and the second insulating film is a silicon nitride film.

項29:項28記載の半導体集積回路装置であって、
前記窒化膜は、その膜中の窒素濃度が、前記第1絶縁膜および配線側から前記第2絶縁膜側に進むに従って高くなることを特徴とする半導体集積回路装置。
Item 29: The semiconductor integrated circuit device according to Item 28,
The semiconductor integrated circuit device according to claim 1, wherein the nitride film has a nitrogen concentration that increases from the first insulating film and wiring side toward the second insulating film side.

項30:項1記載の半導体集積回路装置の製造方法であって、
前記(d)工程の終了後、前記半導体基板を大気雰囲気に曝すことなく減圧または不活性状態を維持し、前記第1絶縁膜および配線上に、前記(e)工程の前記第2絶縁膜を連続的に堆積することを特徴とする半導体集積回路装置の製造方法。
Item 30: A method of manufacturing a semiconductor integrated circuit device according to Item 1,
After the completion of the step (d), the semiconductor substrate is maintained in a reduced pressure or inactive state without being exposed to an air atmosphere, and the second insulating film in the step (e) is formed on the first insulating film and the wiring. A method of manufacturing a semiconductor integrated circuit device, wherein the semiconductor integrated circuit device is continuously deposited.

以下、本願において、使用する用語の一般的意味について説明する。   Hereinafter, general meanings of terms used in the present application will be described.

TDDB寿命とは、所定の温度(たとえば140℃)の測定条件下で電極間に比較的高い電圧を加え、電圧印加から絶縁破壊までの時間を印加電界に対してプロットしたグラフを作成し、このグラフから実際の使用電界強度(たとえば0.2MV/cm)に外挿して求めた時間(寿命)をいう。図56は、本願のTDDB寿命測定に使用した試料を示し、(a)は平面図、(b)および(c)は(a)におけるB−B’線断面およびC−C’線断面を各々示す。この試料は実際にはウェハのTEG(Test Equipment Group)領域に形成できる。図示するように一対の櫛形配線Lを第2配線層M2に形成し、最上層のパットP1,P2に各々接続する。この櫛形配線L間に電界が印加され電流が測定される。パットP1,P2は測定端子である。櫛形配線Lの配線幅、配線間隔、配線厚さは何れも0.5μmである。また配線対向長は1.58×10μmとした。図57は、測定の概要を示した概念図である。試料は測定ステージSに保持され、パッドP1,P2間に電流電圧測定器(I/V測定器)を接続する。試料ステージSはヒータHで加熱され試料温度が140℃に調整される。図58は電流電圧測定結果の一例である。試料温度140℃、電界強度5MV/cmの場合を例示した。TDDB寿命測定には定電圧ストレス法と低電流ストレス法とがあるが、本願では絶縁膜に印加される平均電界が一定となる定電圧ストレス法を用いている。電圧印加の後、時間の経過とともに電流密度は減少し、その後急激な電流増加(絶縁破壊)が観測される。ここでは、リーク電流密度が1μA/cmに達した時間をTDDB寿命(5MV/cmにおけるTDDB寿命)とした。なお、本願において、TDDB寿命とは、特に言及しない限り0.2MV/cmにおける破壊時間(寿命)をいうが、広義には所定の電界強度に言及したうえで破壊までの時間としてTDDB寿命の語を用いる場合もある。また、特に言及しない限り、TDDB寿命は、試料温度140℃の場合をいう。なお、TDDB寿命は前記の櫛形配線Lで測定した場合をいうが、実際の配線間の破壊寿命を反映することはいうまでもない。 The TDDB life is a graph in which a relatively high voltage is applied between electrodes under measurement conditions of a predetermined temperature (for example, 140 ° C.), and the time from voltage application to dielectric breakdown is plotted against the applied electric field. The time (life) obtained by extrapolating from the graph to the actual electric field strength used (for example, 0.2 MV / cm). FIG. 56 shows a sample used for the TDDB lifetime measurement of the present application, in which (a) is a plan view, and (b) and (c) are a BB ′ line cross section and a CC ′ line cross section in (a), respectively. Show. This sample can actually be formed in a TEG (Test Equipment Group) region of the wafer. As shown in the drawing, a pair of comb-shaped wirings L is formed in the second wiring layer M2 and connected to the uppermost pads P1 and P2. An electric field is applied between the comb-shaped wires L, and a current is measured. The pads P1 and P2 are measurement terminals. The wiring width, the wiring interval, and the wiring thickness of the comb-shaped wiring L are all 0.5 μm. The wiring facing length was 1.58 × 10 5 μm. FIG. 57 is a conceptual diagram showing an outline of measurement. The sample is held on the measurement stage S, and a current / voltage measuring device (I / V measuring device) is connected between the pads P1 and P2. The sample stage S is heated by the heater H, and the sample temperature is adjusted to 140 ° C. FIG. 58 shows an example of the current-voltage measurement result. A case where the sample temperature is 140 ° C. and the electric field strength is 5 MV / cm is illustrated. The TDDB lifetime measurement includes a constant voltage stress method and a low current stress method. In this application, the constant voltage stress method is used in which the average electric field applied to the insulating film is constant. After voltage application, the current density decreases with time, and then a rapid current increase (dielectric breakdown) is observed. Here, the time when the leakage current density reached 1 μA / cm 2 was defined as the TDDB life (TDDB life at 5 MV / cm). In the present application, the TDDB lifetime refers to a breakdown time (life) at 0.2 MV / cm unless otherwise specified. In a broad sense, after referring to a predetermined electric field strength, the term “TDDB lifetime” is used as the time until breakdown. May be used. Unless otherwise specified, the TDDB lifetime refers to the case where the sample temperature is 140 ° C. Although the TDDB life is measured with the comb-shaped wiring L, it is needless to say that it reflects the actual breakdown life between the wirings.

プラズマ処理とは、プラズマ状態にある環境に基板表面、あるいは、基板上に絶縁膜、金属膜等の部材が形成されている時にはその部材表面を暴露し、プラズマの化学的、機械的(ボンバードメント)作用を表面に与えて処理することをいう。一般にプラズマは特定のガス(処理ガス)に置換した反応室内に必要に応じて処理ガスを補充しつつ、高周波電界等の作用によりガスを電離させて生成するが、現実には完全に処理ガスで置換することはできない。よって、本願では、たとえばアンモニアプラズマと称しても、完全なアンモニアプラズマを意図するものではなく、そのプラズマ内に含まれる不純物ガス(窒素、酸素、二酸化炭素、水蒸気等)の存在を排除するものではない。同様に、言うまでもないことであるが、プラズマ中に他の希釈ガスや添加ガスを含むことを排除するものではない。   Plasma treatment means that the surface of a substrate or the surface of a member such as an insulating film or metal film is exposed to an environment in a plasma state and exposed to the chemical and mechanical (bombardment) of the plasma. ) Refers to the treatment by applying an action to the surface. In general, plasma is generated by ionizing a gas by the action of a high-frequency electric field while replenishing the processing gas as needed in a reaction chamber substituted with a specific gas (processing gas). It cannot be replaced. Therefore, in this application, for example, ammonia plasma is not intended to be complete ammonia plasma, but does not exclude the presence of impurity gases (nitrogen, oxygen, carbon dioxide, water vapor, etc.) contained in the plasma. Absent. Similarly, it goes without saying that the inclusion of other dilution gas or additive gas in the plasma is not excluded.

還元性雰囲気のプラズマとは、還元作用すなわち酸素を引き抜く作用を有するラジカル、イオン、原子、分子等の反応種が支配的に存在するプラズマ環境をいい、ラジカル、イオンには原子あるいは分子状のラジカルあるいはイオンが含まれる。また、環境内には単一の反応種のみならず、複数種の反応種が含まれていても良い。たとえば水素ラジカルとNHラジカルとが同時に存在する環境でもよい。 Plasma in a reducing atmosphere refers to a plasma environment in which reactive species such as radicals, ions, atoms, and molecules that have a reducing action, ie, an action of extracting oxygen, exist predominantly, and radicals and ions are atomic or molecular radicals. Alternatively, ions are included. In addition, the environment may contain not only a single reactive species but also a plurality of reactive species. For example, an environment in which hydrogen radicals and NH 2 radicals exist simultaneously may be used.

本願でたとえば銅からなると表現した場合、主成分として銅が用いられていることを意図する。すなわち、一般に高純度な銅であっても不純物が含まれることは当然であり、添加物や不純物も銅からなる部材に含まれることを排除するものではない。本願において高純度の銅からなると表現した場合には、一般的な高純度材料(たとえば4N(99.99%))程度の銅で構成されることを意図し、0.01%程度の任意の不純物が含まれることを前提にする。これは銅に限らず、その他の金属(窒化チタン等)でも同様である。   In the present application, for example, it is intended that copper is used as a main component when expressed as being made of copper. That is, it is a matter of course that impurities are contained even in high-purity copper, and it is not excluded that additives and impurities are also contained in a member made of copper. When expressed as being made of high-purity copper in the present application, it is intended to be composed of copper of a general high-purity material (for example, 4N (99.99%)), and an arbitrary amount of about 0.01% It is assumed that impurities are included. This applies not only to copper but also to other metals (such as titanium nitride).

本願でガスの濃度という場合には、質量流量における流量比を言うものとする。すなわち、ガスAとガスBとの混合ガスにおいて、ガスAの濃度が5%という時には、ガスAの質量流量をFa、ガスBの質量流量をFbとして、Fa/(Fa+Fb)=0.05のことをいう。   In the present application, the gas concentration refers to the flow rate ratio in the mass flow rate. That is, in the mixed gas of gas A and gas B, when the concentration of gas A is 5%, the mass flow rate of gas A is Fa, the mass flow rate of gas B is Fb, and Fa / (Fa + Fb) = 0.05 That means.

研磨液(スラリ)とは、一般に化学エッチング薬剤に研磨砥粒を混合した懸濁液をいい、本願においては発明の性質上、研磨砥粒が混合されていないものを含むものとする。   The polishing liquid (slurry) generally refers to a suspension in which abrasive grains are mixed with a chemical etching agent. In the present application, a slurry in which abrasive grains are not mixed is included in the nature of the invention.

砥粒(スラリ粒子)とは、一般にスラリに含まれるアルミナ、シリカなどの粉末をいう。   Abrasive grains (slurry particles) generally refer to powders such as alumina and silica contained in a slurry.

化学機械研磨(CMP)とは、一般に被研磨面を相対的に軟らかい布様のシート材料などからなる研磨パッドに接触させた状態で、スラリを供給しながら面方向に相対移動させて研磨を行うことをいい、本願においてはその他、被研磨面を硬質の砥石面に対して相対移動させることによって研磨を行うCML(Chemical Mechanical Lapping) なども含むものとする。   Chemical mechanical polishing (CMP) is generally performed by moving the surface to be polished in contact with a polishing pad made of a relatively soft cloth-like sheet material or the like while moving the surface relatively while supplying slurry. In addition, in this application, CML (Chemical Mechanical Lapping) etc. which perform grinding | polishing by moving a to-be-polished surface relatively with respect to a hard grindstone surface are included.

砥粒フリー化学機械研磨とは、一般に砥粒の重量濃度が0.5%未満のスラリを用いた化学機械研磨をいい、有砥粒化学機械研磨とは、砥粒の重量濃度が0.5%以上のスラリを用いた化学機械研磨をいう。しかし、これらは相対的なものであり、第1ステップの研磨が砥粒フリー化学機械研磨で、それに続く第2ステップの研磨が有砥粒化学機械研磨である場合、第1ステップの研磨濃度が第2ステップの研磨濃度よりも1桁以上、望ましくは2桁以上小さい場合などには、この第1ステップの研磨を砥粒フリー化学機械研磨という場合もある。   Abrasive-free chemical mechanical polishing generally refers to chemical mechanical polishing using a slurry having an abrasive weight concentration of less than 0.5%, and abrasive chemical mechanical polishing refers to an abrasive weight concentration of 0.5. This refers to chemical mechanical polishing using more than% slurry. However, these are relative, and when the polishing in the first step is abrasive-free chemical mechanical polishing and the subsequent polishing in the second step is abrasive chemical mechanical polishing, the polishing concentration in the first step is If the polishing concentration in the second step is one digit or more, preferably two digits or less, the first step polishing may be referred to as abrasive-free chemical mechanical polishing.

防食剤とは、金属の表面に耐食性のおよび/または疎水性の保護膜を形成することによって、CMPによる研磨の進行を阻止または抑制する薬剤をいい、一般にベンゾトリアゾール(BTA)などが使用される(詳しくは特開平8−64594号公報参照)。   An anticorrosive agent is an agent that prevents or suppresses the progress of polishing by CMP by forming a corrosion-resistant and / or hydrophobic protective film on a metal surface, and benzotriazole (BTA) or the like is generally used. (For details, see JP-A-8-64594).

導電性バリア層とは、一般に埋め込み配線材料を構成する原子やイオンが輸送(拡散などを含む)されて下層の素子などに悪影響を及ぼすのを防ぐためのもので、電気伝導性が絶縁膜に比べて比較的高いTiなどの金属、TiNなどの金属窒化物、導電性酸化物、導電性窒化物その他の拡散阻止性を有する導電材料からなる層をいう。   The conductive barrier layer is generally used to prevent the atoms and ions constituting the embedded wiring material from being transported (including diffusion) and adversely affecting the underlying elements. The layer is made of a relatively high metal such as Ti, a metal nitride such as TiN, a conductive oxide, a conductive nitride, or another conductive material having a diffusion barrier property.

選択的除去、選択的研磨、選択的エッチング、選択的化学機械研磨というときは、いずれも選択比が5以上のものをいう。   The terms selective removal, selective polishing, selective etching, and selective chemical mechanical polishing all refer to those having a selection ratio of 5 or more.

埋め込み配線とは、一般にシングルダマシン(single damascene)やデュアルダマシン(dual damascene)などのように、絶縁膜に形成された溝などの内部に導電膜を埋め込んだ後、絶縁膜上の不要な導電膜を除去する配線形成技術によって形成された配線をいう。   The buried wiring is generally an unnecessary conductive film on the insulating film after the conductive film is embedded in a trench formed in the insulating film, such as single damascene or dual damascene. A wiring formed by a wiring forming technique for removing the.

選択比について、「AのBに対する」(または「Bに対するAの」)選択比がXというときは、研磨レートを例にとった場合、Bに対する研磨レートを基準にしてAに対する研磨レートを計算したときにXになることをいう。   As for the selection ratio, when the selection ratio “A to B” (or “A to B”) is X, the polishing rate for A is calculated based on the polishing rate for B when the polishing rate is taken as an example. It means to become X when you do.

以下の実施の形態では、特に必要なとき以外は同一または同様な部分の説明を原則として繰り返さない。   In the following embodiments, the description of the same or similar parts will not be repeated in principle unless particularly necessary.

また、以下の実施の形態では、便宜上その必要があるときは、複数のセクションまたは実施の形態に分割して説明するが、特に明示した場合を除き、それらは互いに無関係なものではなく、一方は他方の一部または全部の変形例、詳細、補足説明などの関係にある。   Further, in the following embodiments, when it is necessary for the sake of convenience, the description will be divided into a plurality of sections or embodiments, but they are not irrelevant to each other unless otherwise specified. The other part or all of the modifications, details, supplementary explanations, and the like are related.

さらに、以下の実施の形態において、要素の数等(個数、数値、量、範囲などを含む)に言及する場合、特に明示したときおよび原理的に明らかに特定の数に限定されるときを除き、その特定の数に限定されるものではなく、特定の数以上でも以下でもよい。さらに、以下の実施の形態において、その構成要素(要素ステップ等を含む)は、特に明示した場合および原理的に明らかに必須であると考えられる場合を除き、必ずしも必須のものではないことはいうまでもない。   Furthermore, in the following embodiments, when referring to the number of elements (including the number, numerical value, quantity, range, etc.), unless otherwise specified and in principle limited to a specific number in principle. It is not limited to the specific number, and may be a specific number or more. Further, in the following embodiments, the constituent elements (including element steps and the like) are not necessarily essential unless explicitly stated and considered to be clearly essential in principle. Not too long.

同様に、以下の実施の形態において、構成要素などの形状、位置関係などに言及するときは、特に明示した場合および原理的に明らかにそうでないと考えられる場合を除き、実質的にその形状などに近似または類似するものなどを含むものとする。このことは、上記数値および範囲についても同様である。   Similarly, in the following embodiments, when referring to the shapes and positional relationships of components and the like, the shapes and the like of the components are substantially the same unless explicitly stated or otherwise apparent in principle. Including those that are approximate or similar to. The same applies to the above numerical values and ranges.

また、本願において半導体集積回路装置というときは、特に単結晶シリコン基板上に作られるものだけでなく、特にそうでない旨が明示された場合を除き、SOI(Silicon On Insulator)基板やTFT(Thin Film Transistor)液晶製造用基板などといった他の基板上に作られるものを含むものとする。また、ウエハとは半導体集積回路装置の製造に用いる単結晶シリコン基板(一般にほぼ円盤形)、SOS基板、ガラス基板その他の絶縁、半絶縁または半導体基板などやそれらを複合した基板をいう。   In addition, the term “semiconductor integrated circuit device” in the present application is not limited to a device manufactured on a single-crystal silicon substrate, but unless otherwise specified, it is particularly an SOI (Silicon On Insulator) substrate or a TFT (Thin Film). Transistors) including those made on other substrates such as liquid crystal manufacturing substrates. A wafer refers to a single crystal silicon substrate (generally substantially disk-shaped), an SOS substrate, a glass substrate, other insulating, semi-insulating or semiconductor substrates used in the manufacture of a semiconductor integrated circuit device, or a composite substrate thereof.

本願において開示される発明のうち、代表的なものによって得られる効果を簡単に説明すれば以下のとおりである。   Among the inventions disclosed in the present application, effects obtained by typical ones will be briefly described as follows.

ダマシン法を用いて形成された銅配線の絶縁破壊耐性(信頼性)を向上できる。   It is possible to improve the dielectric breakdown resistance (reliability) of the copper wiring formed by using the damascene method.

配線層とキャップ膜との剥離の発生を抑制できる。   Generation of peeling between the wiring layer and the cap film can be suppressed.

キャップ膜にシリコン窒化膜を用いた場合の銅配線の抵抗値の増加を防止できる。   When the silicon nitride film is used as the cap film, an increase in the resistance value of the copper wiring can be prevented.

以下、本発明の実施の形態を図面に基づいて詳細に説明する。なお、実施の形態を説明するための全図において、同一の部材には同一の符号を付し、その繰り返しの説明は省略する。   Hereinafter, embodiments of the present invention will be described in detail with reference to the drawings. Note that in all the drawings for describing the embodiments, the same members are denoted by the same reference numerals, and the repeated description thereof is omitted.

(実施の形態1)
本発明の実施の形態1であるCMOS−LSIの製造方法を図1〜図19を用いて工程順に説明する。
(Embodiment 1)
A method for manufacturing a CMOS-LSI according to the first embodiment of the present invention will be described in the order of steps with reference to FIGS.

まず、図1に示すように、例えば1〜10Ωcm程度の比抵抗を有するp型の単結晶シリコンからなる半導体基板(以下、基板という)1に深さ350nm程度の素子分離溝2をフォトリソグラフィとドライエッチングを用いて形成した後、溝の内部を含む基板1上にCVD法でシリコン酸化膜3を堆積する。続いて溝の上部のシリコン酸化膜3を化学機械研磨(CMP)によってその表面を平坦化する。その後、基板1にp型不純物(ホウ素)およびn型不純物(例えばリン)をイオン打ち込みすることによって、p型ウエル4およびn型ウエル5を形成した後、基板1をスチーム酸化することによって、p型ウエル4およびn型ウエル5の表面に膜厚6nm程度のゲート酸化膜6を形成する。   First, as shown in FIG. 1, for example, an element isolation trench 2 having a depth of about 350 nm is formed on a semiconductor substrate (hereinafter referred to as a substrate) 1 made of p-type single crystal silicon having a specific resistance of about 1 to 10 Ωcm. After forming using dry etching, a silicon oxide film 3 is deposited by CVD on the substrate 1 including the inside of the trench. Subsequently, the surface of the silicon oxide film 3 above the groove is planarized by chemical mechanical polishing (CMP). Thereafter, p-type well 4 and n-type well 5 are formed by ion implantation of p-type impurity (boron) and n-type impurity (for example, phosphorus) into substrate 1, and then substrate 1 is steam oxidized to form p A gate oxide film 6 having a thickness of about 6 nm is formed on the surface of the mold well 4 and the n-type well 5.

次に、図2に示すように、ゲート酸化膜6の上部に、低抵抗多結晶シリコン膜、WN(窒化タングステン)膜およびW(タングステン)膜からなるゲート電極7を形成する。多結晶シリコン膜はCVD法により、WN膜およびW膜はスパッタ法により形成できる。ゲート電極7は、これら堆積膜のパターニングにより形成する。ゲート電極7は、低抵抗多結晶シリコン膜とWシリサイド膜との積層膜などを使って形成してもよい。その後、イオン打ち込みすることによって、p型ウエル4に低不純物濃度のn型半導体領域11を、n型ウエル5に低不純物濃度のp型半導体領域12を形成する。 Next, as shown in FIG. 2, a gate electrode 7 made of a low resistance polycrystalline silicon film, a WN (tungsten nitride) film and a W (tungsten) film is formed on the gate oxide film 6. The polycrystalline silicon film can be formed by CVD, and the WN film and W film can be formed by sputtering. The gate electrode 7 is formed by patterning these deposited films. The gate electrode 7 may be formed using a laminated film of a low resistance polycrystalline silicon film and a W silicide film. Thereafter, ion implantation is performed to form a low impurity concentration n type semiconductor region 11 in the p type well 4 and a low impurity concentration p type semiconductor region 12 in the n type well 5.

次に、図3に示すように、たとえばシリコン窒化膜をCVD法で堆積し、これを異方的にエッチングすることによって、ゲート電極7の側壁にサイドウォールスペーサ13を形成する。この後、イオン打ち込みすることによって、p型ウエル4に高不純物濃度のn型半導体領域14(ソース、ドレイン)を形成し、n型ウエル5に高不純物濃度のp型半導体領域15(ソース、ドレイン)を形成する。なお、n型不純物にはリンまたはヒ素を、p型不純物にはボロンを例示できる。その後、チタン、コバルト等の金属膜を堆積し、熱処理の後に未反応の金属膜を除去するいわゆるサリサイド法を用いて、n型半導体領域14(ソース、ドレイン)の表面およびp型半導体領域15(ソース、ドレイン)の表面にシリサイド層9を形成する。ここまでの工程で、nチャネル型MISFETQnおよびpチャネル型MISFETQpが完成する。 Next, as shown in FIG. 3, for example, a silicon nitride film is deposited by the CVD method, and this is anisotropically etched to form sidewall spacers 13 on the side walls of the gate electrode 7. Thereafter, ion implantation is performed to form a high impurity concentration n + type semiconductor region 14 (source, drain) in the p type well 4 and a high impurity concentration p + type semiconductor region 15 (source) in the n type well 5. , Drain). An example of the n-type impurity is phosphorus or arsenic, and an example of the p-type impurity is boron. Thereafter, a surface of the n + type semiconductor region 14 (source, drain) and the p + type semiconductor region are deposited using a so-called salicide method in which a metal film such as titanium or cobalt is deposited and an unreacted metal film is removed after the heat treatment. A silicide layer 9 is formed on the surface of 15 (source, drain). The n-channel type MISFET Qn and the p-channel type MISFET Qp are completed through the steps so far.

次に、図4に示すように、基板1上にCVD法でシリコン酸化膜18を堆積し、続いてフォトレジスト膜をマスクにしてシリコン酸化膜18をドライエッチングすることにより、n型半導体領域14(ソース、ドレイン)の上部にコンタクトホール20を形成し、p型半導体領域15(ソース、ドレイン)の上部にコンタクトホール21を形成する。またこのとき、ゲート電極7の上部にもコンタクトホール22を形成する。 Next, as shown in FIG. 4, a silicon oxide film 18 is deposited on the substrate 1 by the CVD method, and then the silicon oxide film 18 is dry-etched using the photoresist film as a mask, thereby forming an n + type semiconductor region. A contact hole 20 is formed above 14 (source, drain), and a contact hole 21 is formed above p + -type semiconductor region 15 (source, drain). At this time, a contact hole 22 is also formed on the gate electrode 7.

シリコン酸化膜18は、ゲート電極7、7の狭いスペースを埋め込むことのできるリフロー性の高い膜、例えばBPSG(Boron-doped Phospho Silicate Glass)膜で構成する。また、スピン塗布法によって形成されるSOG(Spin On Glass) 膜で構成してもよい。   The silicon oxide film 18 is formed of a highly reflowable film that can embed a narrow space between the gate electrodes 7 and 7, for example, a BPSG (Boron-doped Phospho Silicate Glass) film. Moreover, you may comprise with the SOG (Spin On Glass) film | membrane formed by a spin coating method.

次に、コンタクトホール20、21、22の内部にプラグ23を形成する。プラグ23を形成するには、例えばコンタクトホール20、21、22の内部を含むシリコン酸化膜18の上部にCVD法でTiN膜およびW膜を堆積した後、シリコン酸化膜18の上部の不要なTiN膜およびW膜を化学機械研磨(CMP)法またはエッチバック法によって除去し、コンタクトホール20、21、22の内部のみにこれらの膜を残す。   Next, plugs 23 are formed inside the contact holes 20, 21, 22. In order to form the plug 23, for example, after depositing a TiN film and a W film on the silicon oxide film 18 including the insides of the contact holes 20, 21, and 22 by the CVD method, unnecessary TiN on the silicon oxide film 18 is formed. The film and the W film are removed by a chemical mechanical polishing (CMP) method or an etch back method, and these films are left only inside the contact holes 20, 21, and 22.

次に、図5に示すように、シリコン酸化膜18の上部に第1層目の配線となるW配線24〜30を形成する。W配線24〜30を形成するには、例えばシリコン酸化膜18の上部にスパッタリング法でW膜を堆積した後、フォトレジスト膜をマスクにしてこのW膜をドライエッチングする。第1層目のW配線24〜30は、コンタクトホール20、21、22を通じてnチャネル型MISFETQnのソース、ドレイン(n型半導体領域)、pチャネル型MISFETQpのソース、ドレイン(p型半導体領域)あるいはゲート電極7と電気的に接続される。 Next, as shown in FIG. 5, W wirings 24 to 30 serving as first-layer wirings are formed on the silicon oxide film 18. In order to form the W wirings 24 to 30, for example, a W film is deposited on the silicon oxide film 18 by a sputtering method, and then this W film is dry-etched using the photoresist film as a mask. The W wirings 24 to 30 of the first layer are connected to the source and drain (n + type semiconductor region) of the n channel type MISFET Qn and the source and drain (p + type semiconductor region) of the p channel type MISFET Qp through the contact holes 20, 21 and 22. ) Or the gate electrode 7 is electrically connected.

次に、図6(a)、(b)に示すように、第1層目のW配線24〜30の上部にシリコン酸化膜31を堆積し、続いてフォトレジスト膜をマスクにしたドライエッチングでシリコン酸化膜31にスルーホール32〜36を形成した後、スルーホール32〜36の内部にプラグ37を形成する。   Next, as shown in FIGS. 6A and 6B, a silicon oxide film 31 is deposited on top of the first-layer W wirings 24 to 30, followed by dry etching using the photoresist film as a mask. After through holes 32 to 36 are formed in the silicon oxide film 31, plugs 37 are formed inside the through holes 32 to 36.

シリコン酸化膜31は、例えばオゾン(または酸素)とテトラエトキシシラン(TEOS)とをソースガスに用いたプラズマCVD法で堆積する。また、プラグ37は、例えばW膜で構成し、前記コンタクトホール20、21、22の内部にプラグ23を形成した方法と同じ方法で形成する。   The silicon oxide film 31 is deposited by plasma CVD using, for example, ozone (or oxygen) and tetraethoxysilane (TEOS) as a source gas. The plug 37 is made of, for example, a W film, and is formed by the same method as the method of forming the plug 23 in the contact holes 20, 21, 22.

次に、図7(a)、(b)に示すように、シリコン酸化膜31の上部にプラズマCVD法で膜厚50nm程度の薄いシリコン窒化膜38を堆積し、続いてシリコン窒化膜38の上部にプラズマCVD法で膜厚450nm程度のシリコン酸化膜39を堆積する。その後、フォトレジスト膜をマスクにしたドライエッチングでスルーホール32〜36の上部のシリコン酸化膜39およびシリコン窒化膜38を除去し、配線溝40〜44を形成する。   Next, as shown in FIGS. 7A and 7B, a thin silicon nitride film 38 having a thickness of about 50 nm is deposited on the silicon oxide film 31 by plasma CVD, and then the upper portion of the silicon nitride film 38 is formed. A silicon oxide film 39 having a thickness of about 450 nm is deposited by plasma CVD. Thereafter, the silicon oxide film 39 and the silicon nitride film 38 above the through holes 32 to 36 are removed by dry etching using the photoresist film as a mask, and wiring grooves 40 to 44 are formed.

配線溝40〜44を形成するには、まずシリコン窒化膜38をエッチングストッパにしてシリコン酸化膜39を選択的にエッチングし、その後、シリコン窒化膜38をエッチングする。このように、配線溝40〜44が形成されるシリコン酸化膜39の下層に薄いシリコン窒化膜38を形成しておき、このシリコン窒化膜38の表面でエッチングを一旦停止した後、シリコン窒化膜38をエッチングすることにより、配線溝40〜44の深さを精度良く制御することができる。   In order to form the wiring grooves 40 to 44, first, the silicon oxide film 39 is selectively etched using the silicon nitride film 38 as an etching stopper, and then the silicon nitride film 38 is etched. In this way, a thin silicon nitride film 38 is formed below the silicon oxide film 39 in which the wiring grooves 40 to 44 are formed. After the etching is temporarily stopped on the surface of the silicon nitride film 38, the silicon nitride film 38 is formed. The depth of the wiring grooves 40 to 44 can be controlled with high accuracy by etching.

次に、上記配線溝40〜44の内部に以下のような方法で第2層目の配線となる埋め込みCu配線を形成する。   Next, a buried Cu wiring serving as a second-layer wiring is formed in the wiring grooves 40 to 44 by the following method.

まず、図8に示すように、配線溝40〜44の内部を含むシリコン酸化膜39の上部にスパッタリング法で膜厚50nm程度の薄いTiN(窒化チタン)膜45を堆積した後、TiN膜45の上部に配線溝40〜44の深さよりも十分に厚い膜厚(例えば800nm程度)のCu膜46をスパッタリング法で堆積する。続いて、475℃程度の非酸化性雰囲気(例えば水素雰囲気)中で基板1を熱処理することによってCu膜46をリフローさせ、配線溝40〜44の内部に隙間なくCu膜46を埋め込む。   First, as shown in FIG. 8, a thin TiN (titanium nitride) film 45 having a thickness of about 50 nm is deposited on the silicon oxide film 39 including the inside of the wiring grooves 40 to 44 by sputtering, and then the TiN film 45 is formed. A Cu film 46 having a thickness sufficiently thicker than the depth of the wiring grooves 40 to 44 (for example, about 800 nm) is deposited on the upper portion by a sputtering method. Subsequently, the Cu film 46 is reflowed by heat-treating the substrate 1 in a non-oxidizing atmosphere (for example, hydrogen atmosphere) at about 475 ° C., and the Cu film 46 is embedded in the wiring grooves 40 to 44 without any gaps.

なお、ここでは、スパッタ法によるCu膜46とその後のリフローによる埋め込みを説明したが、薄いCu膜をスパッタ法により形成し、その後メッキ法でCu膜46に相当するCu膜を形成しても良い。   Here, the Cu film 46 by sputtering and subsequent reflow embedding have been described. However, a thin Cu film may be formed by sputtering, and then a Cu film corresponding to the Cu film 46 may be formed by plating. .

Cuはシリコン酸化膜中に拡散し易いという性質があるために、配線溝40〜44の内部にCu配線を形成した場合、シリコン酸化膜39中にCuが拡散し、配線間の短絡や、シリコン酸化膜39の誘電率上昇による配線間寄生容量の増加を引き起こす。また、Cuは酸化シリコンなどの絶縁材料に対する密着性が乏しいという性質があるために、シリコン酸化膜39との界面で剥離を引き起こし易い。   Since Cu has the property of easily diffusing into the silicon oxide film, when Cu wiring is formed inside the wiring trenches 40 to 44, Cu diffuses into the silicon oxide film 39, short circuiting between wirings, silicon The increase in the dielectric constant of the oxide film 39 causes an increase in inter-wiring parasitic capacitance. In addition, Cu has a property of poor adhesion to an insulating material such as silicon oxide, and therefore easily causes peeling at the interface with the silicon oxide film 39.

従って、配線溝40〜44の内部にCu配線を形成する場合は、シリコン酸化膜39とCu膜46との間にCuの拡散を抑制し、かつ絶縁材料に対する密着性が高いバリア層を設ける必要がある。さらに、上記のようなリフロー・スパッタリング法で配線溝40〜44の内部にCu膜46を埋め込む場合には、リフロー時にCu膜46の濡れ性を向上させる性質もバリア層に要求される。   Therefore, when Cu wiring is formed inside the wiring grooves 40 to 44, it is necessary to provide a barrier layer that suppresses Cu diffusion and has high adhesion to the insulating material between the silicon oxide film 39 and the Cu film 46. There is. Further, when the Cu film 46 is embedded in the wiring grooves 40 to 44 by the reflow sputtering method as described above, the barrier layer is also required to improve the wettability of the Cu film 46 during reflow.

Cuと殆ど反応しない上記TiNや、WN、TaN(窒化タンタル)などの高融点金属窒化物は、このようなバリア層として好適な材料である。また、高融点金属窒化物にSi(シリコン)を添加した材料や、Cuと反応し難いTa、Ti、W、TiW合金などの高融点金属もバリア層として用いることができる。   The refractory metal nitrides such as TiN, WN, and TaN (tantalum nitride) that hardly react with Cu are suitable materials for such a barrier layer. Further, a material obtained by adding Si (silicon) to a refractory metal nitride, or a refractory metal such as Ta, Ti, W, TiW alloy which does not easily react with Cu can be used as the barrier layer.

また、以下で説明するCu配線の形成方法は、高純度のCu膜を使ってCu配線を形成する場合のみならず、Cuを主成分とする合金膜を使ってCu配線を形成する場合にも適用することができる。   The Cu wiring forming method described below is not only for forming Cu wiring using a high-purity Cu film but also for forming Cu wiring using an alloy film containing Cu as a main component. Can be applied.

図9は、上記Cu膜46の研磨に用いる枚葉式のCMP装置100を示す概略図である。このCMP装置100は、表面にCu膜46が形成された基板1を複数枚収容するローダ120、Cu膜46を研磨、平坦化する研磨処理部130、研磨が終了した基板1の表面に防蝕処理を施す防蝕処理部140、防蝕処理が終了した基板1を後洗浄するまでの間、その表面が乾燥しないように維持しておく浸漬処理部150、防蝕処理が終了した基板1を後洗浄する後洗浄処理部160および後洗浄が終了した基板1を複数枚収容するアンローダ170を備えている。   FIG. 9 is a schematic view showing a single wafer type CMP apparatus 100 used for polishing the Cu film 46. The CMP apparatus 100 includes a loader 120 that accommodates a plurality of substrates 1 having a Cu film 46 formed on the surface, a polishing processing unit 130 that polishes and planarizes the Cu film 46, and a corrosion-resistant treatment on the surface of the substrate 1 that has been polished. The anticorrosion treatment unit 140 for applying the anti-corrosion treatment, the immersion treatment unit 150 for keeping the surface of the substrate 1 from being dried until the post-cleaning of the substrate 1 after the anti-corrosion treatment, and the post-cleaning of the substrate 1 after the anti-corrosion treatment A cleaning processing unit 160 and an unloader 170 for storing a plurality of substrates 1 that have been post-cleaned are provided.

図10に示すように、CMP装置100の研磨処理部130は、上部が開口された筐体101を有しており、この筐体101に取り付けられた回転軸102の上端部には、モータ103によって回転駆動される研磨盤(プラテン)104が取り付けられている。この研磨盤104の表面には、多数の気孔を有する合成樹脂を均一に貼り付けて形成した研磨パッド105が取り付けられている。   As shown in FIG. 10, the polishing processing unit 130 of the CMP apparatus 100 includes a housing 101 having an upper opening, and a motor 103 is disposed at the upper end of the rotating shaft 102 attached to the housing 101. A polishing disk (platen) 104 that is driven by rotation is attached. A polishing pad 105 formed by evenly attaching a synthetic resin having a large number of pores is attached to the surface of the polishing board 104.

また、この研磨処理部130は、基板1を保持するためのウエハキャリア106を備えている。ウエハキャリア106を取り付けた駆動軸107は、ウエハキャリア106と一体となってモータ(図示せず)により回転駆動され、かつ研磨盤104の上方で上下動されるようになっている。   In addition, the polishing processing unit 130 includes a wafer carrier 106 for holding the substrate 1. The drive shaft 107 to which the wafer carrier 106 is attached is rotated and driven integrally with the wafer carrier 106 by a motor (not shown), and is moved up and down above the polishing board 104.

基板1は、ウエハキャリア106に設けられた真空吸着機構(図示せず)により、その主面すなわち被研磨面を下向きとしてウエハキャリア106に保持される。ウエハキャリア106の下端部には、基板1が収容される凹部106aが形成されており、この凹部106a内に基板1を収容すると、その被研磨面がウエハキャリア106の下端面とほぼ同一か僅かに突出した状態となる。   The substrate 1 is held on the wafer carrier 106 by a vacuum suction mechanism (not shown) provided on the wafer carrier 106 with its main surface, that is, the surface to be polished facing downward. A concave portion 106 a for accommodating the substrate 1 is formed at the lower end portion of the wafer carrier 106. When the substrate 1 is accommodated in the concave portion 106 a, the surface to be polished is almost the same as the lower end surface of the wafer carrier 106 or slightly. It will be in the state of protruding.

研磨盤104の上方には、研磨パッド105の表面と基板1の被研磨面との間に研磨スラリ(S)を供給するためのスラリ供給管108が設けられており、その下端から供給される研磨スラリ(S)によって基板1の被研磨面が化学的および機械的に研磨される。研磨スラリ(S)としては、例えばアルミナなどの砥粒と過酸化水素水または硝酸第二鉄水溶液などの酸化剤とを主成分とし、これらを水に分散または溶解させたものが使用される。   Above the polishing board 104, a slurry supply pipe 108 for supplying a polishing slurry (S) is provided between the surface of the polishing pad 105 and the surface to be polished of the substrate 1, and supplied from the lower end thereof. The surface to be polished of the substrate 1 is chemically and mechanically polished by the polishing slurry (S). As the polishing slurry (S), for example, an abrasive such as alumina and an oxidizing agent such as aqueous hydrogen peroxide or aqueous ferric nitrate and the like dispersed or dissolved in water are used.

また、この研磨処理部130は、研磨パッド105の表面を整形(ドレッシング)するための工具であるドレッサ109を備えている。このドレッサ109は、研磨盤104の上方で上下動する駆動軸110の下端部に取り付けられ、モータ(図示せず)により回転駆動されるようになっている。   Further, the polishing processing unit 130 includes a dresser 109 that is a tool for shaping (dressing) the surface of the polishing pad 105. The dresser 109 is attached to a lower end portion of a drive shaft 110 that moves up and down above the polishing board 104 and is driven to rotate by a motor (not shown).

研磨が終了した基板1は、防蝕処理部140において、その表面に防蝕処理が施される。防蝕処理部140は、上記した研磨処理部130の構成と類似した構成になっており、ここでは、まず研磨盤(プラテン)の表面に取り付けた研磨パッドに基板1の主面が押し付けられて研磨スラリが機械的に除去された後、例えばベンゾトリアゾール(BTA)などの防蝕剤を含んだ薬液が基板1の主面に供給されることによって、基板1の主面に形成されたCu配線の表面部分に疎水性保護膜が形成される。   The substrate 1 that has been polished is subjected to a corrosion prevention treatment on the surface thereof in the corrosion prevention treatment unit 140. The anticorrosion processing unit 140 has a configuration similar to the configuration of the above-described polishing processing unit 130. Here, the main surface of the substrate 1 is first pressed against a polishing pad attached to the surface of a polishing board (platen) for polishing. After the slurry is mechanically removed, a surface of the Cu wiring formed on the main surface of the substrate 1 is supplied by supplying a chemical solution containing a corrosion inhibitor such as benzotriazole (BTA) to the main surface of the substrate 1. A hydrophobic protective film is formed on the portion.

研磨スラリの機械的洗浄(前洗浄)は、例えば図11に示すように、水平面内で回転させた基板1の両面をPVA(ポリビニルアルコール)のような合成樹脂の多孔質体からなる円筒状のブラシ121A、121Bで挟み、ブラシ121A、121Bを基板1の面に対して垂直な面内で回転しながら基板1の両面を同時に洗浄する。また、前洗浄後の防蝕処理に際しては、必要に応じて純水スクラブ洗浄、純水超音波洗浄、純水流水洗浄または純水スピン洗浄などを防蝕処理に先行または並行して行うことにより、研磨処理部130で基板1の主面に付着した研磨スラリ中の酸化剤を十分に除去し、酸化剤が実質的に作用しない条件下で疎水性の保護膜を形成するようにする。   For example, as shown in FIG. 11, mechanical polishing (pre-cleaning) of the polishing slurry is a cylindrical shape made of a porous body of a synthetic resin such as PVA (polyvinyl alcohol) on both sides of the substrate 1 rotated in a horizontal plane. The both surfaces of the substrate 1 are simultaneously cleaned while being sandwiched between the brushes 121A and 121B and rotating the brushes 121A and 121B in a plane perpendicular to the surface of the substrate 1. In addition, in the anticorrosion treatment after the pre-cleaning, polishing is performed by performing pure water scrub cleaning, pure water ultrasonic cleaning, pure water running water cleaning or pure water spin cleaning, etc. in advance or in parallel with the anticorrosion treatment as necessary. The processing unit 130 sufficiently removes the oxidizing agent in the polishing slurry adhering to the main surface of the substrate 1, and forms a hydrophobic protective film under conditions where the oxidizing agent does not substantially act.

防蝕処理が終了した基板1は、その表面の乾燥を防ぐために、浸漬処理部150に一時的に保管される。浸漬処理部150は、防蝕処理が終了した基板1を後洗浄するまでの間、その表面が乾燥しないように維持するためのもので、例えば純水をオーバーフローさせた浸漬槽(ストッカ)の中に所定枚数の基板1を浸漬させて保管する構造になっている。このとき、Cu配線28〜30の電気化学的腐蝕反応が実質的に進行しない程度の低温に冷却した純水を浸漬槽に供給することにより、Cu配線28〜30の腐蝕をより一層確実に防止することができる。   The substrate 1 that has been subjected to the anticorrosion treatment is temporarily stored in the immersion treatment unit 150 in order to prevent the surface from drying. The immersion treatment unit 150 is for maintaining the surface of the substrate 1 after the anticorrosion treatment is finished so that the substrate 1 is not dried. For example, in the immersion tank (stocker) in which pure water is overflowed. A predetermined number of substrates 1 are immersed and stored. At this time, corrosion of the Cu wirings 28 to 30 is more reliably prevented by supplying pure water cooled to such a low temperature that the electrochemical corrosion reaction of the Cu wirings 28 to 30 does not substantially proceed. can do.

基板1の乾燥防止は、例えば純水シャワーの供給など、少なくとも基板1の表面を湿潤状態に保持することのできる方法であれば、上記した浸漬槽中での保管以外の方法で行ってもよい。   Prevention of drying of the substrate 1 may be performed by a method other than storage in the immersion bath as long as at least the surface of the substrate 1 can be maintained in a wet state, such as supply of a pure water shower. .

後洗浄処理部160へ搬送された基板1は、その表面の湿潤状態が保たれた状態で直ちに後洗浄に付される。ここでは、酸化剤を中和するためにNHOHを含む洗浄液などの弱アルカリ薬液を供給しながら、基板1の表面をスクラブ洗浄(またはブラシ洗浄)した後、フッ酸水溶液を基板1の表面に供給してエッチングによる異物粒子(パーティクル)の除去を行う。また、上記のスクラブ洗浄に先行または並行して、基板1の表面を純水スクラブ洗浄、純水超音波洗浄、純水流水洗浄または純水スピン洗浄したり、基板1の裏面を純水スクラブ洗浄したりしてもよい。 The substrate 1 transported to the post-cleaning processing unit 160 is immediately subjected to post-cleaning in a state where the surface of the substrate 1 is kept wet. Here, the surface of the substrate 1 is scrubbed (or brush cleaned) while supplying a weak alkaline chemical solution such as a cleaning solution containing NH 4 OH to neutralize the oxidizing agent, and then the hydrofluoric acid aqueous solution is added to the surface of the substrate 1. To remove foreign particles by etching. Prior to or in parallel with the scrub cleaning described above, the surface of the substrate 1 is subjected to pure water scrub cleaning, pure water ultrasonic cleaning, pure water running water cleaning or pure water spin cleaning, and the back surface of the substrate 1 is subjected to pure water scrub cleaning. You may do it.

上記後洗浄処理が終了した基板1は、純水リンスおよびスピンドライの後、乾燥した状態でアンローダ170に収容され、複数枚単位で一括して次工程へ搬送される。   The substrate 1 that has been subjected to the post-cleaning process is rinsed with pure water and spin-dried, then accommodated in the unloader 170 in a dry state, and conveyed to the next step in a batch of a plurality of sheets.

なお、図12に示すように、防蝕処理が終了した基板1の表面乾燥を防ぐための浸漬処理部(ウエハ保管部)150を遮光構造にし、保管中の基板1の表面に照明光などが照射されないようにすることができる。これにより、光起電力効果による短絡電流の発生を防ぐようにできる。浸漬処理部150を遮光構造にするには、具体的には浸漬槽(ストッカ)の周囲を遮光シートなどで被覆することによって、浸漬槽(ストッカ)の内部の照度を少なくとも500ルクス以下、好ましくは300ルクス以下、さらに好ましくは100ルクス以下にする。   In addition, as shown in FIG. 12, the immersion process part (wafer storage part) 150 for preventing the surface drying of the board | substrate 1 which the corrosion-proof process was complete | finished is made into a light-shielding structure, and illumination light etc. are irradiated to the surface of the board | substrate 1 in storage Can be prevented. Thereby, generation | occurrence | production of the short circuit current by a photovoltaic effect can be prevented. In order to make the immersion treatment unit 150 have a light shielding structure, specifically, the illuminance inside the immersion tank (stocker) is at least 500 lux, preferably by covering the periphery of the immersion tank (stocker) with a light shielding sheet or the like. 300 lux or less, more preferably 100 lux or less.

また、図13に示すように、研磨処理の直後、すなわちその表面に残った研磨スラリ中の酸化剤による電気化学的腐蝕反応が開始される前に直ちに乾燥処理部に搬送され、研磨スラリ中の水分が強制乾燥によって除去されてもよい。図13に示すCMP装置200は、表面にCu膜が形成された基板1を複数枚収容するローダ220、Cu膜を研磨、平坦化して配線を形成する研磨処理部230、研磨が終了した基板1の表面を乾燥させる乾燥処理部240、基板1を後洗浄する後洗浄処理部250および後洗浄が終了した基板1を複数枚収容するアンローダ260を備えている。このCMP装置200を使ったCu配線形成プロセスでは、研磨処理部230において研磨処理に付された基板1は、研磨処理の直後、すなわちその表面に残った研磨スラリ中の酸化剤による電気化学的腐蝕反応が開始される前に直ちに乾燥処理部240に搬送され、研磨スラリ中の水分が強制乾燥によって除去される。その後、基板1は、乾燥状態が維持されたまま後洗浄処理部250に搬送され、後洗浄処理に付された後、純水リンスおよびスピンドライを経てアンローダ260に収容される。この場合、研磨処理の直後から後洗浄が開始されるまでの間、基板1の表面が乾燥状態に保たれるために、電気化学的腐蝕反応の開始が抑制され、これにより、Cu配線の腐蝕を有効に防止することが可能となる。   Further, as shown in FIG. 13, immediately after the polishing process, that is, immediately before the electrochemical corrosion reaction by the oxidant in the polishing slurry remaining on the surface is started, it is immediately transferred to the drying processing unit, where the polishing slurry contains Moisture may be removed by forced drying. A CMP apparatus 200 shown in FIG. 13 includes a loader 220 that accommodates a plurality of substrates 1 having a Cu film formed on the surface, a polishing processing unit 230 that polishes and flattens the Cu film to form wiring, and the substrate 1 that has been polished. A drying processing unit 240 for drying the surface of the substrate, a post-cleaning processing unit 250 for post-cleaning the substrate 1, and an unloader 260 for storing a plurality of substrates 1 after the post-cleaning. In the Cu wiring formation process using the CMP apparatus 200, the substrate 1 subjected to the polishing process in the polishing processing unit 230 is subjected to electrochemical corrosion immediately after the polishing process, that is, with an oxidizing agent in the polishing slurry remaining on the surface. Immediately before the reaction is started, it is transported to the drying processing unit 240, and moisture in the polishing slurry is removed by forced drying. Thereafter, the substrate 1 is transported to the post-cleaning processing unit 250 while being kept in a dry state, subjected to post-cleaning processing, and then accommodated in the unloader 260 through pure water rinsing and spin drying. In this case, since the surface of the substrate 1 is kept in a dry state immediately after the polishing process and after the post-cleaning is started, the start of the electrochemical corrosion reaction is suppressed, whereby the corrosion of the Cu wiring is suppressed. Can be effectively prevented.

このようなCMP法により、シリコン酸化膜39上のCu膜46およびTiN膜45を除去し、図14に示すように、配線溝40〜44内にCu配線46a〜46eを形成する。   By such a CMP method, the Cu film 46 and the TiN film 45 on the silicon oxide film 39 are removed, and Cu wirings 46a to 46e are formed in the wiring grooves 40 to 44 as shown in FIG.

次に、Cu配線46a〜46eとシリコン酸化膜39の表面にプラズマ処理を施す。図15は、プラズマ処理に用いる処理装置の概要を示した断面図(a)および平面図(b)である。   Next, plasma processing is performed on the surfaces of the Cu wirings 46 a to 46 e and the silicon oxide film 39. FIG. 15 is a cross-sectional view (a) and a plan view (b) showing an outline of a processing apparatus used for plasma processing.

この処理装置には、ロードロック室301に2つの処理室302a,302bとカセットインタフェイス303が取り付けられている。ロードロック室301内には基板1を搬送するロボット304を有する。ロードロック室301と処理室302a,302bとの間には、処理中にもロードロック室301内の高真空状態が保てるようにゲートバルブ305を有する。   In this processing apparatus, two processing chambers 302 a and 302 b and a cassette interface 303 are attached to a load lock chamber 301. The load lock chamber 301 has a robot 304 for transporting the substrate 1. A gate valve 305 is provided between the load lock chamber 301 and the processing chambers 302a and 302b so that a high vacuum state in the load lock chamber 301 can be maintained even during processing.

処理室302a,302b内には基板1を保持するサセプタ306、ガス流を整えるバッフル板307、サセプタ306を支持する支持部材308、サセプタ306に対向して配置されるメッシュ状の電極309、バッフル板307にほぼ対向して配置された絶縁板310を有する。絶縁板310はサセプタ306と電極309の間以外の不必要な領域での寄生放電を抑制する作用がある。サセプタ306の裏面側には反射ユニット311内に設置されたランプ312が配置され、ランプ312を発した赤外線313が石英窓314を通過してサセプタ306および基板1に照射される。これにより基板1が加熱される。なお、基板1はサセプタ306上にフェイスアップで設置される。   In the processing chambers 302 a and 302 b, a susceptor 306 that holds the substrate 1, a baffle plate 307 that adjusts the gas flow, a support member 308 that supports the susceptor 306, a mesh electrode 309 disposed opposite the susceptor 306, and a baffle plate 307 has an insulating plate 310 disposed substantially opposite to 307. The insulating plate 310 has an effect of suppressing parasitic discharge in an unnecessary region other than between the susceptor 306 and the electrode 309. A lamp 312 installed in the reflection unit 311 is disposed on the back side of the susceptor 306, and an infrared ray 313 emitted from the lamp 312 passes through the quartz window 314 and is irradiated to the susceptor 306 and the substrate 1. Thereby, the substrate 1 is heated. The substrate 1 is placed face up on the susceptor 306.

処理室302a,302bはその内部を高真空に排気することが可能であり、処理ガスおよび高周波電力がガスポート315から供給される。処理ガスはメッシュ状の電極309を通過して基板1の近傍に供給される。処理ガスは真空マニホールド316から排出され、処理ガスの供給流量および排気速度を制御することにより圧力が制御される。高周波電力は電極309に印加され、サセプタ306と電極309との間でプラズマを生成する。高周波電力はたとえば13.56MHzの周波数を用いる。   The inside of the processing chambers 302 a and 302 b can be evacuated to a high vacuum, and processing gas and high-frequency power are supplied from the gas port 315. The processing gas passes through the mesh electrode 309 and is supplied to the vicinity of the substrate 1. The processing gas is discharged from the vacuum manifold 316, and the pressure is controlled by controlling the supply flow rate and the exhaust speed of the processing gas. High frequency power is applied to the electrode 309 and generates plasma between the susceptor 306 and the electrode 309. The high frequency power uses a frequency of 13.56 MHz, for example.

処理室302aでは、たとえば次に説明するアンモニアプラズマ処理が行われる。また、処理室302bでは、後に説明するキャップ膜(シリコン窒化膜)の堆積が行われる。処理室302aと処理室302bとはロードロック室301を介して接続されているため、アンモニアプラズマ処理の後に真空破壊することなく基板1を処理室302bに搬送することができ、アンモニアプラズマ処理とキャップ膜の形成を連続的に行うことができる。   In the processing chamber 302a, for example, ammonia plasma processing described below is performed. In the processing chamber 302b, a cap film (silicon nitride film) to be described later is deposited. Since the processing chamber 302a and the processing chamber 302b are connected via the load lock chamber 301, the substrate 1 can be transferred to the processing chamber 302b without breaking the vacuum after the ammonia plasma processing. The film can be formed continuously.

次に、上記したプラズマ処理装置を用いて、基板1にアンモニアプラズマ処理を施す。カセットインタフェイス303から基板1がロボット304によりロードロック室301に搬入される。ロードロック室301を十分な減圧状態になるまで真空排気し、ロボット304を用いて処理室302aに基板1を搬送する。処理室302aのゲートバルブ305を閉じ、処理室302a内が十分な真空度になるまで排気した後、処理室302aにアンモニアガスを導入し、圧力調整を行って所定の圧力に維持する。その後、高周波電源から電極309に電界を印加し、図16に示すように、基板1の表面をプラズマ処理する。所定時間の経過後高周波電界を停止し、プラズマを停止する。その後、処理室302a内を真空排気し、ゲートバルブ305を開いてロボット304により基板1をロードロック室301に搬出する。なお、ロードロック室301は高真空状態に維持されているため、基板1の表面が大気雰囲気に曝されることがない。   Next, ammonia plasma treatment is performed on the substrate 1 using the plasma treatment apparatus described above. The substrate 1 is carried into the load lock chamber 301 by the robot 304 from the cassette interface 303. The load lock chamber 301 is evacuated to a sufficiently reduced pressure, and the substrate 1 is transferred to the processing chamber 302a using the robot 304. After closing the gate valve 305 of the processing chamber 302a and evacuating the processing chamber 302a to a sufficient degree of vacuum, ammonia gas is introduced into the processing chamber 302a, and the pressure is adjusted to maintain a predetermined pressure. Thereafter, an electric field is applied to the electrode 309 from a high-frequency power source, and the surface of the substrate 1 is subjected to plasma treatment as shown in FIG. After the elapse of a predetermined time, the high frequency electric field is stopped and the plasma is stopped. Thereafter, the inside of the processing chamber 302 a is evacuated, the gate valve 305 is opened, and the substrate 304 is carried out to the load lock chamber 301 by the robot 304. Since the load lock chamber 301 is maintained in a high vacuum state, the surface of the substrate 1 is not exposed to the air atmosphere.

プラズマ処理条件は、たとえば、基板1のサイズを8インチとした場合、処理圧力を5.0Torr、RF電力を600W、基板温度を400℃、アンモニア流量を200sccm、処理時間を10秒とすることができる。電極間距離は600milsとした。なお、プラズマ処理条件は、これら例示した条件に限られないのはもちろんである。本発明者らの検討では、圧力が高いほどプラズマダメージが低減でき、基板温度が高いほどTDDB寿命の基板内ばらつきの低減と長寿命化がはかれる。また、基板温度が高く、RF電力が大きく、処理時間が長いほどCuの表面にヒロックが発生しやすい、という知見が得られている。これらの知見と装置構成による条件のばらつきを考慮すると、処理圧力は0.5〜6Torr、RF電力は300〜600W、基板温度は350〜450℃、アンモニア流量は20〜500sccm、処理時間は5〜180秒、電極間距離は300〜600milsの範囲で設定することができる。   As for the plasma processing conditions, for example, when the size of the substrate 1 is 8 inches, the processing pressure is 5.0 Torr, the RF power is 600 W, the substrate temperature is 400 ° C., the ammonia flow rate is 200 sccm, and the processing time is 10 seconds. it can. The distance between the electrodes was 600 mils. Of course, the plasma processing conditions are not limited to these exemplified conditions. According to the study by the present inventors, the plasma damage can be reduced as the pressure is increased, and the variation in the TDDB lifetime in the substrate and the lifetime are increased as the substrate temperature is increased. Further, it has been found that hillocks are more likely to occur on the surface of Cu as the substrate temperature is higher, the RF power is higher, and the treatment time is longer. Considering these variations in conditions due to knowledge and apparatus configuration, the processing pressure is 0.5-6 Torr, the RF power is 300-600 W, the substrate temperature is 350-450 ° C., the ammonia flow rate is 20-500 sccm, and the processing time is 5-5. The distance between electrodes can be set in the range of 300 to 600 mils for 180 seconds.

このように、Cu配線46a〜46eとシリコン酸化膜39の表面にプラズマ処理を施すことにより、Cu配線46a〜46eおよびシリコン酸化膜39の表面のごく薄い領域に各々の下地材料の窒化膜を形成することができる。これにより、次に説明するキャップ膜(シリコン窒化膜)とCu配線46a〜46eおよびシリコン酸化膜39との密着性が向上し、TDDB寿命を著しく向上できる。この点は、本発明者らの実験結果の解析とともに後に詳しく説明する。   In this way, by performing plasma treatment on the surfaces of the Cu wirings 46a to 46e and the silicon oxide film 39, nitride films of the respective underlying materials are formed in very thin regions on the surfaces of the Cu wirings 46a to 46e and the silicon oxide film 39. can do. As a result, the adhesion between the cap film (silicon nitride film) described below, the Cu wirings 46a to 46e, and the silicon oxide film 39 is improved, and the TDDB life can be remarkably improved. This point will be described in detail later together with the analysis of the experimental results of the inventors.

次に、ロボット304を用いて基板1を処理室302bに搬送する。処理室302bのゲートバルブ305を閉じ、処理室302b内が十分な真空度になるまで排気した後、処理室302bにシラン(SiH)、アンモニア、窒素の混合ガスを導入し、圧力調整を行って所定の圧力に維持する。その後、高周波電源から電極309に電界を印加してプラズマを発生し、図17に示すように、Cu配線46a〜46eおよびシリコン酸化膜39の表面にシリコン窒化膜47(キャップ膜)を堆積する。所定時間の経過後高周波電界を停止しプラズマを停止する。その後、処理室302b内を真空排気し、ゲートバルブ305を開いてロボット304により基板1をロードロック室301に搬出する。さらに、ロボット304を用いてカセットインタフェイス303に基板1を排出する。 Next, the substrate 1 is transferred to the processing chamber 302b using the robot 304. After closing the gate valve 305 of the processing chamber 302b and exhausting the processing chamber 302b to a sufficient degree of vacuum, a mixed gas of silane (SiH 4 ), ammonia, and nitrogen is introduced into the processing chamber 302b to adjust the pressure. To maintain a predetermined pressure. Thereafter, an electric field is applied from the high frequency power source to the electrode 309 to generate plasma, and a silicon nitride film 47 (cap film) is deposited on the surfaces of the Cu wirings 46a to 46e and the silicon oxide film 39 as shown in FIG. After the elapse of a predetermined time, the high frequency electric field is stopped and the plasma is stopped. Thereafter, the inside of the processing chamber 302 b is evacuated, the gate valve 305 is opened, and the substrate 1 is carried out to the load lock chamber 301 by the robot 304. Further, the substrate 1 is discharged to the cassette interface 303 using the robot 304.

シリコン窒化膜47の膜厚はたとえば50nmとする。その後、第3層目の配線と第2層目の配線(Cu配線46a〜46e)とを接続するプラグを形成するためのシリコン酸化膜を形成し、前記したと同様の方法で、第3層目以降の埋め込みCu配線が形成される。図18は、上述したCu配線46a〜46eの形成プロセスの全体フロー図である。   The film thickness of the silicon nitride film 47 is 50 nm, for example. Thereafter, a silicon oxide film for forming a plug for connecting the third layer wiring and the second layer wiring (Cu wirings 46a to 46e) is formed, and the third layer is formed by the same method as described above. The embedded Cu wirings after the first are formed. FIG. 18 is an overall flow diagram of the formation process of the Cu wirings 46a to 46e described above.

なお、図19に第7層目の配線までを形成したCMOS−LSIの一例を示す。第1層目の配線(M1)は、前記した通りタングステン膜からなる。第2層配線(M2)から第5層配線(M5)までは、前記したCu配線の形成方法で製造する。なお、第2層配線(M2)および第3層配線(M3)は、配線幅、配線間距離および配線高さ(厚さ)は何れも0.5μmで形成する。第4層配線(M4)および第5層配線(M5)は、配線幅、配線間距離および配線高さ(厚さ)は何れも1μmで形成する。第6層配線(M6)はタングステン膜、アルミニウム膜およびタングステン膜の3層構成とし、第7層配線(M7)はアルミニウム膜で構成する。第7層配線(M7)にはバンプ等が形成されるが図示を省略している。   FIG. 19 shows an example of a CMOS-LSI formed up to the seventh layer wiring. The first layer wiring (M1) is made of a tungsten film as described above. The second layer wiring (M2) to the fifth layer wiring (M5) are manufactured by the Cu wiring forming method described above. The second layer wiring (M2) and the third layer wiring (M3) are all formed with a wiring width, a distance between wirings, and a wiring height (thickness) of 0.5 μm. The fourth layer wiring (M4) and the fifth layer wiring (M5) are each formed with a wiring width, a distance between wirings, and a wiring height (thickness) of 1 μm. The sixth layer wiring (M6) has a three-layer structure of a tungsten film, an aluminum film, and a tungsten film, and the seventh layer wiring (M7) is formed of an aluminum film. Bumps and the like are formed on the seventh layer wiring (M7), but the illustration is omitted.

本実施の形態によれば、TDDB寿命が大幅に改善される。図20は、本実施の形態の第2層配線M2(Cu配線46a〜46e)と同層に形成されたTEGサンプルのTDDB寿命を示すグラフであり、本実施の形態の場合のデータをラインAに示す。比較のためにアンモニアプラズマ処理をしない場合のTDDB寿命データ(ラインRef)も同時に示す。図から明らかな通り、本実施の形態では、比較データと比べて約6桁の寿命向上が見られる。   According to the present embodiment, the TDDB life is significantly improved. FIG. 20 is a graph showing the TDDB life of the TEG sample formed in the same layer as the second layer wiring M2 (Cu wirings 46a to 46e) of the present embodiment. Shown in For comparison, TDDB life data (line Ref) when ammonia plasma treatment is not performed are also shown. As is apparent from the figure, in this embodiment, the life is improved by about 6 digits compared to the comparison data.

図21は、本実施の形態で適用したシリコン酸化膜39をそれよりも緻密で強固なシリコン窒化膜に置き換えた場合のデータ(ラインB)を示す。絶縁膜を窒化シリコンに置き換えた場合であってもアンモニアプラズマ処理を施さなければ絶縁膜をシリコン酸化膜とした場合と何ら相違はない(ラインRef)。一方、シリコン窒化膜を絶縁膜に適用し、アンモニアプラズマ処理を施せば、本実施の形態以上にTDDB寿命が向上する。しかしその向上の割合は大きくなく、アンモニアプラズマ処理を行うことによる要因の方が支配的であることがわかる。これは、TDDB寿命を支配する要因は絶縁膜のバルクよりは、その界面が支配的であることを示している。   FIG. 21 shows data (line B) when the silicon oxide film 39 applied in the present embodiment is replaced with a denser and stronger silicon nitride film. Even when the insulating film is replaced with silicon nitride, there is no difference from the case where the insulating film is a silicon oxide film unless the ammonia plasma treatment is performed (line Ref). On the other hand, if a silicon nitride film is applied to the insulating film and ammonia plasma treatment is performed, the TDDB life is improved more than in the present embodiment. However, the rate of improvement is not large, and it can be seen that the factor due to the ammonia plasma treatment is more dominant. This indicates that the factor governing the TDDB lifetime is dominated by the interface rather than the bulk of the insulating film.

そこで、本発明者らは、アンモニアプラズマ処理によりTDDB寿命が向上する機構を解析するために銅とシリコン酸化膜の表面分析を行った。以下に解析の結果を説明する。   Therefore, the present inventors conducted surface analysis of copper and silicon oxide films in order to analyze the mechanism by which the TDDB life is improved by ammonia plasma treatment. The results of the analysis will be described below.

図22〜図24は、Cu配線表面のXPS(X-ray Photo-electron Spectroscopy )分析の結果を示したグラフである。各図の(a)、(c)はCu2pの分光結果を示し、(b)、(d)はN1sの分光結果を示す。   22 to 24 are graphs showing the results of XPS (X-ray Photo-electron Spectroscopy) analysis of the Cu wiring surface. In each figure, (a) and (c) show the spectral results of Cu2p, and (b) and (d) show the spectral results of N1s.

図22(a)、(b)はアズデポ状態のCu膜表面を分析した結果である。Cu2pのピークが観察され、N1sのピークはノイズレベルであることから、アズデポ状態のCu膜には窒素は存在しないことがわかる。図22(c)、(d)は、Cu膜にCMPのみを施した直後のCu配線表面を分析した結果である。Cu2pのピークとともにN1sのピークが観察される。前記した通りスラリにはBTAが含まれるため、Cu表面に残留したBTA内の窒素を観察しているものと推察できる。図23(a)、(b)は、CMP後に後洗浄まで行った状態のCu配線表面を分析した結果である。Cu2pピークに変化は見られないが、N1sピークが低下している。洗浄によりBTAが除去されたと考えられる。図23(c)、(d)は、後洗浄後大気雰囲気に24時間放置した状態のCu配線表面を分析した結果である。Cu2pのピークとともにCuOのピークが観察される。N1sピークには放置による変化は見られない。放置によりCu表面が酸化され、CuOが生成していることがわかる。   FIGS. 22A and 22B show the results of analysis of the as-deposited Cu film surface. Since the Cu2p peak is observed and the N1s peak is a noise level, it can be seen that nitrogen is not present in the as-deposited Cu film. 22C and 22D show the results of analysis of the Cu wiring surface immediately after the CMP of the Cu film only. The N1s peak is observed together with the Cu2p peak. As described above, since BTA is contained in the slurry, it can be assumed that nitrogen in BTA remaining on the Cu surface is observed. FIGS. 23A and 23B show the results of analysis of the Cu wiring surface in a state where the post-cleaning is performed after the CMP. Although no change is observed in the Cu2p peak, the N1s peak is lowered. It is thought that BTA was removed by washing. 23 (c) and 23 (d) show the results of analysis of the Cu wiring surface in a state where it is left in the air atmosphere after post-cleaning for 24 hours. A CuO peak is observed together with a Cu2p peak. The N1s peak is not changed by standing. It can be seen that the Cu surface was oxidized by being left and CuO was produced.

このように酸化されたCu配線にアンモニアプラズマ処理を施した状態のCu配線表面を分析した結果が図24(a)、(b)である。CuOのピークはほぼ消失している。一方、N1sピークは強く生じている。Cu表面が還元されて酸素が引き抜かれているとともに表面が窒化されていると考えられる。比較のため、酸化されたCu配線に350℃の水素熱処理を施した状態のCu配線表面を分析した。結果は図24(c)、(d)である。Cu2pピークについて、図24(c)と図24(a)とを比較すれば、よりアズデポ状態(図22(a))に近いことから、水素熱処理の方が還元性は強いと考えられる。一方、N1sピークはほとんど観察されないことから、水素熱処理ではCu表面が還元されるのみである。   FIGS. 24A and 24B show results obtained by analyzing the surface of the Cu wiring in a state where the ammonia wiring is applied to the oxidized Cu wiring. The CuO peak has almost disappeared. On the other hand, the N1s peak is strongly generated. It is considered that the Cu surface is reduced and oxygen is extracted and the surface is nitrided. For comparison, the surface of the Cu wiring in a state where the oxidized Cu wiring was subjected to hydrogen heat treatment at 350 ° C. was analyzed. The results are shown in FIGS. 24 (c) and 24 (d). When the Cu2p peak is compared with FIG. 24C and FIG. 24A, it is closer to the as-deposited state (FIG. 22A), and therefore it is considered that the hydrogen heat treatment is more reducible. On the other hand, since almost no N1s peak is observed, the hydrogen heat treatment only reduces the Cu surface.

以上の結果から、アンモニアプラズマ処理によりCu配線46a〜46eの表面は還元されるとともに窒化層が形成されていることがわかる。この窒化層は、アンモニアプラズマ処理の後にシリコン窒化膜を堆積する際の原料ガスに含まれるシランと銅との反応を防止し、銅のシリサイドの形成を抑制する働きを有すると考えられる。シリサイド形成の防止は配線抵抗の増加を抑制する役割があると考える。   From the above results, it can be seen that the surfaces of the Cu wirings 46a to 46e are reduced and a nitride layer is formed by the ammonia plasma treatment. This nitride layer is considered to have a function of preventing the formation of copper silicide by preventing the reaction between silane and copper contained in the source gas when depositing the silicon nitride film after the ammonia plasma treatment. It is considered that prevention of silicide formation has a role of suppressing an increase in wiring resistance.

図25は、シリコン酸化膜表面のXPS分析を行った結果を示すグラフであり、図26および図27は、シリコン酸化膜の質量分析(TDS−APIMS)を行った結果を示すグラフである。シリコン酸化膜の分析においては、CMP後洗浄まで行った状態(プロファイルC)、CMP後洗浄後水素プラズマ処理を行った状態(プロファイルD)、CMP後洗浄後アンモニアプラズマ処理を行った状態(プロファイルE)、CMP後洗浄後窒素プラズマ処理を行った状態(プロファイルF)について分析した。なお、プロファイルCの1eV程度の高エネルギー方向へのずれはチャージアップの影響によるものである。   FIG. 25 is a graph showing the results of XPS analysis of the silicon oxide film surface, and FIGS. 26 and 27 are graphs showing the results of mass analysis (TDS-APIMS) of the silicon oxide film. In the analysis of the silicon oxide film, the state after the post-CMP cleaning (profile C), the state after the post-CMP cleaning hydrogen plasma treatment (profile D), the state after the post-CMP cleaning ammonia plasma treatment (profile E) ), And the state (profile F) in which nitrogen plasma treatment was performed after cleaning after CMP. Note that the shift of profile C in the high energy direction of about 1 eV is due to the effect of charge-up.

図25(a)、(b)はともにSi2pスペクトルを観察したデータであり、(a)は10nm程度の深さを、(b)は2nm程度の深さを分析したものである。図25(c)、(d)、(e)は各々N1s、O1s、C1sスペクトルを観察したデータである。   FIGS. 25A and 25B are data obtained by observing the Si2p spectrum. FIG. 25A shows the depth of about 10 nm, and FIG. 25B shows the depth of about 2 nm. 25 (c), (d), and (e) are data obtained by observing N1s, O1s, and C1s spectra, respectively.

図25(b)から、水素プラズマ処理(プロファイルD)の低エネルギー側(102eV付近)にブロードなピークが見られる。これはSi−H結合が存在すると考えられ、水素プラズマ処理によりシリコン酸化膜表面にSi−Hが形成されると推察される。   FIG. 25B shows a broad peak on the low energy side (near 102 eV) of the hydrogen plasma treatment (profile D). This is considered that Si-H bonds exist, and it is assumed that Si-H is formed on the surface of the silicon oxide film by the hydrogen plasma treatment.

図25(a)より、アンモニアプラズマ処理(プロファイルE)と窒素プラズマ処理(プロファイルF)の105eVのピークが低エネルギー側に広がった非対称なピークになっている。非対称部分のピーク(103.5eV)はSi−O−N結合と考えられる。アンモニアプラズマ処理および窒素プラズマ処理によりシリコン酸化膜の表面が窒化されていると推察される。また、図25(a)と(b)との比較から、窒化は表面でより強くされていると考えられる。アンモニアプラズマ処理および窒素プラズマ処理による窒化は、図25(c)でも確認できる。   From FIG. 25A, the 105 eV peak of the ammonia plasma treatment (profile E) and the nitrogen plasma treatment (profile F) is an asymmetric peak that spreads to the low energy side. The asymmetric peak (103.5 eV) is considered to be a Si—O—N bond. It is presumed that the surface of the silicon oxide film is nitrided by ammonia plasma treatment and nitrogen plasma treatment. Further, from the comparison between FIGS. 25A and 25B, it is considered that nitriding is strengthened on the surface. Nitridation by ammonia plasma treatment and nitrogen plasma treatment can also be confirmed in FIG.

図25(e)より、水素プラズマ処理(プロファイルD)では炭素はほとんど検出されない。水素プラズマ処理により表面の有機物が除去されていることがわかる。また、CMP後(プロファイルC)の289eVのピークはC−O結合と考えられる。CMP後ではスラリが残留していると考える。   From FIG. 25 (e), almost no carbon is detected in the hydrogen plasma treatment (profile D). It can be seen that organic substances on the surface are removed by the hydrogen plasma treatment. Further, the peak at 289 eV after CMP (profile C) is considered to be a C—O bond. It is considered that slurry remains after CMP.

図25(f)は、SiピークとNピークとからそれらの存在比を求め、N量を推定した値を示す。アンモニアプラズマ処理と窒素プラズマ処理とではほぼ同等の窒化がなされていると考える。   FIG. 25 (f) shows a value obtained by obtaining the abundance ratio from the Si peak and the N peak and estimating the N amount. It is considered that almost the same nitriding is performed in the ammonia plasma treatment and the nitrogen plasma treatment.

図26(a)、(b)、(c)、(d)は各々質量数41(Ar−H)、質量数27(C)、質量数57(C)、質量数59(CO)を測定したグラフである。また、図27(a)、(b)、(c)、(d)は各々質量数28(Si、C)、質量数44(SiO、C)、質量数29(SiH、C)、質量数31(SiH)を測定したグラフである。 26 (a), (b), (c), and (d) are mass numbers 41 (Ar-H), mass numbers 27 (C 2 H 3 ), mass numbers 57 (C 4 H 9 ), and mass numbers, respectively. 59 is a graph of (C 3 H 7 O). 27 (a), (b), (c), and (d) are mass numbers 28 (Si, C 2 H 4 ), mass numbers 44 (SiO, C 3 H 6 ), and mass numbers 29 (SiH), respectively. , C 2 H 5 ) and mass number 31 (SiH 3 ).

図26(a)から、プラズマ処理による水素の脱離量の相違はほとんどないが、水素プラズマ処理(プロファイルD)の脱離温度が他の場合(560℃)と比較して520℃と低いことがわかる。   From FIG. 26 (a), there is almost no difference in the amount of hydrogen desorbed by the plasma treatment, but the desorption temperature of the hydrogen plasma treatment (profile D) is as low as 520 ° C compared to the other cases (560 ° C). I understand.

図26(a)、(b)、(c)から、各プロセスとも有機物の離脱が見られる。一方、図27(a)〜(d)から、有機物の離脱以外のピークの存在が見られる。すなわち、300〜400℃のピークは各々、Si、SiO、SiH、SiHと思われる。各図を比較すると、水素、アンモニア、窒素の各プラズマ処理で、SiOの離脱は見られるが、アンモニアプラズマ処理ではSiH、SiHの離脱はほとんど観察されない。すなわち、アンモニアプラズマ処理ではSi−O−Nが形成され、比較的低いエネルギーで容易に離脱する。また、離脱に必要なエネルギーは窒素プラズマ処理の場合が最も高く、水素プラズマ処理とアンモニアプラズマ処理とではほぼ同じと言える。 26 (a), (b), and (c), organic substances are detached from each process. On the other hand, from FIGS. 27A to 27D, the presence of peaks other than the separation of organic substances can be seen. That is, the peaks at 300 to 400 ° C. are considered to be Si, SiO, SiH, and SiH 3 , respectively. Comparing the figures, SiO separation is observed in the hydrogen, ammonia, and nitrogen plasma treatments, but SiH and SiH 3 are hardly observed in the ammonia plasma treatment. That is, in the ammonia plasma treatment, Si—O—N is formed and is easily detached with relatively low energy. Further, the energy required for separation is highest in the case of nitrogen plasma treatment, and it can be said that the hydrogen plasma treatment and the ammonia plasma treatment are almost the same.

これらの結果から、シリコン酸化膜表面のダングリングボンドの原因となるSi−OHやSi−O−は、アンモニアプラズマ処理により、弱い結合のSi−O−Nで終端されると考えられる。アンモニアプラズマ処理の後のシリコン窒化膜の成膜において、ごく表面のSi−O−Nが離脱し、バルクのSi−O結合とシリコン窒化膜のSi−Nとが強固に結合し、連続的な界面を形成する。これが界面の密着性を向上する機構と考えられる。一方、アンモニアプラズマ処理を行わない場合には、そもそもSi−OH結合の多いシリコン酸化膜の表面とシリコン窒化膜の原料ガスであるアンモニアとが縮合反応し、ダングリングボンドの原因であるSi−O−結合が多数発生していると考えられる。シリコン酸化膜とシリコン窒化膜との界面に多数のダングリングボンドが存在すれば、そこはリークパスを形成することとなり配線間のリーク電流、ひいては絶縁破壊の原因となっていると考えられる。   From these results, it is considered that Si—OH and Si—O— that cause dangling bonds on the surface of the silicon oxide film are terminated by weakly bonded Si—O—N by ammonia plasma treatment. In the formation of the silicon nitride film after the ammonia plasma treatment, the Si—O—N on the very surface is detached, and the bulk Si—O bond and the Si—N of the silicon nitride film are firmly bonded to each other. Form an interface. This is considered to be a mechanism for improving the adhesion at the interface. On the other hand, when ammonia plasma treatment is not performed, the surface of the silicon oxide film having many Si—OH bonds and ammonia, which is the raw material gas of the silicon nitride film, undergo a condensation reaction, and Si—O that causes dangling bonds. -Many bonds are considered to have occurred. If there are a large number of dangling bonds at the interface between the silicon oxide film and the silicon nitride film, it forms a leak path, which is considered to cause a leakage current between the wirings and hence a dielectric breakdown.

以上の分析結果から、アンモニアプラズマ処理により、酸化されたCu配線の表面は還元されてCu単元素に変換され、イオン化されたCuよりも電気的に安定な状態になり、かつ、シリコン酸化膜/シリコン窒化膜界面は連続的な強固な膜になることから、リーク電流が減少し、TDDB寿命も大幅に向上すると考えられる。   From the above analysis results, the surface of the oxidized Cu wiring is reduced and converted into a single element of Cu by ammonia plasma treatment, and becomes an electrically stable state compared to ionized Cu. Since the silicon nitride film interface becomes a continuous strong film, it is considered that the leakage current is reduced and the TDDB life is greatly improved.

図28は、アンモニアプラズマ処理を行った本実施の形態の場合の配線層とシリコン窒化膜(キャップ膜)との界面を観察したTEM写真である。一方図29はアンモニアプラズマ処理を行わない場合の界面のTEM写真である。図28では、界面に薄い被膜の存在が確認できる(矢印で示した)。この薄い被膜が前記した窒化層であると考えられる。一方図29では、そのような被膜は確認できない。   FIG. 28 is a TEM photograph observing the interface between the wiring layer and the silicon nitride film (cap film) in the case of the present embodiment where the ammonia plasma treatment was performed. On the other hand, FIG. 29 is a TEM photograph of the interface when ammonia plasma treatment is not performed. In FIG. 28, the presence of a thin film at the interface can be confirmed (indicated by an arrow). This thin film is considered to be the aforementioned nitride layer. On the other hand, in FIG. 29, such a film cannot be confirmed.

また、本実施の形態では、Cu配線の抵抗を低減できる。図30は、各種の処理を行った場合の配線抵抗の測定結果である。処理無し(プラズマ処理なし)とアンモニアプラズマ処理をした場合とでは、他の場合(水素プラズマ処理、水素アニール、窒素プラズマ処理)と比較して有意に低い値となっている。図31および図32は、これら各処理を施した場合のCu配線とキャップ膜(シリコン窒化膜)との界面を観察したTEM写真である。処理無しとアンモニアプラズマ処理の場合(図31)では界面に特異ものは見られないが、水素アニール、窒素プラズマ処理の場合(図32)では界面に銅のシリサイド(CuSi)層が形成されている。このシリサイド層が抵抗増加の原因と思われる。このようなシリサイド層は、シリコン窒化膜の形成の際のシランガスとの反応で形成されるが、アンモニア処理を行っている場合にはCu表面にごく薄い窒化膜が形成されており、この窒化膜がシリサイド化のブロッキング層として機能していると考えられる。一方、水素アニール等、単に銅表面を還元するのみでは活性なCu表面が露出してシリコンとの反応が促進されるため、シリサイド層が生成されやすいと考えられる。なお、水素プラズマ処理(図32(c)、(f))の場合には、界面に何らかの生成物が見られる。ただし、多くの場合にはそのような生成物が形成されない場合もあり水素プラズマ処理の場合にはシリサイド化の程度は小さいと考えられる。なお、図31および図32において、TEM写真(図31(a)および(b)、図32(a)〜(c))に加えて、各々対応するトレース図面(図31(c)および(d)、図32(d)〜(f))を参考のために各TEM写真の下に示した。   Moreover, in this Embodiment, resistance of Cu wiring can be reduced. FIG. 30 shows the measurement results of the wiring resistance when various processes are performed. In the case of no treatment (no plasma treatment) and the case of ammonia plasma treatment, the values are significantly lower than in other cases (hydrogen plasma treatment, hydrogen annealing, nitrogen plasma treatment). 31 and 32 are TEM photographs observing the interface between the Cu wiring and the cap film (silicon nitride film) when each of these treatments is performed. In the case of no treatment and ammonia plasma treatment (FIG. 31), there is no peculiarity at the interface, but in the case of hydrogen annealing and nitrogen plasma treatment (FIG. 32), a copper silicide (CuSi) layer is formed at the interface. . This silicide layer seems to be the cause of the increase in resistance. Such a silicide layer is formed by a reaction with a silane gas during the formation of a silicon nitride film. When ammonia treatment is performed, a very thin nitride film is formed on the Cu surface. Is considered to function as a silicidation blocking layer. On the other hand, simply reducing the copper surface, such as hydrogen annealing, exposes the active Cu surface and promotes the reaction with silicon, so it is considered that a silicide layer is likely to be formed. In the case of hydrogen plasma treatment (FIGS. 32 (c) and (f)), some product is seen at the interface. However, in many cases, such a product may not be formed. In the case of hydrogen plasma treatment, the degree of silicidation is considered to be small. 31 and 32, in addition to the TEM photographs (FIGS. 31A and 31B and FIGS. 32A to 32C), the corresponding trace drawings (FIGS. 31C and 31D) are respectively shown. ) And FIGS. 32 (d) to (f)) are shown below each TEM photograph for reference.

上記した分析結果から、TDDB寿命の劣化機構として、以下のようなモデルが考えられる。すなわち、本実施の形態のアンモニア処理を施さない場合には、Cu配線の表面部分に酸化銅(CuO)が形成され、また、キャップ膜(シリコン窒化膜47)の形成の際に銅シリサイドが形成される。このような酸化銅あるいは銅シリサイドは、純粋な銅と比較してイオン化されやすく、このようなイオン化された銅は配線間の電界によりドリフトされ、配線間の絶縁膜に拡散される。また、銅配線を埋め込んで形成する絶縁膜(シリコン酸化膜39)とキャップ膜(シリコン窒化膜47)との界面は、本実施の形態のアンモニア処理を施さない場合にはダングリングボンドが多く形成され、不連続であり、密着性にも乏しい。このようなダングリングボンドの存在は銅イオンの拡散を助長する作用を有し、銅イオンは界面に沿ってドリフトされ拡散する。すなわち、配線間の前記界面にリークパスが形成される。リークパスを流れるリーク電流は、長時間のリーク作用と電流による熱ストレスも加わり、その後加速度的に電流値が増加して破壊に至る(TDDB寿命)。   From the above analysis results, the following model can be considered as a deterioration mechanism of the TDDB life. That is, when the ammonia treatment according to the present embodiment is not performed, copper oxide (CuO) is formed on the surface portion of the Cu wiring, and copper silicide is formed when the cap film (silicon nitride film 47) is formed. Is done. Such copper oxide or copper silicide is more easily ionized than pure copper, and such ionized copper is drifted by the electric field between the wirings and diffused into the insulating film between the wirings. In addition, the interface between the insulating film (silicon oxide film 39) and the cap film (silicon nitride film 47) formed by embedding the copper wiring has many dangling bonds when the ammonia treatment of this embodiment is not performed. It is discontinuous and has poor adhesion. The presence of such dangling bonds has a function of promoting the diffusion of copper ions, and the copper ions are drifted and diffused along the interface. That is, a leak path is formed at the interface between the wirings. The leak current flowing through the leak path is also subjected to a long-time leak action and thermal stress due to the current, and then the current value increases at an accelerated rate, leading to destruction (TDDB life).

これに対し、本実施の形態では、Cu配線46a〜46eの表面にアンモニア処理を施すため、Cu配線46a〜46e表面の酸化層は還元されて消失し、Cu配線46a〜46eの表面に薄い窒化層が形成されるためシリコン窒化膜47の形成の際に銅シリサイドが形成されない。このため、リークおよび絶縁破壊の原因となる銅イオンを支配的に供給する原因物質を生じなくすることができる。また、本実施の形態では、シリコン酸化膜39の表面にアンモニア処理を施すため、シリコン窒化膜47との接続を連続的にし、ダングリングボンドの密度を低減してリークパスの形成を抑制できる。すなわち、TDDB寿命低下の原因となる銅イオンの発生を抑制し、かつ、銅の拡散を抑制できるようなシリコン酸化膜39とシリコン窒化膜47との接合界面を形成できる。これによりTDDB寿命を向上できるのである。   In contrast, in the present embodiment, the surface of the Cu wirings 46a to 46e is subjected to ammonia treatment, so that the oxide layer on the surface of the Cu wirings 46a to 46e is reduced and disappears, and the surface of the Cu wirings 46a to 46e is thinly nitrided. Since the layer is formed, copper silicide is not formed when the silicon nitride film 47 is formed. For this reason, it is possible to eliminate a causative substance that dominantly supplies copper ions that cause leakage and dielectric breakdown. In this embodiment, since the surface of the silicon oxide film 39 is subjected to ammonia treatment, the connection with the silicon nitride film 47 can be made continuous, the density of dangling bonds can be reduced, and the formation of leak paths can be suppressed. That is, it is possible to form a bonding interface between the silicon oxide film 39 and the silicon nitride film 47 that can suppress the generation of copper ions that cause a decrease in the TDDB life and can suppress the diffusion of copper. Thereby, the TDDB life can be improved.

なお、前記した解析から、水素プラズマ処理でもTDDB寿命を向上できると考えられる。すなわち、水素プラズマ処理により、Cu表面は還元され、Si−O−等のダングリングボンドや、その原因となるSi−OHがSi−Hで終端される。そして、シリコン窒化膜の形成の際に、結合の弱い表面のSi−Hが離脱し、Si−Nで置換される。これにより連続的なシリコン酸化膜とシリコン窒化膜の界面が形成される。ただし配線抵抗は前記の通り増加する。図33は、水素プラズマ処理を行った場合のTDDB寿命のデータを示したグラフである。参考にラインRef(処理無し)とラインA(アンモニアプラズマ処理)を示した。水素プラズマ処理(ラインC)では、TDDB寿命が格段に向上することがわかる。水素プラズマ処理の場合にはプラズマダメージが軽減されることが期待されるので、キャップ膜としてシリコン窒化膜にかわる他の材料であってCuとの反応生成物を生じないような材料が適用できるときにはきわめて有効である。なお、窒素プラズマ処理(ラインD)ではTDDB寿命がかえって低下する。図26,27からもわかるように、窒素プラズマ処理によってかえって有機物の付着が増加していることに起因すると思われる。   From the above analysis, it is considered that the TDDB life can be improved even by hydrogen plasma treatment. That is, the surface of Cu is reduced by hydrogen plasma treatment, and dangling bonds such as Si—O— and Si—OH causing the termination are terminated with Si—H. Then, when the silicon nitride film is formed, Si—H on the weakly bonded surface is detached and replaced with Si—N. As a result, a continuous interface between the silicon oxide film and the silicon nitride film is formed. However, the wiring resistance increases as described above. FIG. 33 is a graph showing TDDB life data when hydrogen plasma treatment is performed. For reference, line Ref (no treatment) and line A (ammonia plasma treatment) are shown. It can be seen that the hydrogen plasma treatment (line C) significantly improves the TDDB life. In the case of hydrogen plasma treatment, plasma damage is expected to be reduced. Therefore, when a material that does not generate a reaction product with Cu, which is another material replacing the silicon nitride film as the cap film, can be applied. Very effective. In the nitrogen plasma treatment (line D), the TDDB life is reduced. As can be seen from FIGS. 26 and 27, this is probably due to the increased adhesion of organic matter due to the nitrogen plasma treatment.

本実施の形態では、さらに、Cu配線46a〜46eおよびシリコン酸化膜39とキャップ膜47との接着性が向上しているため、界面の剥離強度が増し、マージンが大きくなるという効果もある。   In the present embodiment, since the adhesion between the Cu wirings 46a to 46e and the silicon oxide film 39 and the cap film 47 is improved, there is an effect that the peeling strength at the interface is increased and the margin is increased.

なお、アンモニア、水素の単一ガスに限られず、窒素、アルゴン、ヘリウム等の不活性ガスとの混合ガスプラズマで処理しても良い。すなわち、アンモニアと水素、窒素、アルゴンまたはヘリウムとの混合ガス、あるいは、水素とアンモニア、窒素、アルゴンまたはヘリウムとの混合ガスでも良い。さらに、これらのガスから選択された3元系、4元系等多元系の混合ガスであっても良い。このとき、水素、アンモニア、あるいは水素とアンモニアの和は、総流量(質量流量)に対して5%以上混合されていることが必要である。   The treatment is not limited to a single gas of ammonia and hydrogen, but may be performed by a mixed gas plasma with an inert gas such as nitrogen, argon, or helium. That is, a mixed gas of ammonia and hydrogen, nitrogen, argon, or helium, or a mixed gas of hydrogen and ammonia, nitrogen, argon, or helium may be used. Further, a mixed gas of a ternary system, a quaternary system or the like selected from these gases may be used. At this time, it is necessary that 5% or more of hydrogen, ammonia, or the sum of hydrogen and ammonia is mixed with respect to the total flow rate (mass flow rate).

(実施の形態2)
本発明の実施の形態2であるCMOS−LSIの製造方法を図34〜図43を用いて工程順に説明する。
(Embodiment 2)
A method for manufacturing a CMOS-LSI according to the second embodiment of the present invention will be described in the order of steps with reference to FIGS.

本実施の形態の製造方法は、実施の形態1における図1〜図8までの工程については同様である。以下CMP工程以降の工程を説明する。   The manufacturing method of the present embodiment is the same for the steps from FIG. 1 to FIG. 8 in the first embodiment. Hereinafter, processes after the CMP process will be described.

図34は、埋め込みCu配線の形成に用いるCMP装置の全体構成の一例を示す概略図である。   FIG. 34 is a schematic diagram showing an example of the overall configuration of a CMP apparatus used for forming embedded Cu wiring.

図示のように、CMP装置400は、研磨処理部401とその後段に設けられた後洗浄部402とによって構成されている。研磨処理部401には、ウエハ(基板)1の研磨処理を行う2台の定盤(第1定盤403A、第2定盤403B)、研磨処理が終わった基板1を予備洗浄し、その表面に防食処理を施すクリーン・ステーション404、基板1をローダ406、第1定盤403A、第2定盤403B、クリーン・ステーション404、アンローダ407間に移動させる回転アーム405などが設置されている。   As shown in the figure, the CMP apparatus 400 includes a polishing processing unit 401 and a post-cleaning unit 402 provided in the subsequent stage. In the polishing processing unit 401, two surface plates (first surface plate 403A and second surface plate 403B) for polishing the wafer (substrate) 1 and the substrate 1 after the polishing processing are preliminarily cleaned, A clean station 404 for performing anticorrosion treatment, a rotating arm 405 for moving the substrate 1 between the loader 406, the first surface plate 403A, the second surface plate 403B, the clean station 404, and the unloader 407 are installed.

研磨処理部401の後段には予備洗浄が終わった基板1の表面をスクラブ洗浄する後洗浄部402が設けられている。後洗浄部402には、ローダ408、第1洗浄部409A、第2洗浄部409B、スピンドライヤ410、アンローダ411などが設置されている。また、後洗浄部402は、洗浄中の基板1の表面に光が照射するのを防ぐために、全体が遮光壁430で囲まれ、内部が180ルックス、好ましくは100ルックス以下の暗室状態となっている。これは、表面に研磨液が付着した基板1に湿潤状態で光が照射されると、シリコンの光起電力によってpn接合に短絡電流が流れ、pn接合のp側(+側)に接続されたCu配線の表面からCuイオンが解離して配線腐食を引き起こすからである。   A post-cleaning unit 402 that scrubs and cleans the surface of the substrate 1 that has been subjected to preliminary cleaning is provided at the subsequent stage of the polishing processing unit 401. In the post-cleaning unit 402, a loader 408, a first cleaning unit 409A, a second cleaning unit 409B, a spin dryer 410, an unloader 411, and the like are installed. Further, the post-cleaning unit 402 is entirely surrounded by a light-shielding wall 430 in order to prevent the surface of the substrate 1 being cleaned from being irradiated with light, and the inside is in a dark room state of 180 lux, preferably 100 lux or less. Yes. This is because when the substrate 1 with the polishing liquid adhered to the surface is irradiated with light in a wet state, a short-circuit current flows to the pn junction by the photovoltaic of the silicon, and is connected to the p side (+ side) of the pn junction. This is because Cu ions are dissociated from the surface of the Cu wiring to cause wiring corrosion.

図35に示すように、第1定盤403Aは、その下部に設けられた駆動機構412によって水平面内で回転駆動する。また、第1定盤403Aの上面には多数の気孔を有するポリウレタンなどの合成樹脂を均一に貼り付けて形成した研磨パッド413が取り付けられている。第1定盤403Aの上方には、駆動機構414によって上下動および水平面内で回転駆動するウエハキャリア415が設置されている。基板1は、このウエハキャリア415の下端部に設けられたウエハチャック416およびリテーナリング417によって、その主面(被研磨面)を下向きにして保持され、所定の荷重で研磨パッド413に押し付けられる。研磨パッド413の表面と基板1の被研磨面との間にはスラリ供給管418を通じてスラリ(研磨液)Sが供給され、基板1の被研磨面が化学的および機械的に研磨される。また、第1定盤403Aの上方には、駆動機構419によって上下動および水平面内で回転駆動するドレッサ420が設置されている。ドレッサ420の下端部にはダイヤモンド粒子を電着した基材が取り付けられており、研磨パッド413の表面は、研磨砥粒による目詰まりを防ぐために、この基材によって定期的に切削される。なお、第2定盤403Bは、2本のスラリ供給管418a、418bが設けられている点を除き、第1定盤403Aとほぼ同様の構成になっている。   As shown in FIG. 35, the first surface plate 403A is rotationally driven in a horizontal plane by a drive mechanism 412 provided in the lower part thereof. Further, a polishing pad 413 formed by uniformly attaching a synthetic resin such as polyurethane having a large number of pores is attached to the upper surface of the first surface plate 403A. Above the first surface plate 403A, a wafer carrier 415 that is moved up and down by a drive mechanism 414 and driven to rotate in a horizontal plane is installed. The substrate 1 is held with its main surface (surface to be polished) facing downward by a wafer chuck 416 and a retainer ring 417 provided at the lower end of the wafer carrier 415, and is pressed against the polishing pad 413 with a predetermined load. A slurry (polishing liquid) S is supplied between the surface of the polishing pad 413 and the surface to be polished of the substrate 1 through a slurry supply pipe 418, and the surface to be polished of the substrate 1 is chemically and mechanically polished. In addition, a dresser 420 is installed above the first surface plate 403A so as to move up and down by a drive mechanism 419 and to rotate in a horizontal plane. A base material electrodeposited with diamond particles is attached to the lower end of the dresser 420, and the surface of the polishing pad 413 is periodically cut by this base material in order to prevent clogging by the abrasive grains. The second surface plate 403B has substantially the same configuration as the first surface plate 403A except that two slurry supply pipes 418a and 418b are provided.

上記CMP装置400を使ってCu配線を形成するには、ローダ406に収容された基板1を回転アーム405を使って研磨処理部401に搬入し、まず図36に示すように、第1定盤403Aの上において、砥粒を含まないスラリを使用した化学機械研磨(砥粒フリー化学機械研磨)(第1ステップのCMP)を行い、前記配線溝40〜44の外部のCu膜46を除去する(図37)。   In order to form Cu wiring using the CMP apparatus 400, the substrate 1 accommodated in the loader 406 is carried into the polishing processing unit 401 using the rotating arm 405, and first, as shown in FIG. On 403A, chemical mechanical polishing (abrasive-free chemical mechanical polishing) (first step CMP) is performed using a slurry that does not contain abrasive grains, and the Cu film 46 outside the wiring grooves 40 to 44 is removed. (FIG. 37).

ここで砥粒フリー化学機械研磨とは、アルミナ、シリカなどの粉末からなる砥粒の含有量が0.5%重量未満の研磨液(スラリ)を使用した化学機械研磨を意味し、研磨液としては、特に砥粒の含有量が0.1重量%未満のものが好ましく、0.01重量%未満のものはさらに好ましい。   Here, abrasive-free chemical mechanical polishing means chemical mechanical polishing using a polishing liquid (slurry) having an abrasive content of less than 0.5% by weight made of powder such as alumina and silica. In particular, the content of abrasive grains is preferably less than 0.1% by weight, more preferably less than 0.01% by weight.

また、研磨液としては、Cuの腐食域に属するようにそのpHが調整されたものが使用され、さらにTiN膜45(バリア層)に対するCu膜46の研磨選択比が少なくとも5以上となるようにその組成が調整されたものが使用される。このような研磨液として、酸化剤と有機酸とを含んだスラリを例示することができる。酸化剤としては、過酸化水素、水酸化アンモニウム、硝酸アンモニウム、塩化アンモニウムなどを例示することができ、有機酸としては、クエン酸、マロン酸、フマル酸、リンゴ酸、アジピン酸、安息香酸、フタル酸、酒石酸、乳酸、コハク酸などを例示することができる。これらのうち、過酸化水素は金属成分を含まず、かつ強酸ではないため、研磨液に用いて好適な酸化剤である。また、クエン酸は食品添加物としても一般に使用されており、毒性が低く、廃液としての害も低く、臭いもなく、水への溶解度も高いため、研磨液に用いて好適な有機酸である。本実施の形態では、例えば純水に5体積%の過酸化水素と0.03重量%のクエン酸とを加え、砥粒の含有量を0.01重量%未満にした研磨液を使用する。   Further, as the polishing liquid, a liquid whose pH is adjusted so as to belong to the corrosion area of Cu is used, and the polishing selectivity of the Cu film 46 to the TiN film 45 (barrier layer) is at least 5 or more. What the composition was adjusted is used. As such a polishing liquid, a slurry containing an oxidizing agent and an organic acid can be exemplified. Examples of the oxidizing agent include hydrogen peroxide, ammonium hydroxide, ammonium nitrate, and ammonium chloride. Examples of the organic acid include citric acid, malonic acid, fumaric acid, malic acid, adipic acid, benzoic acid, and phthalic acid. And tartaric acid, lactic acid, succinic acid and the like. Of these, hydrogen peroxide does not contain a metal component and is not a strong acid, and therefore is a suitable oxidizing agent for use in the polishing liquid. Citric acid is also generally used as a food additive, has low toxicity, low waste damage, no odor, and high solubility in water, so it is a suitable organic acid for use in polishing liquids. . In this embodiment, for example, a polishing liquid in which 5% by volume of hydrogen peroxide and 0.03% by weight of citric acid are added to pure water so that the content of abrasive grains is less than 0.01% by weight is used.

上記研磨液で化学機械研磨を行うと、まずCu表面が酸化剤によって酸化され、表面に薄い酸化層が形成される。次に酸化物を水溶性化する物質が供給されると上記酸化層が水溶液となって溶出し、上記酸化層の厚さか減る。酸化層が薄くなった部分は再度酸化性物質に晒されて酸化層の厚さが増し、この反応を繰り返して化学機械研磨が進行する。なお、このような砥粒フリーの研磨液を使用した化学機械研磨については、本願発明者などによる日本特願平9−299937号および特願平10−317233号に詳しく記載されている。   When chemical mechanical polishing is performed with the above polishing liquid, the Cu surface is first oxidized by an oxidizing agent, and a thin oxide layer is formed on the surface. Next, when a substance for water-solubilizing the oxide is supplied, the oxide layer is eluted as an aqueous solution, and the thickness of the oxide layer is reduced. The thinned portion of the oxide layer is again exposed to the oxidizing substance to increase the thickness of the oxide layer, and this reaction is repeated to advance chemical mechanical polishing. The chemical mechanical polishing using such an abrasive-free polishing solution is described in detail in Japanese Patent Application Nos. 9-299937 and 10-317233 by the present inventors.

研磨の条件は、一例として荷重=250g/cm2、ウエハキャリア回転数=30rpm 、定盤回転数=25rpm 、スラリ流量=150cc/minとし、研磨パッドは、米国ロデール(Rodel) 社の硬質パッド(IC1400)を使用する。研磨の終点は、Cu膜46が除去されて下地のTiN膜45が露出した時点とし、終点の検出は、研磨対象がCu膜46からTiN膜45になったときに変化する定盤またはウエハキャリアの回転トルク信号強度を検出することによって行う。また、研磨パッドの一部に穴を開け、ウエハ表面からの光反射スペクトル変化に基づいて終点を検出したり、スラリの光学的スペクトル変化に基づいて終点を検出したりしてもよい。 The polishing conditions are, for example, load = 250 g / cm 2 , wafer carrier rotation speed = 30 rpm, surface plate rotation speed = 25 rpm, slurry flow rate = 150 cc / min, and the polishing pad is a hard pad (Rodel, USA) IC1400). The polishing end point is when the Cu film 46 is removed and the underlying TiN film 45 is exposed, and the end point is detected when the polishing target changes from the Cu film 46 to the TiN film 45. This is performed by detecting the rotational torque signal intensity of the. Alternatively, a hole may be formed in a part of the polishing pad, and the end point may be detected based on a change in the light reflection spectrum from the wafer surface, or the end point may be detected based on the change in the optical spectrum of the slurry.

図37に示すように、上記の砥粒フリー化学機械研磨を行うことにより、配線溝40〜44の外部のCu膜46は殆ど除去されて下層のTiN膜45が露出するが、図38(a)(b)に拡大して示すように、下地段差に起因して生じたTiN膜45の窪み(矢印で示す)などには、この研磨では除去しきれなかったCu膜46が残存する。   As shown in FIG. 37, by performing the above-mentioned abrasive-free chemical mechanical polishing, the Cu film 46 outside the wiring grooves 40 to 44 is almost removed and the lower TiN film 45 is exposed, but FIG. As shown in an enlarged view in (b), the Cu film 46 that could not be removed by this polishing remains in the depressions (indicated by arrows) of the TiN film 45 caused by the underlying step.

次に、配線溝40〜44の外部のTiN膜45とその上面に局所的に残ったCu膜46とを除去するために、基板1を第1定盤403Aから第2定盤403Bに移し、砥粒を含む研磨液(スラリ)を使用した化学機械研磨(有砥粒化学機械研磨)(第2ステップのCMP)を行う。ここで有砥粒化学機械研磨とは、アルミナ、シリカなどの粉末からなる砥粒の含有量が0.5重量%以上の研磨液を使用した化学機械研磨を意味する。本実施の形態では、研磨液として純水に5体積%の過酸化水素、0.03重量%のクエン酸および0.5重量%の砥粒を混合したものを使用するが、これに限定されるものではない。この研磨液は、前記のスラリ供給管418aを通じて第2定盤403Bの研磨パッド413に供給される。   Next, in order to remove the TiN film 45 outside the wiring grooves 40 to 44 and the Cu film 46 left locally on the upper surface, the substrate 1 is moved from the first surface plate 403A to the second surface plate 403B. Chemical mechanical polishing (abrasive chemical mechanical polishing) using a polishing liquid (slurry) containing abrasive grains (CMP in the second step) is performed. Here, the abrasive mechanical chemical polishing means chemical mechanical polishing using a polishing liquid in which the content of abrasive grains made of powder such as alumina and silica is 0.5% by weight or more. In the present embodiment, a mixture of 5% by volume of hydrogen peroxide, 0.03% by weight of citric acid, and 0.5% by weight of abrasive grains is used as a polishing liquid in a pure water. However, the present invention is not limited to this. It is not something. This polishing liquid is supplied to the polishing pad 413 of the second surface plate 403B through the slurry supply pipe 418a.

また、この有砥粒化学機械研磨においては、TiN膜45の上面に局所的に残ったCu膜46の除去に引き続いて、配線溝40〜44の外部のTiN膜45を除去する。そこで、TiN膜45(バリア層)に対するCu膜46の研磨選択比が前記砥粒フリー化学機械研磨のそれよりも低い条件、例えば選択比3以下の条件で研磨を行い、配線溝40〜44の内部のCu膜46の表面が研磨されるのを抑制する。   In this abrasive-mechanical chemical polishing, the TiN film 45 outside the wiring grooves 40 to 44 is removed following the removal of the Cu film 46 left locally on the upper surface of the TiN film 45. Therefore, polishing is performed under the condition that the polishing selection ratio of the Cu film 46 to the TiN film 45 (barrier layer) is lower than that of the abrasive-free chemical mechanical polishing, for example, the selection ratio is 3 or less. The surface of the internal Cu film 46 is prevented from being polished.

研磨の条件は、一例として荷重=120g/cm2、ウエハキャリア回転数=30rpm 、定盤回転数=25rpm 、スラリ流量=150cc/minとし、研磨パッドは、ロデール社のIC1400を使用する。研磨量はTiN膜45の膜厚相当分とし、研磨の終点は、TiN膜45の膜厚および研磨速度から算出した時間によって制御する。 The polishing conditions are, for example, a load = 120 g / cm 2 , a wafer carrier rotation speed = 30 rpm, a platen rotation speed = 25 rpm, and a slurry flow rate = 150 cc / min, and a polishing pad IC1400 is used. The amount of polishing is equivalent to the thickness of the TiN film 45, and the end point of polishing is controlled by the time calculated from the thickness of the TiN film 45 and the polishing rate.

図39に示すように、上記の有砥粒化学機械研磨を行うことにより、配線溝40〜44の外部のTiN膜45は殆ど除去されて下層のシリコン酸化膜39が露出するが、図40(a)、(b)に拡大して示すように、下地段差に起因して生じたシリコン酸化膜39の窪み(矢印で示す)などには、上記の研磨で除去しきれなかったTiN膜45が残存する。   As shown in FIG. 39, by carrying out the abrasive chemical mechanical polishing described above, the TiN film 45 outside the wiring grooves 40 to 44 is almost removed and the underlying silicon oxide film 39 is exposed. As shown in enlarged views (a) and (b), the TiN film 45 that could not be removed by the above polishing is formed in the depressions (indicated by arrows) of the silicon oxide film 39 caused by the underlying step. Remains.

次に、配線溝40〜44の内部のCu膜46の研磨を可能な限り抑制しつつ、配線溝40〜44の外部のシリコン酸化膜39上に局所的に残ったTiN膜45(バリア層)を除去するための選択的化学機械研磨(第3ステップのCMP)を行う。この選択的化学機械研磨は、Cu膜46に対するTiN膜45の研磨選択比が少なくとも5以上となる条件で行う。また、この化学機械研磨は、Cu膜46の研磨速度に対するシリコン酸化膜39の研磨速度の比が1よりも大きくなる条件で行う。   Next, the TiN film 45 (barrier layer) remaining locally on the silicon oxide film 39 outside the wiring grooves 40 to 44 while suppressing the polishing of the Cu film 46 inside the wiring grooves 40 to 44 as much as possible. A selective chemical mechanical polishing (CMP of the third step) is carried out to remove the material. This selective chemical mechanical polishing is performed under the condition that the polishing selection ratio of the TiN film 45 to the Cu film 46 is at least 5 or more. The chemical mechanical polishing is performed under the condition that the ratio of the polishing rate of the silicon oxide film 39 to the polishing rate of the Cu film 46 is larger than 1.

上記選択的化学機械研磨を行うには、一般に前記有砥粒化学機械研磨で使用したような0.5%重量以上の砥粒を含有する研磨液に防食剤を添加したものを使用する。防食剤とは、Cu膜46の表面に耐食性の保護膜を形成することによって研磨の進行を阻止または抑制する薬剤をいい、ベンゾトリアゾール(BTA)、BTAカルボン酸などのBTA誘導体、ドデシルメルカプタン、トリアゾール、トリルトリアゾールなどが使用されるが、特にBTAを使用した場合に安定な保護膜を形成することができる。   In order to perform the selective chemical mechanical polishing, generally, a polishing liquid containing 0.5% by weight or more of abrasive grains used in the abrasive chemical mechanical polishing is added with an anticorrosive agent. The anticorrosive agent refers to an agent that prevents or suppresses the progress of polishing by forming a corrosion-resistant protective film on the surface of the Cu film 46, and includes BTA derivatives such as benzotriazole (BTA) and BTA carboxylic acid, dodecyl mercaptan, and triazole. Tolyltriazole and the like are used, and a stable protective film can be formed particularly when BTA is used.

防食剤としてBTAを使用する場合、その濃度はスラリの種類にもよるが、通常は0.001〜1重量%、より好ましくは0.01〜1重量%、さらに好ましくは0.1〜1重量%(3段階)の添加で十分な効果が得られる。本実施の形態では、研磨液として前記第2ステップの有砥粒化学機械研磨で使用した研磨液に防食剤として0.1重量%のBTAを混合したものを使用するが、これに限定されるものではない。また、防食剤の添加による研磨速度の低下を避けるために、ポリアクリル酸、ポリメタクリル酸、これらのアンモニウム塩またはエチレンジアミン四酢酸(EDTA)などを必要に応じて添加してもよい。なお、このような防食剤を含むスラリを使用した化学機械研磨については、本願発明者などによる特願平10−209857号、特願平9−299937号および特願平10−317233号に詳しく記載されている。   When BTA is used as an anticorrosive, the concentration depends on the type of slurry, but is usually 0.001 to 1% by weight, more preferably 0.01 to 1% by weight, still more preferably 0.1 to 1% by weight. A sufficient effect can be obtained by adding% (3 steps). In the present embodiment, the polishing liquid used in the second step abrasive grain chemical mechanical polishing is mixed with 0.1% by weight of BTA as an anticorrosive agent. However, the present invention is limited to this. It is not a thing. Further, in order to avoid a decrease in polishing rate due to the addition of an anticorrosive agent, polyacrylic acid, polymethacrylic acid, ammonium salts thereof, ethylenediaminetetraacetic acid (EDTA), or the like may be added as necessary. Note that chemical mechanical polishing using a slurry containing such an anticorrosive is described in detail in Japanese Patent Application Nos. 10-209857, 9-299937 and 10-317233 by the present inventors. Has been.

この選択的化学機械研磨(第3ステップのCMP)は、前記の有砥粒化学機械研磨(第2ステップのCMP)が終了した後、引き続いて第2定盤403Bの上で行われる。防食剤を添加した研磨液は、前記のスラリ供給管418bを通じて研磨パッド413の表面に供給される。研磨の条件は、一例として荷重=120g/cm2、ウエハキャリア回転数=30rpm 、定盤回転数=25rpm 、スラリ流量=190cc/minとする。 This selective chemical mechanical polishing (CMP of the third step) is performed on the second surface plate 403B after the above-described abrasive chemical mechanical polishing (CMP of the second step) is completed. The polishing liquid to which the anticorrosive is added is supplied to the surface of the polishing pad 413 through the slurry supply pipe 418b. The polishing conditions are, for example, load = 120 g / cm 2 , wafer carrier rotation speed = 30 rpm, surface plate rotation speed = 25 rpm, and slurry flow rate = 190 cc / min.

図41および図42(a)、(b)に示すように、上記の選択的化学機械研磨を行うことにより、配線溝40〜44の外部のTiN膜45がすべて除去され、配線溝40〜44の内部に埋め込みCu配線46a〜46eが形成される。   As shown in FIGS. 41 and 42 (a) and 42 (b), by performing the selective chemical mechanical polishing described above, the TiN film 45 outside the wiring grooves 40 to 44 is all removed, and the wiring grooves 40 to 44 are removed. Embedded Cu wirings 46a to 46e are formed in the inside.

埋め込みCu配線46a〜46eの形成が完了した上記基板1の表面には、砥粒などのパーティクルやCu酸化物などの金属粒子を含んだスラリ残渣が付着している。そこでこのスラリ残渣を除去するために、まず前記図34に示すクリーン・ステーション404においてBTAを含む純水で基板1を洗浄する。このとき、洗浄液に800kHz以上の高周波振動を加えて基板1の表面からスラリ残渣を遊離させるメガソニック洗浄を併用してもよい。次に、表面の乾燥を防ぐために基板1を湿潤状態に保持した状態で研磨処理部401から後洗浄部402に搬送し、第1洗浄部409Aにおいて0.1重量%のNHOHを含む洗浄液を用いたスクラブ洗浄を行い、続いて第2洗浄部409Bにおいて純水を用いたスクラブ洗浄を行う。前記のように、後洗浄部402は、洗浄中の基板1の表面に光が照射することに起因してCu配線46a〜46eに腐食が発生するのを防ぐため、全体が遮光壁430で覆われている。 A slurry residue containing particles such as abrasive grains and metal particles such as Cu oxide is attached to the surface of the substrate 1 where the formation of the embedded Cu wirings 46a to 46e is completed. Therefore, in order to remove this slurry residue, the substrate 1 is first cleaned with pure water containing BTA in the clean station 404 shown in FIG. At this time, megasonic cleaning in which slurry residue is released from the surface of the substrate 1 by applying high-frequency vibration of 800 kHz or more to the cleaning liquid may be used in combination. Next, in order to prevent the surface from drying, the substrate 1 is transported from the polishing processing unit 401 to the post-cleaning unit 402 in a wet state, and the first cleaning unit 409A includes a cleaning solution containing 0.1 wt% NH 4 OH. Then, scrub cleaning using pure water is performed in the second cleaning unit 409B. As described above, the post-cleaning unit 402 is entirely covered with the light-shielding wall 430 in order to prevent the Cu wirings 46a to 46e from being corroded due to light irradiating the surface of the substrate 1 being cleaned. It has been broken.

上記スクラブ洗浄(後洗浄)が完了した基板1は、スピンドライヤ410で乾燥された後、次工程へ搬送される。   After the scrub cleaning (post-cleaning) is completed, the substrate 1 is dried by the spin dryer 410 and then transferred to the next process.

その後の工程は実施の形態1と同様である。図43は、上述したCu配線46a〜46eの形成プロセスの全体フロー図である。   Subsequent steps are the same as those in the first embodiment. FIG. 43 is an overall flow diagram of the formation process of the Cu wirings 46a to 46e described above.

本実施の形態によれば、実施の形態1の場合よりさらにTDDB寿命を向上できる。図44は本実施の形態の場合のTDDB寿命を示したグラフである。本実施の形態の場合のデータはラインEで示している。参考のため、処理無し(ラインRef)と有砥粒の化学機械研磨の場合(実施の形態1)のデータ(ラインA)を同時に示している。なお、アンモニアプラズマ処理を行わず、砥粒フリーの化学機械研磨のみを行っただけでもラインFに示すようにTDDB特性が改善する。このように砥粒フリーの場合にTDDB寿命が向上するのはシリコン酸化膜に与えるダメージを低減できるためと考えられる。有砥粒の場合、スラリには2〜3μmの粒径(2次粒径)の砥粒(アルミナ等)が含まれる。この砥粒によりマイクロスクラッチが生じ、シリコン酸化膜39の表面にダメージを与える。しかし、砥粒フリーの場合にはスラリに砥粒が含まれず、あるいは含まれていてもごく少数であるため、ダメージを大幅に軽減できる。このため、TDDB特性が改善されたものと考えられる。   According to the present embodiment, the TDDB life can be further improved as compared with the case of the first embodiment. FIG. 44 is a graph showing the TDDB life in the case of the present embodiment. Data in the case of this embodiment is indicated by line E. For reference, data (line A) in the case of no treatment (line Ref) and chemical mechanical polishing of abrasive grains (first embodiment) are shown simultaneously. It should be noted that the TDDB characteristics are improved as shown by line F even if only the abrasive-free chemical mechanical polishing is performed without performing the ammonia plasma treatment. The reason why the TDDB life is improved when the abrasive grains are free is considered to be because damage to the silicon oxide film can be reduced. In the case of abrasive grains, the slurry contains abrasive grains (such as alumina) having a particle diameter (secondary particle diameter) of 2 to 3 μm. The abrasive grains cause micro scratches and damage the surface of the silicon oxide film 39. However, in the case of abrasive-free, the slurry does not contain abrasive grains, or even if contained, there are very few, so damage can be greatly reduced. For this reason, it is considered that the TDDB characteristics are improved.

なお、次の実施の形態で説明する酸処理(HF処理)を組み合わせると、さらにTDDB特性が改善する(ラインG)。酸処理は、CMP後洗浄後、さらに酸性水溶液(たとえばHF水溶液)で基板1を処理し、その後アンモニアプラズマ処理を行うものである。酸処理により表面のダメージ層が除去されて界面の密着性が向上しTDDB寿命が改善したものと考えられる。   In addition, when the acid treatment (HF treatment) described in the next embodiment is combined, the TDDB characteristics are further improved (line G). In the acid treatment, after the post-CMP cleaning, the substrate 1 is further treated with an acidic aqueous solution (for example, HF aqueous solution), and then ammonia plasma treatment is performed. It is considered that the surface damage layer was removed by the acid treatment, the adhesion at the interface was improved, and the TDDB life was improved.

(実施の形態3)
図45は、Cu配線46a〜46eの形成プロセスの全体フロー図である。同図に示すように、HFまたはクエン酸による洗浄工程を挿入した以外は実施の形態1と同様である。
(Embodiment 3)
FIG. 45 is an overall flow diagram of the formation process of the Cu wirings 46a to 46e. As shown in the figure, the process is the same as that of the first embodiment except that a cleaning step using HF or citric acid is inserted.

HF洗浄は、たとえばブラシスクラブ洗浄を用い、HF濃度を0.5%、洗浄時間を20秒の条件が選択できる。   As the HF cleaning, for example, brush scrub cleaning is used, and the conditions of HF concentration of 0.5% and cleaning time of 20 seconds can be selected.

あるいはHF洗浄に代えてクエン酸洗浄を用いても良い。クエン酸洗浄は、たとえばブラシスクラブ洗浄を用い、クエン酸濃度を5%、洗浄時間を45秒の条件が選択できる。   Alternatively, citric acid cleaning may be used instead of HF cleaning. For the citric acid cleaning, for example, brush scrub cleaning is used, and a condition where the citric acid concentration is 5% and the cleaning time is 45 seconds can be selected.

このようにHFまたはクエン酸洗浄を用いることにより、CMP等で生じた表面のダメージ層を除去することができる。これによりTDDB寿命を向上できる。図46は、本実施の形態の場合のTDDB寿命を示したグラフである。本実施の形態の場合のクエン酸を適用したデータはラインH、HF洗浄を適用したデータはラインIで示している。参考のため、処理無し(ラインRef)と実施の形態1のデータ(ラインA)を同時に示している。なお、アンモニアプラズマ処理を行わず、HF洗浄のみを行っただけでもラインJに示すようにTDDB特性が改善する。これは、ダメージ層の除去により界面の特性を向上できたためと思われる。   Thus, by using HF or citric acid cleaning, the damaged layer on the surface generated by CMP or the like can be removed. Thereby, the TDDB life can be improved. FIG. 46 is a graph showing the TDDB life in the case of the present embodiment. In the present embodiment, data applying citric acid is indicated by line H, and data applying HF cleaning is indicated by line I. For reference, no processing (line Ref) and data of the first embodiment (line A) are shown at the same time. It should be noted that the TDDB characteristics are improved as shown by line J even if only the HF cleaning is performed without performing the ammonia plasma treatment. This is presumably because the interface characteristics could be improved by removing the damaged layer.

(実施の形態4)
図47〜図49は、本発明の実施の形態4である半導体集積回路装置の製造方法を示した平面図および断面図である。図47〜図49においては配線部のみ示している。
(Embodiment 4)
47 to 49 are plan views and cross-sectional views showing a method for manufacturing a semiconductor integrated circuit device according to the fourth embodiment of the present invention. 47 to 49, only the wiring portion is shown.

図47に示すように、絶縁膜501上に配線形成用の絶縁膜502を形成し、この絶縁膜502に埋め込んで銅配線503を形成する。層配線503の形成方法は実施の形態1〜3と同様である。   As shown in FIG. 47, an insulating film 502 for forming a wiring is formed on the insulating film 501 and buried in the insulating film 502 to form a copper wiring 503. The formation method of the layer wiring 503 is the same as in the first to third embodiments.

さらに、シリコン窒化膜504、低誘電率のシリコン酸化膜505およびTEOSを原料ガスに用いてプラズマCVD法により形成したシリコン酸化膜(TEOS酸化膜)506を形成する。   Further, a silicon nitride film 504, a silicon oxide film 505 having a low dielectric constant, and a silicon oxide film (TEOS oxide film) 506 formed by plasma CVD using TEOS as a source gas are formed.

低誘電率のシリコン酸化膜505は、例えば水素シルセスキオキサン(Hydrogen Silsesquioxane) を原料とする無機系SOG膜、テトラアルコキシシラン(tetra alkoxy silane) +アルキルアルコキシシラン(alkyl alkoxy silane) を原料とする有機系SOG膜といった塗布型絶縁膜や、プラズマCVD法で成膜するフロロカーボンポリマー膜など、比誘電率(ε)が3.0以下の酸化シリコン系絶縁膜によって構成する。このような低誘電率のシリコン酸化膜を用いることによって配線間寄生容量を低減し、配線遅延の問題を回避できる。   The silicon oxide film 505 having a low dielectric constant is made of, for example, an inorganic SOG film using hydrogen silsesquioxane as a raw material, tetraalkoxysilane + alkyl alkoxysilane. It is formed of a silicon oxide insulating film having a relative dielectric constant (ε) of 3.0 or less, such as a coating type insulating film such as an organic SOG film or a fluorocarbon polymer film formed by a plasma CVD method. By using such a low dielectric constant silicon oxide film, the inter-wiring parasitic capacitance can be reduced and the problem of wiring delay can be avoided.

次に、図48(a)に示すようなパターンで、図48(b)に示すように、接続孔507を開口する。接続孔507の開口にはフォトリソグラフィとエッチングを用いる。ところで、低誘電率のシリコン酸化膜505は、表面が粗な膜構造を有し、Si−OH結合を多く有する。このためその上層に形成する膜の膜質や界面状態が良くないことは経験的に判明している。また、次工程で説明するバリア膜(窒化チタン)をそのまま未処理で成膜するとTDDB特性が良くないことも経験的に判明している。そこで、次に、実施の形態1で説明したアンモニアプラズマ処理を接続孔507内部のシリコン酸化膜505露出部に施す。これにより、表面のSi−OH結合が改質されて、実施の形態1で説明したようにSi−O−N結合に転換される。   Next, in the pattern as shown in FIG. 48A, as shown in FIG. 48B, the connection hole 507 is opened. Photolithography and etching are used for opening the connection hole 507. Incidentally, the silicon oxide film 505 having a low dielectric constant has a film structure with a rough surface, and has many Si—OH bonds. For this reason, it has been empirically found that the film quality and interface state of the film formed thereon are not good. It has also been empirically found that the TDDB characteristics are not good if the barrier film (titanium nitride) described in the next step is formed as it is. Therefore, next, the ammonia plasma treatment described in Embodiment 1 is performed on the exposed portion of the silicon oxide film 505 inside the connection hole 507. Thereby, the Si—OH bond on the surface is modified and converted to the Si—O—N bond as described in the first embodiment.

次に、図49に示すように、接続孔507内に窒化チタンおよびタングステンからなるプラグ508を形成する。この窒化チタンの堆積の際、実施の形態1と同様にSi−O−N結合が離脱し、窒化チタンと低誘電率のシリコン酸化膜505との界面が改善され接着性が向上する。   Next, as shown in FIG. 49, a plug 508 made of titanium nitride and tungsten is formed in the connection hole 507. During the deposition of titanium nitride, the Si—O—N bond is released as in the first embodiment, the interface between the titanium nitride and the silicon oxide film 505 having a low dielectric constant is improved, and the adhesion is improved.

なお、このような接続孔内のプラズマ処理は、配線溝にも適用できることはもちろんである。   Of course, such plasma treatment in the connection hole can also be applied to the wiring trench.

また、アンモニアプラズマ処理に代えて水素プラズマ処理、窒素、アルゴン、ヘリウム等が混合されたプラズマ処理であっても良い。   Further, instead of the ammonia plasma treatment, a plasma treatment in which hydrogen plasma treatment, nitrogen, argon, helium, or the like is mixed may be used.

なお、接続孔507の開口後にフォトレジスト膜を除去するためのアッシング工程に置いて、接続孔507底部の配線503の表面が酸化される場合がある。このような酸化層を除去する技術として、特開平11−16912号公報に記載の技術がある。   Note that the surface of the wiring 503 at the bottom of the connection hole 507 may be oxidized in an ashing process for removing the photoresist film after the connection hole 507 is opened. As a technique for removing such an oxide layer, there is a technique described in JP-A-11-16912.

また、低誘電率のシリコン酸化膜505は、パッシベーション膜として形成される保護膜に含まれるシリコン酸化膜(たとえばTEOS酸化膜)の誘電率よりも低い誘電率を有するシリコン酸化膜と定義できる。   The low dielectric constant silicon oxide film 505 can be defined as a silicon oxide film having a dielectric constant lower than that of a silicon oxide film (eg, TEOS oxide film) included in a protective film formed as a passivation film.

以上、本発明者によってなされた発明を発明の実施の形態に基づき具体的に説明したが、本発明は前記実施の形態に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能であることはいうまでもない。   As mentioned above, the invention made by the present inventor has been specifically described based on the embodiments of the invention. However, the present invention is not limited to the above-described embodiments, and various modifications can be made without departing from the scope of the invention. Needless to say.

すなわち、上記した埋め込みCu配線46a〜46eの形成方法は、デュアルダマシン法を用いた埋め込みCu配線の形成に適用することもできる。この場合は、第1層目のW配線24〜30を形成した後、まず図50に示すように、第1層目のW配線24〜30の上部にプラズマCVD法で膜厚1200nm程度のシリコン酸化膜31、膜厚50nm程度の薄いシリコン窒化膜38および膜厚350nm程度のシリコン酸化膜39を順次堆積する。   That is, the above-described method for forming the embedded Cu wirings 46a to 46e can also be applied to the formation of the embedded Cu wiring using the dual damascene method. In this case, after forming the first-layer W wirings 24-30, first, as shown in FIG. 50, silicon having a film thickness of about 1200 nm is formed on the first-layer W wirings 24-30 by plasma CVD. An oxide film 31, a thin silicon nitride film 38 having a thickness of about 50 nm, and a silicon oxide film 39 having a thickness of about 350 nm are sequentially deposited.

次に、図51に示すように、フォトレジスト膜をマスクにしたドライエッチングで第1層目のW配線24、26、27、29、30の上部のシリコン酸化膜39、シリコン窒化膜38およびシリコン酸化膜31を順次除去した後、図52(a)、(b)に示すように、別のフォトレジスト膜をマスクに用い、シリコン窒化膜38をエッチングのストッパしたドライエッチングでシリコン酸化膜39を除去することによって、スルーホールを兼ねた配線溝50〜54を形成する。   Next, as shown in FIG. 51, the silicon oxide film 39, the silicon nitride film 38, and the silicon on the first layer W wirings 24, 26, 27, 29, and 30 by dry etching using a photoresist film as a mask. After sequentially removing the oxide film 31, as shown in FIGS. 52A and 52B, the silicon oxide film 39 is formed by dry etching using another photoresist film as a mask and the silicon nitride film 38 as an etching stopper. By removing, the wiring grooves 50 to 54 that also serve as through holes are formed.

次に、図53に示すように、配線溝50〜54の内部を含むシリコン酸化膜39の上部に膜厚50nm程度の薄いTiN膜45を堆積した後、TiN膜45の上部に配線溝50〜54の深さよりも十分に厚い膜厚のCu膜46を堆積する。スルーホールを兼ねた配線溝50〜54は、前記配線溝40〜44に比べてアスペクト比が大きいため、TiN膜45はCVD法で堆積する。また、Cu膜46はスパッタリングを2回以上繰り返すことによって堆積する。また、CVD法、電解メッキ法あるいは無電解メッキ法で形成してもよい。メッキ法でCu膜46を形成する場合には、配線溝50〜54の下層にCuのシード層をスパッタリング法などで形成する工程が必要となる。   Next, as shown in FIG. 53, a thin TiN film 45 having a film thickness of about 50 nm is deposited on the silicon oxide film 39 including the inside of the wiring grooves 50 to 54, and then the wiring grooves 50 to 50 are formed on the TiN film 45. A Cu film 46 having a thickness sufficiently thicker than the depth of 54 is deposited. Since the wiring grooves 50 to 54 that also serve as through holes have a larger aspect ratio than the wiring grooves 40 to 44, the TiN film 45 is deposited by the CVD method. The Cu film 46 is deposited by repeating sputtering twice or more. Further, it may be formed by a CVD method, an electrolytic plating method, or an electroless plating method. When the Cu film 46 is formed by plating, a step of forming a Cu seed layer by sputtering or the like under the wiring grooves 50 to 54 is required.

次に、図54に示すように、前述した砥粒フリー化学機械研磨、有砥粒化学機械研磨および選択的化学機械研磨によって配線溝50〜54の外部のCu膜46とTiN膜45とを除去し、配線溝50〜54の内部に埋め込みCu配線46a〜46eを形成する。その後の工程は、前記シングルダマシン法を用いた埋め込みCu配線46a〜46eの形成方法と同じである。   Next, as shown in FIG. 54, the Cu film 46 and the TiN film 45 outside the wiring grooves 50 to 54 are removed by the above-described abrasive-free chemical mechanical polishing, abrasive-containing chemical mechanical polishing, and selective chemical mechanical polishing. Then, embedded Cu wirings 46 a to 46 e are formed inside the wiring grooves 50 to 54. Subsequent steps are the same as the method of forming the embedded Cu wirings 46a to 46e using the single damascene method.

また、前記実施の形態1〜4は、各々独立に適用できることはもちろん、相互に組み合わせて適用できることは言うまでもない。たとえば実施の形態2の技術を適用して砥粒フリーで化学機械研磨を施し、その後実施の形態3を適用して酸処理を施し、さらに実施の形態1を適用してアンモニアあるいは水素、その他のプラズマ処理を施しても良い。   In addition, it goes without saying that the first to fourth embodiments can be applied independently and can be applied in combination with each other. For example, the technology of the second embodiment is applied to perform chemical mechanical polishing without abrasive grains, and then the third embodiment is applied to perform acid treatment, and the first embodiment is further applied to ammonia or hydrogen. Plasma treatment may be performed.

また、前記実施の形態では、アンモニアプラズマ処理後のシリコン窒化膜47の形成を真空破壊することなく連続的に行ったが、アンモニアプラズマ処理の後、一旦真空破壊をして、その後シリコン窒化膜47を形成しても良い。真空破壊しない方が本発明の効果をより効果的に奏することができるが、アンモニアプラズマ処理により薄い窒化層が形成されるため、真空破壊を行い大気雰囲気に暴露しても酸化層の形成を抑制できる。よって、真空破壊した場合であっても、本実施の形態の効果をある程度奏することは可能である。   In the above embodiment, the formation of the silicon nitride film 47 after the ammonia plasma processing is continuously performed without breaking the vacuum. However, after the ammonia plasma processing, the silicon nitride film 47 is once broken by vacuum and then the silicon nitride film 47 is formed. May be formed. Although the effect of the present invention can be more effectively achieved without vacuum breakage, a thin nitride layer is formed by the ammonia plasma treatment, so that formation of an oxide layer is suppressed even when vacuum breakage is performed and exposed to the atmosphere. it can. Therefore, even when the vacuum breaks, the effect of the present embodiment can be achieved to some extent.

半導体集積回路装置のダマシン技術に適用して好適である。   It is suitable for application to damascene technology of semiconductor integrated circuit devices.

本発明の一実施の形態(実施の形態1)である半導体集積回路装置の製造方法を示す半導体基板の要部断面図である。It is principal part sectional drawing of the semiconductor substrate which shows the manufacturing method of the semiconductor integrated circuit device which is one embodiment (Embodiment 1) of this invention. 実施の形態1の製造方法を示す半導体基板の要部断面図である。FIG. 3 is a main-portion cross-sectional view of the semiconductor substrate showing the manufacturing method in Embodiment 1; 実施の形態1の製造方法を示す半導体基板の要部断面図である。FIG. 3 is a main-portion cross-sectional view of the semiconductor substrate showing the manufacturing method in Embodiment 1; 実施の形態1の製造方法を示す半導体基板の要部断面図である。FIG. 3 is a main-portion cross-sectional view of the semiconductor substrate showing the manufacturing method in Embodiment 1; 実施の形態1の製造方法を示す半導体基板の要部断面図である。FIG. 3 is a main-portion cross-sectional view of the semiconductor substrate showing the manufacturing method in Embodiment 1; (a)は実施の形態1の製造方法を示す平面図、(b)は実施の形態1の製造方法を示す要部断面図である。(A) is a top view which shows the manufacturing method of Embodiment 1, (b) is principal part sectional drawing which shows the manufacturing method of Embodiment 1. FIG. (a)は実施の形態1の製造方法を示す平面図、(b)は実施の形態1の製造方法を示す要部断面図である。(A) is a top view which shows the manufacturing method of Embodiment 1, (b) is principal part sectional drawing which shows the manufacturing method of Embodiment 1. FIG. 実施の形態1の製造方法を示す半導体基板の要部断面図である。FIG. 3 is a main-portion cross-sectional view of the semiconductor substrate showing the manufacturing method in Embodiment 1; 埋め込みCu配線の形成に用いるCMP装置の全体構成の一例を示す概略図である。It is the schematic which shows an example of the whole structure of the CMP apparatus used for formation of embedded Cu wiring. 埋め込みCu配線の形成に用いるCMP装置の一部を示す概略図である。It is the schematic which shows a part of CMP apparatus used for formation of embedded Cu wiring. ウエハのスクラブ洗浄方法を示す斜視図である。It is a perspective view which shows the scrub cleaning method of a wafer. 埋め込みCu配線の形成に用いるCMP装置の全体構成の他の例を示す概略図である。It is the schematic which shows the other example of the whole structure of the CMP apparatus used for formation of embedded Cu wiring. 埋め込みCu配線の形成に用いるCMP装置の全体構成のさらに他の例を示す概略図である。It is the schematic which shows the further another example of the whole structure of the CMP apparatus used for formation of embedded Cu wiring. 実施の形態1の製造方法を示す半導体基板の要部断面図である。FIG. 3 is a main-portion cross-sectional view of the semiconductor substrate showing the manufacturing method in Embodiment 1; (a)は、アンモニアプラズマ処理およびシリコン窒化膜の堆積に用いるプラズマ処理装置の概要を示した断面図であり、(b)は同じく平面図である。(A) is sectional drawing which showed the outline | summary of the plasma processing apparatus used for deposition of ammonia plasma processing and a silicon nitride film, (b) is also a top view. 実施の形態1の製造方法を示す半導体基板の要部断面図である。FIG. 3 is a main-portion cross-sectional view of the semiconductor substrate showing the manufacturing method in Embodiment 1; 実施の形態1の製造方法を示す半導体基板の要部断面図である。FIG. 3 is a main-portion cross-sectional view of the semiconductor substrate showing the manufacturing method in Embodiment 1; 実施の形態1の半導体集積回路装置の製造方法を示すフロー図である。5 is a flowchart showing a method for manufacturing the semiconductor integrated circuit device of the first embodiment. FIG. 実施の形態1の半導体集積回路装置の概要を示す断面図である1 is a cross-sectional view illustrating an outline of a semiconductor integrated circuit device according to a first embodiment. TDDB寿命を示すグラフである。It is a graph which shows TDDB lifetime. TDDB寿命を示すグラフである。It is a graph which shows TDDB lifetime. (a)〜(d)は、XPSデータを示すグラフである。(A)-(d) is a graph which shows XPS data. (a)〜(d)は、XPSデータを示すグラフである。(A)-(d) is a graph which shows XPS data. (a)〜(d)は、XPSデータを示すグラフである。(A)-(d) is a graph which shows XPS data. (a)〜(e)は、XPSデータを示すグラフである。(f)は組成比を示す表図である。(A)-(e) is a graph which shows XPS data. (F) is a table | surface figure which shows a composition ratio. (a)〜(d)は、質量分析結果を示すグラフである。(A)-(d) is a graph which shows a mass spectrometry result. (a)〜(d)は、質量分析結果を示すグラフである。(A)-(d) is a graph which shows a mass spectrometry result. 実施の形態1の配線部分を示すTEM写真である。3 is a TEM photograph showing a wiring portion of the first embodiment. 比較として示すTEM写真である。It is a TEM photograph shown as a comparison. 配線抵抗を示すグラフである。It is a graph which shows wiring resistance. (a)は処理無しの場合の配線部分を示すTEM写真である。(b)は実施の形態1の配線部分を示すTEM写真である。(c)および(d)は、各々(a)および(b)をトレースした図面である。(A) is the TEM photograph which shows the wiring part in the case of no process. (B) is a TEM photograph showing a wiring portion of the first embodiment. (C) and (d) are drawings obtained by tracing (a) and (b), respectively. (a)〜(c)は、比較として示すTEM写真である。(d)、(e)および(f)は、各々(a)、(b)および(c)をトレースした図面である。(A)-(c) is a TEM photograph shown as a comparison. (D), (e), and (f) are drawings obtained by tracing (a), (b), and (c), respectively. TDDB寿命を示すグラフである。It is a graph which shows TDDB lifetime. 本発明の実施の形態2である半導体集積回路装置の製造方法に用いるCMP装置の全体構成の一例を示す概略図である。It is the schematic which shows an example of the whole structure of the CMP apparatus used for the manufacturing method of the semiconductor integrated circuit device which is Embodiment 2 of this invention. 埋め込みCu配線の形成に用いるCMP装置の一部を示す概略図である。It is the schematic which shows a part of CMP apparatus used for formation of embedded Cu wiring. Cu膜の研磨状態を示すCMP装置の概略図である。It is the schematic of the CMP apparatus which shows the grinding | polishing state of Cu film | membrane. 実施の形態2の半導体集積回路装置の製造方法を示す半導体基板の要部断面図である。FIG. 10 is a main-portion cross-sectional view of the semiconductor substrate showing the method of manufacturing the semiconductor integrated circuit device of the second embodiment. (a)は、実施の形態2の半導体集積回路装置の製造方法を示す半導体基板の要部平面図、(b)は同じく要部断面図である。(A) is a principal part top view of the semiconductor substrate which shows the manufacturing method of the semiconductor integrated circuit device of Embodiment 2, (b) is also principal part sectional drawing. 実施の形態2の半導体集積回路装置の製造方法を示す半導体基板の要部断面図である。FIG. 10 is a main-portion cross-sectional view of the semiconductor substrate showing the method of manufacturing the semiconductor integrated circuit device of the second embodiment. (a)は、実施の形態2の半導体集積回路装置の製造方法を示す半導体基板の要部平面図、(b)は同じく要部断面図である。(A) is a principal part top view of the semiconductor substrate which shows the manufacturing method of the semiconductor integrated circuit device of Embodiment 2, (b) is also principal part sectional drawing. 実施の形態2の半導体集積回路装置の製造方法を示す半導体基板の要部断面図である。FIG. 10 is a main-portion cross-sectional view of the semiconductor substrate showing the method of manufacturing the semiconductor integrated circuit device of the second embodiment. (a)は、実施の形態2の半導体集積回路装置の製造方法を示す半導体基板の要部平面図、(b)は同じく要部断面図である。(A) is a principal part top view of the semiconductor substrate which shows the manufacturing method of the semiconductor integrated circuit device of Embodiment 2, (b) is also principal part sectional drawing. 実施の形態2の半導体集積回路装置の製造方法を示すフロー図である。FIG. 10 is a flowchart showing a method for manufacturing the semiconductor integrated circuit device of the second embodiment. TDDB寿命を示すグラフである。It is a graph which shows TDDB lifetime. 実施の形態3の半導体集積回路装置の製造方法を示すフロー図である。FIG. 10 is a flowchart showing a method for manufacturing the semiconductor integrated circuit device of the third embodiment. TDDB寿命を示すグラフである。It is a graph which shows TDDB lifetime. 実施の形態4の半導体集積回路装置の製造方法を示す半導体基板の要部断面図である。FIG. 10 is a main-portion cross-sectional view of the semiconductor substrate showing the method of manufacturing the semiconductor integrated circuit device of Embodiment 4; (a)は、実施の形態4の半導体集積回路装置の製造方法を示す半導体基板の要部平面図、(b)は同じく要部断面図である。(A) is a principal part top view of the semiconductor substrate which shows the manufacturing method of the semiconductor integrated circuit device of Embodiment 4, (b) is also principal part sectional drawing. 実施の形態4の半導体集積回路装置の製造方法を示す半導体基板の要部断面図である。FIG. 10 is a main-portion cross-sectional view of the semiconductor substrate showing the method of manufacturing the semiconductor integrated circuit device of Embodiment 4; 本発明の他の実施の形態の半導体集積回路装置の製造方法を示す半導体基板の要部断面図である。It is principal part sectional drawing of the semiconductor substrate which shows the manufacturing method of the semiconductor integrated circuit device of other embodiment of this invention. 本発明の他の実施の形態の半導体集積回路装置の製造方法を示す半導体基板の要部断面図である。It is principal part sectional drawing of the semiconductor substrate which shows the manufacturing method of the semiconductor integrated circuit device of other embodiment of this invention. (a)は、他の実施の形態の半導体集積回路装置の製造方法を示す半導体基板の要部平面図、(b)は同じく要部断面図である。(A) is a principal part top view of the semiconductor substrate which shows the manufacturing method of the semiconductor integrated circuit device of other embodiment, (b) is also principal part sectional drawing. 本発明の他の実施の形態の半導体集積回路装置の製造方法を示す半導体基板の要部断面図である。It is principal part sectional drawing of the semiconductor substrate which shows the manufacturing method of the semiconductor integrated circuit device of other embodiment of this invention. 本発明の他の実施の形態の半導体集積回路装置の製造方法を示す半導体基板の要部断面図である。It is principal part sectional drawing of the semiconductor substrate which shows the manufacturing method of the semiconductor integrated circuit device of other embodiment of this invention. 銅配線、アルミニウム配線、タングステン配線のTDDB特性を測定したデータを示すグラフである。It is a graph which shows the data which measured the TDDB characteristic of copper wiring, aluminum wiring, and tungsten wiring. 本願のTDDB寿命測定に使用した試料を示し、(a)は平面図、(b)および(c)は(a)におけるB−B’線断面およびC−C’線断面を各々示す。The sample used for TDDB lifetime measurement of this application is shown, (a) is a top view, (b) and (c) each show the B-B 'line cross section and C-C' line cross section in (a). 測定の概要を示した概念図である。It is the conceptual diagram which showed the outline | summary of the measurement. 電流電圧測定結果の一例である。It is an example of a current-voltage measurement result.

符号の説明Explanation of symbols

1 基板
2 素子分離溝
3 シリコン酸化膜
4 p型ウエル
5 n型ウエル
6 ゲート酸化膜
7 ゲート電極
9 シリサイド層
11 n型半導体領域
12 p型半導体領域
13 サイドウォールスペーサ
14 n型半導体領域
15 p型半導体領域
18 シリコン酸化膜
20〜22 コンタクトホール
23 プラグ
24〜30 W配線
28〜30 Cu配線
31 シリコン酸化膜
32〜36 スルーホール
37 プラグ
38 シリコン窒化膜
39 シリコン酸化膜
40〜44 配線溝
45 TiN膜
46 Cu膜
46a〜46e Cu配線
47 シリコン窒化膜(キャップ膜)
50〜54 配線溝
100 CMP装置
101 筐体
102 回転軸
103 モータ
104 研磨盤
105 研磨パッド
106 ウエハキャリア
106a 凹部
107 駆動軸
108 スラリ供給管
109 ドレッサ
110 駆動軸
120 ローダ
121A ブラシ
130 研磨処理部
140 防蝕処理部
150 浸漬処理部
160 後洗浄処理部
170 アンローダ
200 CMP装置
220 ローダ
230 研磨処理部
240 乾燥処理部
250 後洗浄処理部
260 アンローダ
301 ロードロック室
302a 処理室
302b 処理室
303 カセットインタフェイス
304 ロボット
305 ゲートバルブ
306 サセプタ
307 バッフル板
308 支持部材
309 電極
310 絶縁板
311 反射ユニット
312 ランプ
313 赤外線
314 石英窓
315 ガスポート
316 真空マニホールド
400 CMP装置
401 研磨処理部
402 後洗浄部
403A 第1定盤
403B 第2定盤
404 クリーン・ステーション
405 回転アーム
406 ローダ
407 アンローダ
408 ローダ
409A 第1洗浄部
409B 第2洗浄部
410 スピンドライヤ
411 アンローダ
412 駆動機構
413 研磨パッド
414 駆動機構
415 ウエハキャリア
416 ウエハチャック
417 リテーナリング
418 スラリ供給管
418a スラリ供給管
418b スラリ供給管
419 駆動機構
420 ドレッサ
430 遮光壁
501 絶縁膜
502 絶縁膜
503 配線
504 シリコン窒化膜
505 シリコン酸化膜
507 接続孔
508 プラグ
Qn nチャネル型MISFET
Qp pチャネル型MISFET
1 substrate 2 element isolation trench 3 silicon oxide film 4 p-type well 5 n-type well 6 gate oxide film 7 gate electrode 9 silicide layer 11 n type semiconductor region 12 p type semiconductor region 13 sidewall spacer 14 n + type semiconductor region 15 p + type semiconductor region 18 silicon oxide film 20-22 contact hole 23 plug 24-30 W wiring 28-30 Cu wiring 31 silicon oxide film 32-36 through hole 37 plug 38 silicon nitride film 39 silicon oxide film 40-44 wiring Groove 45 TiN film 46 Cu film 46a to 46e Cu wiring 47 Silicon nitride film (cap film)
50 to 54 Wiring groove 100 CMP apparatus 101 Housing 102 Rotating shaft 103 Motor 104 Polishing disk 105 Polishing pad 106 Wafer carrier 106a Recessed portion 107 Drive shaft 108 Slurry supply pipe 109 Dresser 110 Drive shaft 120 Loader 121A Brush 130 Polishing processing unit 140 Corrosion prevention processing Unit 150 immersion processing unit 160 post-cleaning processing unit 170 unloader 200 CMP apparatus 220 loader 230 polishing processing unit 240 drying processing unit 250 post-cleaning processing unit 260 unloader 301 load lock chamber 302a processing chamber 302b processing chamber 303 cassette interface 304 robot 305 gate Valve 306 Susceptor 307 Baffle plate 308 Support member 309 Electrode 310 Insulating plate 311 Reflection unit 312 Lamp 313 Infrared ray 314 Quartz window 315 Gas port 316 Vacuum manifold 400 CMP apparatus 401 Polishing processing unit 402 Post cleaning unit 403A First surface plate 403B Second surface plate 404 Clean station 405 Rotating arm 406 Loader 407 Unloader 408 Loader 409A First cleaning unit 409B Second cleaning unit 410 Spin dryer 411 Unloader 412 Drive mechanism 413 Polishing pad 414 Drive mechanism 415 Wafer carrier 416 Wafer chuck 417 Retainer ring 418 Slurry supply pipe 418a Slurry supply pipe 418b Slurry supply pipe 419 Drive mechanism 420 Dresser 430 Insulating film 501 Insulating film 501 Wiring 504 Silicon nitride film 505 Silicon oxide film 507 Connection hole 508 Plug Qn n-channel MISFET
Qp p-channel MISFET

Claims (21)

(a)基板主面上にシリコン酸化膜より誘電率の低い有機シロキサン系のシリコン酸化膜とシリコン酸化膜を積層した層間絶縁膜を形成する工程、
(b)前記シリコン酸化膜を貫通して前記有機シロキサン系のシリコン酸化膜に達する配線溝を形成し前記配線溝内にビアを形成する工程、
(c)前記配線溝およびビア内に銅または銅合金を埋め込む工程、
(d)前記銅または銅合金の表面および前記シリコン酸化膜の表面をアンモニアプラズマにて処理する工程、
(e)前記アンモニアプラズマ処理された表面に銅拡散バリア絶縁膜を形成する工程、
を有することを特徴とする半導体集積回路装置の製造方法。
(A) forming an interlayer insulating film in which an organic siloxane-based silicon oxide film having a dielectric constant lower than that of a silicon oxide film and a silicon oxide film are laminated on the main surface of the substrate;
(B) forming a wiring groove that penetrates the silicon oxide film and reaches the organosiloxane-based silicon oxide film, and forming a via in the wiring groove;
(C) a step of embedding copper or a copper alloy in the wiring groove and via,
(D) treating the surface of the copper or copper alloy and the surface of the silicon oxide film with ammonia plasma;
(E) forming a copper diffusion barrier insulating film on the ammonia plasma treated surface;
A method for manufacturing a semiconductor integrated circuit device, comprising:
(a)基板主面上に層間接続用の溝が形成されたシリコン酸化膜を形成する工程、
(b)前記溝内および前記シリコン酸化膜上にバリア金属膜を形成する工程、
(c)前記バリア金属膜の上に銅を主成分とする導体膜を埋め込んで前記溝内および前記シリコン酸化膜上に前記導体膜を堆積する工程、
(d)前記シリコン酸化膜上の前記導体膜を研磨砥粒濃度が研磨液の0.5wt%以下である第1の研磨液を用いて研磨して前記シリコン酸化膜上の前記導体膜を除去し、さらに前記シリコン酸化膜上の前記バリア金属膜を第2の研磨液を用いて研磨して除去し、前記溝内にバリア金属と導体膜を形成する工程、
(e)前記溝内の導体膜表面および前記シリコン酸化膜の表面をアンモニアプラズマにて処理して表面を還元するとともに窒化する工程、
(f)前記アンモニアプラズマ処理された表面に銅拡散バリア絶縁膜を形成する工程、
を有することを特徴とする半導体集積回路装置の製造方法。
(A) forming a silicon oxide film having an interlayer connection groove formed on the main surface of the substrate;
(B) forming a barrier metal film in the trench and on the silicon oxide film;
(C) burying a conductive film mainly composed of copper on the barrier metal film and depositing the conductive film in the trench and on the silicon oxide film;
(D) Polishing the conductive film on the silicon oxide film with a first polishing liquid having a polishing abrasive concentration of 0.5 wt% or less of the polishing liquid to remove the conductive film on the silicon oxide film And further removing the barrier metal film on the silicon oxide film by polishing using a second polishing liquid, and forming a barrier metal and a conductor film in the groove,
(E) treating the surface of the conductor film in the groove and the surface of the silicon oxide film with ammonia plasma to reduce and nitride the surface;
(F) forming a copper diffusion barrier insulating film on the ammonia plasma treated surface;
A method for manufacturing a semiconductor integrated circuit device, comprising:
(a)基板主面上に層間接続用の溝が形成されたシリコン酸化膜を形成する工程、
(b)前記溝内および前記シリコン酸化膜上にバリア金属膜を形成する工程、
(c)前記バリア金属膜の上に銅を主成分とする導体膜を埋め込んで前記溝内および前記シリコン酸化膜上に前記導体膜を堆積する工程、
(d)前記シリコン酸化膜上の前記導体膜を酸化剤と有機酸を含み前記導体膜に対するpHおよび酸化還元電位が腐食域にあるような研磨液を用いて研磨して前記シリコン酸化膜上の前記導体膜を除去し、さらに前記シリコン酸化膜上の前記バリア金属膜を別な研磨液を用いて研磨して除去し、前記溝内にバリア金属と導体膜を形成する工程、
(e)前記溝内の導体膜表面および前記シリコン酸化膜の表面をアンモニアプラズマにて処理する工程、
(f)前記アンモニアプラズマ処理された表面に銅拡散バリア絶縁膜を形成する工程、
を有することを特徴とする半導体集積回路装置の製造方法。
(A) forming a silicon oxide film having an interlayer connection groove formed on the main surface of the substrate;
(B) forming a barrier metal film in the trench and on the silicon oxide film;
(C) burying a conductive film mainly composed of copper on the barrier metal film and depositing the conductive film in the trench and on the silicon oxide film;
(D) Polishing the conductor film on the silicon oxide film with a polishing liquid containing an oxidant and an organic acid and having a pH and oxidation-reduction potential in the corrosive zone. Removing the conductor film, further removing the barrier metal film on the silicon oxide film by polishing using another polishing liquid, and forming a barrier metal and a conductor film in the groove;
(E) treating the surface of the conductor film in the groove and the surface of the silicon oxide film with ammonia plasma;
(F) forming a copper diffusion barrier insulating film on the ammonia plasma treated surface;
A method for manufacturing a semiconductor integrated circuit device, comprising:
(a)基板主面上に層間接続用の溝が形成されたシリコン酸化膜を形成する工程、
(b)前記溝内および前記シリコン酸化膜上にバリア金属膜を形成する工程、
(c)前記バリア金属膜の上に銅を主成分とする導体膜を埋め込んで前記溝内および前記シリコン酸化膜上に前記導体膜を堆積する工程、
(d)前記シリコン酸化膜上の前記導体膜を前記バリア金属膜をストッパ層として第1の研磨液を用いて研磨して前記シリコン酸化膜上の前記導体膜を除去し、さらに前記シリコン酸化膜上の前記バリア金属膜を第2の研磨液を用いて研磨して除去し、前記溝内にバリア金属と導体膜を形成する工程、
(e)前記溝内の導体膜表面および前記シリコン酸化膜の表面をアンモニアプラズマにて処理して表面を還元するとともに窒化する工程、
(f)前記アンモニアプラズマ処理された表面に銅拡散バリア絶縁膜を形成する工程、
を有することを特徴とする半導体集積回路装置の製造方法。
(A) forming a silicon oxide film having an interlayer connection groove formed on the main surface of the substrate;
(B) forming a barrier metal film in the trench and on the silicon oxide film;
(C) burying a conductive film mainly composed of copper on the barrier metal film and depositing the conductive film in the trench and on the silicon oxide film;
(D) The conductive film on the silicon oxide film is polished with a first polishing liquid using the barrier metal film as a stopper layer to remove the conductive film on the silicon oxide film, and the silicon oxide film Polishing and removing the barrier metal film above using a second polishing liquid, and forming a barrier metal and a conductor film in the groove;
(E) treating the surface of the conductor film in the groove and the surface of the silicon oxide film with ammonia plasma to reduce and nitride the surface;
(F) forming a copper diffusion barrier insulating film on the ammonia plasma treated surface;
A method for manufacturing a semiconductor integrated circuit device, comprising:
(a)基板主面上に層間接続用の溝が形成されたシリコン酸化膜を主体とする層間絶縁膜を形成する工程、
(b)前記溝内および前記層間絶縁膜上にバリア金属膜を形成する工程、
(c)前記バリア金属膜の上に銅を主成分とする導体膜を埋め込んで前記溝内および前記層間絶縁膜上に前記導体膜を堆積する工程、
(d)前記層間絶縁膜上の前記導体膜と前記バリア金属膜を化学機械研磨法で研磨して除去して前記溝内にバリア金属と導体膜を形成する工程、
(e)前記バリア金属と導体膜を埋め込んだ表面上を有機酸を含む洗浄液にてクリーニングする工程、
(f)前記溝内の導体膜表面および前記シリコン酸化膜の表面をアンモニアプラズマにて処理して表面を還元するとともに窒化する工程、
(g)前記アンモニアプラズマ処理された表面にシランを主体とするプラズマCVD法によりシリコンを含む銅拡散バリア絶縁膜を形成する工程、
を有することを特徴とする半導体集積回路装置の製造方法。
(A) forming an interlayer insulating film mainly composed of a silicon oxide film having an interlayer connection groove formed on the main surface of the substrate;
(B) forming a barrier metal film in the trench and on the interlayer insulating film;
(C) burying a conductor film containing copper as a main component on the barrier metal film and depositing the conductor film in the trench and on the interlayer insulating film;
(D) a step of polishing and removing the conductor film and the barrier metal film on the interlayer insulating film by a chemical mechanical polishing method to form a barrier metal and a conductor film in the groove;
(E) cleaning the surface embedded with the barrier metal and the conductor film with a cleaning solution containing an organic acid;
(F) treating the surface of the conductor film in the groove and the surface of the silicon oxide film with ammonia plasma to reduce and nitride the surface;
(G) a step of forming a copper diffusion barrier insulating film containing silicon on the ammonia plasma-treated surface by a plasma CVD method mainly comprising silane;
A method for manufacturing a semiconductor integrated circuit device, comprising:
請求項5記載の半導体集積回路装置の製造方法であって、
前記有機酸はクエン酸であることを特徴とする半導体集積回路装置の製造方法。
A method for manufacturing a semiconductor integrated circuit device according to claim 5, comprising:
The method for manufacturing a semiconductor integrated circuit device, wherein the organic acid is citric acid.
(a)基板主面上に層間接続用の溝が形成されたシリコン酸化膜を主体とする層間絶縁膜を形成する工程、
(b)前記溝内および前記層間絶縁膜上にバリア金属膜を形成する工程、
(c)前記バリア金属膜の上に銅を主成分とする導体膜を埋め込んで前記溝内および前記層間絶縁膜上に前記導体膜を堆積する工程、
(d)前記層間絶縁膜上の前記導体膜と前記バリア金属膜を化学機械研磨法で研磨して除去して前記溝内にバリア金属と導体膜を形成する工程、
(e)前記バリア金属と導体膜を埋め込んだ表面上を有機酸を含む洗浄液にてPVAブラシを使ってクリーニングする工程、
(f)前記クリーニングした基板を乾燥する工程、
(g)前記溝内の導体膜表面および前記シリコン酸化膜の表面をアンモニアプラズマにて処理して表面を還元するとともに窒化する工程、
(h)前記アンモニアプラズマ処理された表面にプラズマCVD法によりシリコンを含む銅拡散バリア絶縁膜を形成する工程、
を有し、前記(g)、(h)工程は基板を大気中に晒すことなく処理することを特徴とする半導体集積回路装置の製造方法。
(A) forming an interlayer insulating film mainly composed of a silicon oxide film having an interlayer connection groove formed on the main surface of the substrate;
(B) forming a barrier metal film in the trench and on the interlayer insulating film;
(C) burying a conductor film containing copper as a main component on the barrier metal film and depositing the conductor film in the trench and on the interlayer insulating film;
(D) a step of polishing and removing the conductor film and the barrier metal film on the interlayer insulating film by a chemical mechanical polishing method to form a barrier metal and a conductor film in the groove;
(E) cleaning the surface embedded with the barrier metal and conductor film with a cleaning solution containing an organic acid using a PVA brush;
(F) drying the cleaned substrate;
(G) treating the surface of the conductor film in the groove and the surface of the silicon oxide film with ammonia plasma to reduce and nitride the surface;
(H) forming a copper diffusion barrier insulating film containing silicon on the ammonia plasma-treated surface by a plasma CVD method;
A method of manufacturing a semiconductor integrated circuit device, wherein the steps (g) and (h) are performed without exposing the substrate to the atmosphere.
(a)基板主面上に層間接続用の溝が形成されたシリコン酸化膜を主体とする層間絶縁膜を形成する工程、
(b)前記溝内および前記層間絶縁膜上にバリア金属膜を形成する工程、
(c)前記バリア金属膜の上に銅を主成分とする導体膜を埋め込んで前記溝内および前記層間絶縁膜上に前記導体膜を堆積する工程、
(d)前記層間絶縁膜上の前記導体膜と前記バリア金属膜を化学機械研磨法で研磨して除去して前記溝内にバリア金属と導体膜を形成する工程、
(e)前記バリア金属と導体膜を埋め込んだ表面上をブラシを使って有機酸を含む洗浄液にてクリーニングする工程、
(f)前記溝内の導体膜表面および前記シリコン酸化膜の表面をアンモニアプラズマにて処理して表面を還元するとともに窒化する工程、
(g)前記アンモニアプラズマ処理された表面にシランを主体とするプラズマCVD法によりシリコンを含む銅拡散バリア絶縁膜を形成する工程、
を有することを特徴とする半導体集積回路装置の製造方法。
(A) forming an interlayer insulating film mainly composed of a silicon oxide film having an interlayer connection groove formed on the main surface of the substrate;
(B) forming a barrier metal film in the trench and on the interlayer insulating film;
(C) burying a conductor film containing copper as a main component on the barrier metal film and depositing the conductor film in the trench and on the interlayer insulating film;
(D) a step of polishing and removing the conductor film and the barrier metal film on the interlayer insulating film by a chemical mechanical polishing method to form a barrier metal and a conductor film in the groove;
(E) cleaning the surface embedded with the barrier metal and conductor film with a cleaning solution containing an organic acid using a brush;
(F) treating the surface of the conductor film in the groove and the surface of the silicon oxide film with ammonia plasma to reduce and nitride the surface;
(G) a step of forming a copper diffusion barrier insulating film containing silicon on the ammonia plasma-treated surface by a plasma CVD method mainly comprising silane;
A method for manufacturing a semiconductor integrated circuit device, comprising:
(a)基板主面上に層間接続用の溝が形成されたシリコン酸化膜を主体とする層間絶縁膜を形成する工程、
(b)前記溝内および前記層間絶縁膜上にバリア金属膜を形成する工程、
(c)前記バリア金属膜の上に銅を主成分とする導体膜を埋め込んで前記溝内および前記層間絶縁膜上に前記導体膜を堆積する工程、
(d)前記層間絶縁膜上の前記導体膜と前記バリア金属膜を化学機械研磨法で研磨して除去して前記溝内にバリア金属と導体膜を形成する工程、
(e)前記(d)工程の後次の(f)工程までの間において前記基板の表面を100Lux以下に遮光された状態で流水にて湿潤状態に保管する工程、
(f)前記溝内の導体膜表面および前記シリコン酸化膜の表面をアンモニアプラズマにて処理して表面を還元するとともに窒化する工程、
(g)前記アンモニアプラズマ処理された表面にプラズマCVD法により銅拡散バリア絶縁膜を形成する工程、
を有することを特徴とする半導体集積回路装置の製造方法。
(A) forming an interlayer insulating film mainly composed of a silicon oxide film having an interlayer connection groove formed on the main surface of the substrate;
(B) forming a barrier metal film in the trench and on the interlayer insulating film;
(C) burying a conductor film containing copper as a main component on the barrier metal film and depositing the conductor film in the trench and on the interlayer insulating film;
(D) a step of polishing and removing the conductor film and the barrier metal film on the interlayer insulating film by a chemical mechanical polishing method to form a barrier metal and a conductor film in the groove;
(E) A step of storing the surface of the substrate in a wet state with running water in a state where the surface of the substrate is shielded from light to 100 Lux or less between the step (d) and the next step (f).
(F) treating the surface of the conductor film in the groove and the surface of the silicon oxide film with ammonia plasma to reduce and nitride the surface;
(G) forming a copper diffusion barrier insulating film on the surface treated with ammonia plasma by a plasma CVD method;
A method for manufacturing a semiconductor integrated circuit device, comprising:
請求項9記載の半導体集積回路装置の製造方法であって、
前記(d)工程と(e)工程の間において前記基板表面に防食処理を行うことを特徴とする半導体集積回路装置の製造方法。
A method of manufacturing a semiconductor integrated circuit device according to claim 9,
A method of manufacturing a semiconductor integrated circuit device, wherein an anticorrosion treatment is performed on the surface of the substrate between the steps (d) and (e).
(a)基板主面上に層間接続用の溝が形成されたシリコン酸化膜を主体とする層間絶縁膜を形成する工程、
(b)前記溝内および前記層間絶縁膜上にバリア金属膜を形成する工程、
(c)前記バリア金属膜の上に銅を主成分とする導体膜を埋め込んで前記溝内および前記層間絶縁膜上に前記導体膜を堆積する工程、
(d)前記層間絶縁膜上の前記導体膜と前記バリア金属膜を化学機械研磨法で研磨して除去して前記溝内にバリア金属と導体膜を形成する工程、
(e)前記基板の表面を100Lux以下に遮光された状態で前記バリア金属と導体膜を埋め込んだ表面上をブラシを使って洗浄液にてクリーニングする工程、
(f)前記溝内の導体膜表面および前記シリコン酸化膜の表面をアンモニアプラズマにて処理して表面を還元するとともに窒化する工程、
(g)前記アンモニアプラズマ処理された表面にプラズマCVD法により銅拡散バリア絶縁膜を形成する工程、
を有することを特徴とする半導体集積回路装置の製造方法。
(A) forming an interlayer insulating film mainly composed of a silicon oxide film having an interlayer connection groove formed on the main surface of the substrate;
(B) forming a barrier metal film in the trench and on the interlayer insulating film;
(C) burying a conductor film containing copper as a main component on the barrier metal film and depositing the conductor film in the trench and on the interlayer insulating film;
(D) a step of polishing and removing the conductor film and the barrier metal film on the interlayer insulating film by a chemical mechanical polishing method to form a barrier metal and a conductor film in the groove;
(E) a step of cleaning the surface of the substrate with the barrier metal and the conductor film embedded in a state where the surface of the substrate is shielded to 100 Lux or less with a cleaning liquid using a brush;
(F) treating the surface of the conductor film in the groove and the surface of the silicon oxide film with ammonia plasma to reduce and nitride the surface;
(G) forming a copper diffusion barrier insulating film on the surface treated with ammonia plasma by a plasma CVD method;
A method for manufacturing a semiconductor integrated circuit device, comprising:
請求項11記載の半導体集積回路装置の製造方法であって、
前記(d)工程と(e)工程の間において前記基板表面に防食処理を行うことを特徴とする半導体集積回路装置の製造方法。
A method for manufacturing a semiconductor integrated circuit device according to claim 11, comprising:
A method of manufacturing a semiconductor integrated circuit device, wherein an anticorrosion treatment is performed on the surface of the substrate between the steps (d) and (e).
(a)基板主面上に層間接続用の溝が形成されたシリコン酸化膜を主体とする層間絶縁膜を形成する工程、
(b)前記溝内および前記層間絶縁膜上にバリア金属膜を形成する工程、
(c)前記バリア金属膜の上に銅を主成分とする導体膜を埋め込んで前記溝内および前記層間絶縁膜上に前記導体膜を堆積する工程、
(d)前記層間絶縁膜上の前記導体膜と前記バリア金属膜を化学機械研磨法で研磨して除去して前記溝内にバリア金属と導体膜を形成する工程、
(e)前記(d)工程の後次の(f)工程の開始までの間において前記基板の表面を100Lux以下に遮光された状態で流水にて湿潤状態に保管する工程、
(f)前記バリア金属と導体膜を埋め込んだ表面上をブラシを使って洗浄液にてクリーニングする工程、
(g)前記溝内の導体膜表面および前記シリコン酸化膜の表面をアンモニアプラズマにて処理して表面を還元するとともに窒化する工程、
(h)前記アンモニアプラズマ処理された表面にプラズマCVD法により銅拡散バリア絶縁膜を形成する工程、
を有することを特徴とする半導体集積回路装置の製造方法。
(A) forming an interlayer insulating film mainly composed of a silicon oxide film having an interlayer connection groove formed on the main surface of the substrate;
(B) forming a barrier metal film in the trench and on the interlayer insulating film;
(C) burying a conductor film containing copper as a main component on the barrier metal film and depositing the conductor film in the trench and on the interlayer insulating film;
(D) a step of polishing and removing the conductor film and the barrier metal film on the interlayer insulating film by a chemical mechanical polishing method to form a barrier metal and a conductor film in the groove;
(E) a step of storing the surface of the substrate in a wet state with running water in a state where the surface of the substrate is shielded to 100 Lux or less after the start of the next step (f) after the step (d);
(F) cleaning the surface embedded with the barrier metal and conductor film with a cleaning solution using a brush;
(G) treating the surface of the conductor film in the groove and the surface of the silicon oxide film with ammonia plasma to reduce and nitride the surface;
(H) a step of forming a copper diffusion barrier insulating film by plasma CVD on the ammonia plasma treated surface;
A method for manufacturing a semiconductor integrated circuit device, comprising:
(a)基板主面上に低誘電率層間絶縁膜を形成する工程、
(b)前記低誘電率層間絶縁膜より誘電率の大きいシリコン酸化膜を前記低誘電率層間絶縁膜上に形成して前記シリコン酸化膜と前記低誘電率層間絶縁膜からなる第1層間絶縁膜を形成する工程、
(c)前記第1層間絶縁膜に溝を形成する工程、
(d)前記溝内および前記第1層間絶縁膜上にバリア金属膜を形成する工程、
(e)前記バリア金属膜の上に銅を主成分とする導体膜を埋め込んで前記溝内および前記第1層間絶縁膜上に前記導体膜を堆積する工程、
(f)前記第1層間絶縁膜上の前記導体膜と前記バリア金属膜を化学機械研磨法で研磨して除去して前記溝内にバリア金属と導体膜を形成する工程、
(g)前記溝内の導体膜表面および前記シリコン酸化膜の表面をアンモニアプラズマにて処理して表面を還元するとともに窒化する工程、
(h)前記アンモニアプラズマ処理された表面にプラズマCVD法により銅拡散バリア絶縁膜を形成する工程、
を有することを特徴とする半導体集積回路装置の製造方法。
(A) forming a low dielectric constant interlayer insulating film on the main surface of the substrate;
(B) forming a silicon oxide film having a dielectric constant larger than that of the low dielectric constant interlayer insulating film on the low dielectric constant interlayer insulating film, and comprising the silicon oxide film and the low dielectric constant interlayer insulating film; Forming a process,
(C) forming a groove in the first interlayer insulating film;
(D) forming a barrier metal film in the trench and on the first interlayer insulating film;
(E) a step of depositing a conductor film containing copper as a main component on the barrier metal film and depositing the conductor film in the trench and on the first interlayer insulating film;
(F) polishing and removing the conductor film and the barrier metal film on the first interlayer insulating film by a chemical mechanical polishing method to form a barrier metal and a conductor film in the groove;
(G) treating the surface of the conductor film in the groove and the surface of the silicon oxide film with ammonia plasma to reduce and nitride the surface;
(H) a step of forming a copper diffusion barrier insulating film by plasma CVD on the ammonia plasma treated surface;
A method for manufacturing a semiconductor integrated circuit device, comprising:
請求項14記載の半導体集積回路装置の製造方法であって、
前記低誘電率層間絶縁膜は有機ポリマー膜であることを特徴とする半導体集積回路装置の製造方法。
15. A method of manufacturing a semiconductor integrated circuit device according to claim 14,
The method of manufacturing a semiconductor integrated circuit device, wherein the low dielectric constant interlayer insulating film is an organic polymer film.
請求項14記載の半導体集積回路装置の製造方法であって、
前記低誘電率層間絶縁膜は比誘電率が3.0以下のシリコン酸化膜であることを特徴とする半導体集積回路装置の製造方法。
15. A method of manufacturing a semiconductor integrated circuit device according to claim 14,
The method of manufacturing a semiconductor integrated circuit device, wherein the low dielectric constant interlayer insulating film is a silicon oxide film having a relative dielectric constant of 3.0 or less.
請求項16記載の半導体集積回路装置の製造方法であって、
前記低誘電率層間絶縁膜は有機SOGまたは無機SOGであることを特徴とする半導体集積回路装置の製造方法。
A method of manufacturing a semiconductor integrated circuit device according to claim 16,
The method of manufacturing a semiconductor integrated circuit device, wherein the low dielectric constant interlayer insulating film is organic SOG or inorganic SOG.
(a)基板主面上に低誘電率層間絶縁膜を形成する工程、
(b)前記低誘電率層間絶縁膜より誘電率の大きいシリコン酸化膜を前記低誘電率層間絶縁膜上に形成して前記シリコン酸化膜と前記低誘電率層間絶縁膜からなる第1層間絶縁膜を形成する工程、
(c)前記第1層間絶縁膜に層間接続領域を形成する工程、
(d)前記溝内および前記第1層間絶縁膜上にバリア金属膜を形成する工程、
(e)前記バリア金属膜の上に銅を主成分とする導体膜を埋め込んで前記溝内および前記第1層間絶縁膜上に前記導体膜を堆積する工程、
(f)前記第1層間絶縁膜上の前記導体膜と前記バリア金属膜を化学機械研磨法で研磨して除去して前記溝内にバリア金属と導体膜を形成する工程、
(g)前記溝内の導体膜表面および前記シリコン酸化膜の表面をアンモニアプラズマにて処理して表面を還元するとともに窒化する工程、
(h)前記アンモニアプラズマ処理された表面にプラズマCVD法により銅拡散バリア絶縁膜を形成する工程、
を有することを特徴とする半導体集積回路装置の製造方法。
(A) forming a low dielectric constant interlayer insulating film on the main surface of the substrate;
(B) forming a silicon oxide film having a dielectric constant larger than that of the low dielectric constant interlayer insulating film on the low dielectric constant interlayer insulating film, and comprising the silicon oxide film and the low dielectric constant interlayer insulating film; Forming a process,
(C) forming an interlayer connection region in the first interlayer insulating film;
(D) forming a barrier metal film in the trench and on the first interlayer insulating film;
(E) a step of depositing a conductor film containing copper as a main component on the barrier metal film and depositing the conductor film in the trench and on the first interlayer insulating film;
(F) polishing and removing the conductor film and the barrier metal film on the first interlayer insulating film by a chemical mechanical polishing method to form a barrier metal and a conductor film in the groove;
(G) treating the surface of the conductor film in the groove and the surface of the silicon oxide film with ammonia plasma to reduce and nitride the surface;
(H) a step of forming a copper diffusion barrier insulating film by plasma CVD on the ammonia plasma treated surface;
A method for manufacturing a semiconductor integrated circuit device, comprising:
請求項18記載の半導体集積回路装置の製造方法であって、
前記低誘電率層間絶縁膜は有機ポリマー膜であることを特徴とする半導体集積回路装置の製造方法。
A method of manufacturing a semiconductor integrated circuit device according to claim 18,
The method of manufacturing a semiconductor integrated circuit device, wherein the low dielectric constant interlayer insulating film is an organic polymer film.
請求項18記載の半導体集積回路装置の製造方法であって、
前記低誘電率層間絶縁膜は比誘電率が3.0以下のシリコン酸化膜であることを特徴とする半導体集積回路装置の製造方法。
A method of manufacturing a semiconductor integrated circuit device according to claim 18,
The method of manufacturing a semiconductor integrated circuit device, wherein the low dielectric constant interlayer insulating film is a silicon oxide film having a relative dielectric constant of 3.0 or less.
請求項20記載の半導体集積回路装置の製造方法であって、
前記低誘電率層間絶縁膜は有機SOGまたは無機SOGであることを特徴とする半導体集積回路装置の製造方法。
A method of manufacturing a semiconductor integrated circuit device according to claim 20,
The method of manufacturing a semiconductor integrated circuit device, wherein the low dielectric constant interlayer insulating film is organic SOG or inorganic SOG.
JP2006280936A 2006-10-16 2006-10-16 Method of manufacturing semiconductor integrated circuit device Pending JP2007005840A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2006280936A JP2007005840A (en) 2006-10-16 2006-10-16 Method of manufacturing semiconductor integrated circuit device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006280936A JP2007005840A (en) 2006-10-16 2006-10-16 Method of manufacturing semiconductor integrated circuit device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP22687699A Division JP4554011B2 (en) 1999-08-10 1999-08-10 Manufacturing method of semiconductor integrated circuit device

Publications (1)

Publication Number Publication Date
JP2007005840A true JP2007005840A (en) 2007-01-11

Family

ID=37691059

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006280936A Pending JP2007005840A (en) 2006-10-16 2006-10-16 Method of manufacturing semiconductor integrated circuit device

Country Status (1)

Country Link
JP (1) JP2007005840A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102171797A (en) * 2008-12-10 2011-08-31 英特尔公司 Dual metal interconnects for improved gap-fill, reliability, and reduced capacitance
KR20200138419A (en) * 2013-11-08 2020-12-09 르네사스 일렉트로닉스 가부시키가이샤 Semiconductor device and method of manufacturing the same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10289952A (en) * 1997-04-16 1998-10-27 Sony Corp Method of manufacturing semiconductor device
JPH1117008A (en) * 1997-06-27 1999-01-22 Nec Corp Semiconductor device and manufacture thereof
JPH1187353A (en) * 1997-07-08 1999-03-30 Fujitsu Ltd Semiconductor device and manufacture thereof
JP2001053076A (en) * 1999-08-10 2001-02-23 Hitachi Ltd Semiconductor integrated circuit device and its manufacture

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10289952A (en) * 1997-04-16 1998-10-27 Sony Corp Method of manufacturing semiconductor device
JPH1117008A (en) * 1997-06-27 1999-01-22 Nec Corp Semiconductor device and manufacture thereof
JPH1187353A (en) * 1997-07-08 1999-03-30 Fujitsu Ltd Semiconductor device and manufacture thereof
JP2001053076A (en) * 1999-08-10 2001-02-23 Hitachi Ltd Semiconductor integrated circuit device and its manufacture

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102171797A (en) * 2008-12-10 2011-08-31 英特尔公司 Dual metal interconnects for improved gap-fill, reliability, and reduced capacitance
JP2012505554A (en) * 2008-12-10 2012-03-01 インテル コーポレイション Dual metal interconnect to improve gap fill reliability and reduce capacity
KR20200138419A (en) * 2013-11-08 2020-12-09 르네사스 일렉트로닉스 가부시키가이샤 Semiconductor device and method of manufacturing the same
KR102332952B1 (en) 2013-11-08 2021-12-01 르네사스 일렉트로닉스 가부시키가이샤 Semiconductor device and method of manufacturing the same
KR20210145856A (en) * 2013-11-08 2021-12-02 르네사스 일렉트로닉스 가부시키가이샤 Semiconductor device and method of manufacturing the same
KR102480116B1 (en) 2013-11-08 2022-12-23 르네사스 일렉트로닉스 가부시키가이샤 Semiconductor device and method of manufacturing the same

Similar Documents

Publication Publication Date Title
JP4554011B2 (en) Manufacturing method of semiconductor integrated circuit device
KR100698987B1 (en) Fabrication method for semiconductor integrated circuit device
US7084063B2 (en) Fabrication method of semiconductor integrated circuit device
JP2003051481A (en) Manufacturing method for semiconductor integrated circuit device
JP2003188254A (en) Semiconductor device and manufacturing method therefor
JP2006179948A (en) Semiconductor device and method of manufacturing device
JP2008141204A (en) Manufacturing method of semiconductor integrated circuit device
KR100746895B1 (en) Manufacturing method of semiconductor integrated circuit device
JP2003347299A (en) Method for manufacturing semiconductor integrated circuit device
JP2007005840A (en) Method of manufacturing semiconductor integrated circuit device
JP2002329780A (en) Fabrication method of semiconductor device and semiconductor device
JP4535505B2 (en) Manufacturing method of semiconductor device
JP2003124311A (en) Method for manufacturing semiconductor device and semiconductor device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061016

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20100528

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100831

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100902

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20101228