JP2006278517A - Plasma etching method, plasma etching apparatus, control program, and computer storage medium - Google Patents

Plasma etching method, plasma etching apparatus, control program, and computer storage medium Download PDF

Info

Publication number
JP2006278517A
JP2006278517A JP2005092575A JP2005092575A JP2006278517A JP 2006278517 A JP2006278517 A JP 2006278517A JP 2005092575 A JP2005092575 A JP 2005092575A JP 2005092575 A JP2005092575 A JP 2005092575A JP 2006278517 A JP2006278517 A JP 2006278517A
Authority
JP
Japan
Prior art keywords
gas
plasma
plasma etching
etching method
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2005092575A
Other languages
Japanese (ja)
Inventor
Makoto Hirotsu
信 広津
Shuhei Ogawa
秀平 小川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2005092575A priority Critical patent/JP2006278517A/en
Priority to US11/390,248 priority patent/US7465670B2/en
Publication of JP2006278517A publication Critical patent/JP2006278517A/en
Pending legal-status Critical Current

Links

Images

Landscapes

  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide a plasma etching method or the like that can improve a selection ratio of a low-dielectric-constant insulating film made of a carbon-containing silicon oxide film to a resist and can form an inner wall surface in an appropriate state, even in a hole having a micro diameter and a high aspect ratio. <P>SOLUTION: An SiCN film 101, an SiCOH film 102, a TEOS film 103, a reflection preventive film 104, and a resist film (ArF resist) 105 as a mask are formed on the surface of a semiconductor wafer W in order from its lower side. A specified etching gas, for example, a mixed gas of CF<SB>4</SB>, CH<SB>2</SB>F<SB>2</SB>, N<SB>2</SB>, O<SB>2</SB>, etc. (containing no noble gas such as Ar or the like) is used, and plasma etching is conducted from the state as shown in Fig. 1 (B). The SiCOH film 102 is etched to form a via hole 107 as shown in Fig. 1 (C). <P>COPYRIGHT: (C)2007,JPO&INPIT

Description

本発明は、炭素含有シリコン酸化膜からなる低誘電率絶縁膜に、エッチングガスのプラズマによってホール等を形成するプラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体に関する。   The present invention relates to a plasma etching method, a plasma etching apparatus, a control program, and a computer storage medium for forming holes or the like in a low dielectric constant insulating film made of a carbon-containing silicon oxide film by plasma of an etching gas.

近年、半導体装置の製造工程においては、絶縁膜として、SiO2 膜に換えて、炭素含有シリコン酸化膜(SiCOH)からなる低誘電率絶縁膜(Low−K膜)が用いられるようになってきた。 In recent years, in a semiconductor device manufacturing process, a low dielectric constant insulating film (Low-K film) made of a carbon-containing silicon oxide film (SiCOH) has been used as an insulating film instead of a SiO 2 film. .

上記のような炭素含有シリコン酸化膜からなる低誘電率絶縁膜にホールを形成するプラズマエッチング方法としては、例えば、C4 8 /CH2 2 /Ar/CO/N2 の混合ガスからなるエッチングガスを使用する方法が知られている(例えば、特許文献1参照。)。 As a plasma etching method for forming holes in a low dielectric constant insulating film made of a carbon-containing silicon oxide film as described above, for example, a mixed gas of C 4 F 8 / CH 2 F 2 / Ar / CO / N 2 is used. A method using an etching gas is known (for example, see Patent Document 1).

また、上記のような炭素含有シリコン酸化膜からなる低誘電率絶縁膜にホールを形成する他のプラズマエッチング方法としては、CHF3 /N2 /Arの混合ガスからなるエッチングガスを使用してメインエッチを行い、次にC4 6 /N2 /Arの混合ガスからなるエッチングガスを使用してオーバエッチする方法が知られている(例えば、特許文献2参照。)。 As another plasma etching method for forming holes in the low dielectric constant insulating film made of the carbon-containing silicon oxide film as described above, an etching gas made of a mixed gas of CHF 3 / N 2 / Ar is used. A method is known in which etching is performed and then overetching is performed using an etching gas composed of a mixed gas of C 4 F 6 / N 2 / Ar (see, for example, Patent Document 2).

ところで、半導体装置の微細化に伴い、コンタクトホール、ビアホール等の径は小さくなる傾向にあり、そのアスペクト比は増大する傾向にある。例えば、ホール径は150nm以下、アスペクト比は3以上等とすることが求められている。このため、エッチングの際のマスクを形成するためのフォトレジストとして、ArFエキシマレーザー(波長193nm)を露光光源として使用するArFレジストを使用することが行われている。
特開2001−210627号公報 特開2003−209037号公報
By the way, with the miniaturization of semiconductor devices, the diameters of contact holes, via holes, etc. tend to decrease, and the aspect ratio tends to increase. For example, the hole diameter is required to be 150 nm or less and the aspect ratio is 3 or more. For this reason, an ArF resist using an ArF excimer laser (wavelength: 193 nm) as an exposure light source is used as a photoresist for forming a mask for etching.
JP 2001-210627 A JP 2003-209037 A

しかしながら、本発明者等が研究を重ねた結果、ArFレジストを使用し、上記のようなエッチングガスを使用して、炭素含有シリコン酸化膜からなる低誘電率絶縁膜をエッチングすると、レジスト選択比が低下するとともに、ホールの内壁に多数の縦筋が形成され、内壁面が荒れた状態となるという問題が発生することが判明した。   However, as a result of repeated research by the present inventors, when an ArF resist is used and a low dielectric constant insulating film made of a carbon-containing silicon oxide film is etched using the above etching gas, the resist selectivity is increased. It has been found that there is a problem that a large number of vertical streaks are formed on the inner wall of the hole and the inner wall surface becomes rough.

本発明は、上記課題を解決するためになされたもので、炭素含有シリコン酸化膜からなる低誘電率絶縁膜とレジストとの選択比を向上させることができるとともに、微細径、高アスペクト比のホールであっても、内壁面が良好な状態で形成することのできるプラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体を提供することを目的とする。   The present invention has been made to solve the above-described problems, and can improve the selectivity of a low-dielectric-constant insulating film made of a carbon-containing silicon oxide film and a resist, as well as a hole having a fine diameter and a high aspect ratio. Even so, an object of the present invention is to provide a plasma etching method, a plasma etching apparatus, a control program, and a computer storage medium that can be formed with a good inner wall surface.

請求項1のプラズマエッチング方法は、レジスト膜をマスクとし、炭素含有シリコン酸化膜からなる低誘電率絶縁膜を、エッチングガスのプラズマによってエッチングしてホールを形成するプラズマエッチング方法であって、前記エッチングガスが、フルオロカーボンガスを含む混合ガスで、希ガスを含まないことを特徴とする。   The plasma etching method according to claim 1 is a plasma etching method in which a hole is formed by etching a low dielectric constant insulating film made of a carbon-containing silicon oxide film with an etching gas plasma using a resist film as a mask. The gas is a mixed gas containing a fluorocarbon gas and does not contain a rare gas.

請求項2のプラズマエッチング方法は、レジスト膜をマスクとし、炭素含有シリコン酸化膜からなる低誘電率絶縁膜を、エッチングガスのプラズマによってエッチングしてホールを形成するプラズマエッチング方法であって、前記エッチングガスが、フルオロカーボンガスを含む混合ガスで、Arガスを含まないことを特徴とする。   The plasma etching method according to claim 2 is a plasma etching method in which a hole is formed by etching a low dielectric constant insulating film made of a carbon-containing silicon oxide film with an etching gas plasma using a resist film as a mask. The gas is a mixed gas containing a fluorocarbon gas and does not contain Ar gas.

請求項3のプラズマエッチング方法は、請求項1又は2記載のプラズマエッチング方法であって、前記炭素含有シリコン酸化膜は、SiCOH膜であることを特徴とする。   The plasma etching method according to claim 3 is the plasma etching method according to claim 1 or 2, wherein the carbon-containing silicon oxide film is a SiCOH film.

請求項4のプラズマエッチング方法は、請求項1〜3いずれか1項記載のプラズマエッチング方法であって、前記ホールの径が150nm以下、アスペクト比が3以上であることを特徴とする。   A plasma etching method according to a fourth aspect is the plasma etching method according to any one of the first to third aspects, wherein the hole has a diameter of 150 nm or less and an aspect ratio of 3 or more.

請求項5のプラズマエッチング方法は、請求項1〜4いずれか1項記載のプラズマエッチング方法であって、前記エッチングガスが、ハイドロフルオロカーボンガスを含むことを特徴とする。   A plasma etching method according to claim 5 is the plasma etching method according to any one of claims 1 to 4, wherein the etching gas contains a hydrofluorocarbon gas.

請求項6のプラズマエッチング方法は、請求項1〜4いずれか1項記載のプラズマエッチング方法であって、前記エッチングガスが、フルオロカーボンガスと、ハイドロフルオロカーボンガスと、酸素と、窒素との混合ガスであることを特徴とする。   The plasma etching method according to claim 6 is the plasma etching method according to any one of claims 1 to 4, wherein the etching gas is a mixed gas of a fluorocarbon gas, a hydrofluorocarbon gas, oxygen, and nitrogen. It is characterized by being.

請求項7のプラズマエッチング方法は、請求項6記載のプラズマエッチング方法であって、前記エッチングガス中の酸素が、全エッチングガスに対して流量比で2〜8%含まれていることを特徴とする。   The plasma etching method according to claim 7 is the plasma etching method according to claim 6, wherein oxygen in the etching gas is contained in a flow rate ratio of 2 to 8% with respect to the total etching gas. To do.

請求項8のプラズマエッチング方法は、請求項6記載のプラズマエッチング方法であって、前記エッチングガス中の酸素が、全エッチングガスに対して流量比で3〜6%含まれていることを特徴とする。   The plasma etching method according to claim 8 is the plasma etching method according to claim 6, wherein oxygen in the etching gas is contained in a flow rate ratio of 3 to 6% with respect to the total etching gas. To do.

請求項9のプラズマエッチング方法は、請求項6〜8いずれか1項記載のプラズマエッチング方法であって、前記エッチングガスが、更にCOを含むことを特徴とする。   The plasma etching method according to claim 9 is the plasma etching method according to any one of claims 6 to 8, wherein the etching gas further contains CO.

請求項10のプラズマエッチング方法は、請求項1〜9いずれか1項記載のプラズマエッチング方法であって、前記レジスト膜が、ArFエキシマレーザーの波長以下の短波長光で露光されたものであることを特徴とする。   The plasma etching method according to claim 10 is the plasma etching method according to any one of claims 1 to 9, wherein the resist film is exposed with light having a short wavelength equal to or shorter than a wavelength of an ArF excimer laser. It is characterized by.

請求項11のプラズマエッチング方法は、ArFエキシマレーザーの波長以下の短波長光で露光されたレジスト膜をマスクとし、炭素含有シリコン酸化膜からなる低誘電率絶縁膜を、エッチングガスのプラズマによってエッチングするプラズマエッチング方法であって、前記エッチングガスが、フルオロカーボンガスと、ハイドロフルオロカーボンガスと、酸素と、窒素との混合ガスであり、希ガスを含まないことを特徴とする。   According to a plasma etching method of claim 11, a low dielectric constant insulating film made of a carbon-containing silicon oxide film is etched by plasma of an etching gas using a resist film exposed with a short wavelength light shorter than the wavelength of an ArF excimer laser as a mask. In the plasma etching method, the etching gas is a mixed gas of a fluorocarbon gas, a hydrofluorocarbon gas, oxygen, and nitrogen, and does not include a rare gas.

請求項12のプラズマエッチング方法は、ArFエキシマレーザーの波長以下の短波長光で露光されたレジスト膜をマスクとし、炭素含有シリコン酸化膜からなる低誘電率絶縁膜を、エッチングガスのプラズマによってエッチングするプラズマエッチング方法であって、前記エッチングガスが、フルオロカーボンガスと、ハイドロフルオロカーボンガスと、酸素と、窒素との混合ガスであり、Arガスを含まないことを特徴とする。   The plasma etching method according to claim 12 etches a low dielectric constant insulating film made of a carbon-containing silicon oxide film with a plasma of an etching gas using a resist film exposed with a short wavelength light shorter than the wavelength of an ArF excimer laser as a mask. In the plasma etching method, the etching gas is a mixed gas of fluorocarbon gas, hydrofluorocarbon gas, oxygen, and nitrogen, and does not include Ar gas.

請求項13のプラズマエッチング方法は、請求項11又は12記載のプラズマエッチング方法であって、前記炭素含有シリコン酸化膜は、SiCOH膜であることを特徴とする。   A plasma etching method according to claim 13 is the plasma etching method according to claim 11 or 12, wherein the carbon-containing silicon oxide film is a SiCOH film.

請求項14のプラズマエッチング方法は、請求項11〜13いずれか1項記載のプラズマエッチング方法であって、前記エッチングガス中の酸素が、全エッチングガスに対して流量比で2〜8%含まれていることを特徴とする。   The plasma etching method according to claim 14 is the plasma etching method according to any one of claims 11 to 13, wherein oxygen in the etching gas is included at a flow rate ratio of 2 to 8% with respect to the total etching gas. It is characterized by.

請求項15のプラズマエッチング方法は、請求項11〜13いずれか1項記載のプラズマエッチング方法であって、前記エッチングガス中の酸素が、全エッチングガスに対して流量比で3〜6%含まれていることを特徴とする。   The plasma etching method according to claim 15 is the plasma etching method according to any one of claims 11 to 13, wherein oxygen in the etching gas is included in a flow rate ratio of 3 to 6% with respect to the total etching gas. It is characterized by.

請求項16のプラズマエッチング方法は、請求項11〜14いずれか1項記載のプラズマエッチング方法であって、前記エッチングガスが、更にCOを含むことを特徴とする。   The plasma etching method according to claim 16 is the plasma etching method according to any one of claims 11 to 14, wherein the etching gas further contains CO.

請求項17のプラズマエッチング装置は、被処理体を収容する処理容器と、前記処理容器内にエッチングガスを供給するエッチングガス供給手段と、前記エッチングガス供給手段から供給された前記エッチングガスをプラズマ化して前記被処理体をプラズマエッチングするプラズマ生成手段と、前記処理容器内で請求項1から請求項16いずれか1項記載のプラズマエッチング方法が行われるように制御する制御部とを備えたことを特徴とする。   The plasma etching apparatus according to claim 17, wherein a processing container that accommodates an object to be processed, an etching gas supply means that supplies an etching gas into the processing container, and the etching gas supplied from the etching gas supply means is converted into plasma. A plasma generating means for plasma etching the object to be processed, and a controller for controlling the plasma etching method according to any one of claims 1 to 16 to be performed in the processing container. Features.

請求項18の制御プログラムは、コンピュータ上で動作し、実行時に、請求項1から請求項16いずれか1項記載のプラズマエッチング方法が行われるようにプラズマ処理装置を制御することを特徴とする。   The control program according to claim 18 operates on a computer, and controls the plasma processing apparatus so that the plasma etching method according to any one of claims 1 to 16 is performed at the time of execution.

請求項19のコンピュータ記憶媒体は、コンピュータ上で動作する制御プログラムが記憶されたコンピュータ記憶媒体であって、前記制御プログラムは、実行時に請求項1から請求項16いずれか1項記載のプラズマエッチング方法が行われるようにプラズマ処理装置を制御することを特徴とする。   The computer storage medium according to claim 19 is a computer storage medium storing a control program that operates on a computer, and the control program is executed at the time of execution according to any one of claims 1 to 16. The plasma processing apparatus is controlled so as to be performed.

本発明によれば、炭素含有シリコン酸化膜からなる低誘電率絶縁膜とレジストとの選択比を向上させることができるとともに、微細径、高アスペクト比のホールであっても、内壁面が良好な状態で形成することができる。   According to the present invention, the selectivity between a low dielectric constant insulating film made of a carbon-containing silicon oxide film and a resist can be improved, and the inner wall surface is good even for a hole with a fine diameter and a high aspect ratio. It can be formed in a state.

以下、本発明の実施の形態について図面を参照して説明する。   Hereinafter, embodiments of the present invention will be described with reference to the drawings.

図1は、本実施形態に係る半導体ウエハWの断面構成を拡大して示すものであり、図2は、本実施形態に係るプラズマエッチング装置の構成を示すものである。まず、図2を参照してプラズマエッチング装置の構成について説明する。   FIG. 1 shows an enlarged cross-sectional configuration of a semiconductor wafer W according to the present embodiment, and FIG. 2 shows a configuration of a plasma etching apparatus according to the present embodiment. First, the configuration of the plasma etching apparatus will be described with reference to FIG.

プラズマエッチング装置1は、電極板が上下平行に対向し、一方にプラズマ形成用電源が接続された容量結合型平行平板エッチング装置として構成されている。   The plasma etching apparatus 1 is configured as a capacitively coupled parallel plate etching apparatus in which electrode plates are opposed in parallel in the vertical direction, and a plasma forming power source is connected to one of them.

プラズマエッチング装置1は、例えば表面にイットリアを溶射したアルミニウム等からなり円筒形状に成形されたチャンバー(処理容器)2を有しており、このチャンバー2は接地されている。チャンバー2内の底部にはセラミックなどの絶縁板3を介して、被処理物、例えば半導体ウエハWを載置するための略円柱状のサセプタ支持台4が設けられている。さらに、このサセプタ支持台4の上には、下部電極を構成するサセプタ5が設けられている。このサセプタ5には、ハイパスフィルター(HPF)6が接続されている。   The plasma etching apparatus 1 has a chamber (processing container) 2 made of, for example, aluminum whose surface is sprayed with yttria and formed in a cylindrical shape, and the chamber 2 is grounded. A substantially cylindrical susceptor support 4 for placing an object to be processed, for example, a semiconductor wafer W, is provided on the bottom of the chamber 2 via an insulating plate 3 such as ceramic. Further, a susceptor 5 constituting a lower electrode is provided on the susceptor support 4. A high pass filter (HPF) 6 is connected to the susceptor 5.

サセプタ支持台4の内部には、冷媒室7が設けられており、この冷媒室7には、冷媒が冷媒導入管8を介して導入されて循環し、その冷熱がサセプタ5を介して半導体ウエハWに対して伝熱され、これにより半導体ウエハWが所望の温度に制御される。   A refrigerant chamber 7 is provided inside the susceptor support 4, and a refrigerant is introduced into the refrigerant chamber 7 through a refrigerant introduction pipe 8 and circulated, and the cold heat is transmitted through the susceptor 5 to the semiconductor wafer. Heat is transferred to W, whereby the semiconductor wafer W is controlled to a desired temperature.

サセプタ5は、その上側中央部が凸状の円板状に成形され、その上に半導体ウエハWと略同形の静電チャック11が設けられている。静電チャック11は、絶縁材の間に電極12を配置して構成されている。そして、電極12に接続された直流電源13から例えば1.5kVの直流電圧が印加されることにより、例えばクーロン力によって半導体ウエハWを静電吸着する。   The upper center portion of the susceptor 5 is formed in a convex disk shape, and an electrostatic chuck 11 having substantially the same shape as the semiconductor wafer W is provided thereon. The electrostatic chuck 11 is configured by disposing an electrode 12 between insulating materials. Then, when a DC voltage of, for example, 1.5 kV is applied from the DC power source 13 connected to the electrode 12, the semiconductor wafer W is electrostatically attracted by, for example, Coulomb force.

絶縁板3、サセプタ支持台4、サセプタ5、静電チャック11には、半導体ウエハWの裏面に、伝熱媒体(例えばHeガス等)を供給するためのガス通路14が形成されており、この伝熱媒体を介してサセプタ5の冷熱が半導体ウエハWに伝達され半導体ウエハWが所定の温度に維持されるようになっている。   The insulating plate 3, the susceptor support 4, the susceptor 5, and the electrostatic chuck 11 are formed with a gas passage 14 for supplying a heat transfer medium (for example, He gas) on the back surface of the semiconductor wafer W. The cold heat of the susceptor 5 is transmitted to the semiconductor wafer W via the heat transfer medium so that the semiconductor wafer W is maintained at a predetermined temperature.

サセプタ5の上端周縁部には、静電チャック11上に載置された半導体ウエハWを囲むように、環状のフォーカスリング15が配置されている。このフォーカスリング15は、例えば、シリコンなどの導電性材料から構成されており、エッチングの均一性を向上させる作用を有する。   An annular focus ring 15 is disposed at the upper peripheral edge of the susceptor 5 so as to surround the semiconductor wafer W placed on the electrostatic chuck 11. The focus ring 15 is made of, for example, a conductive material such as silicon, and has an effect of improving etching uniformity.

サセプタ5の上方には、このサセプタ5と平行に対向して上部電極21が設けられている。この上部電極21は、絶縁材22を介して、チャンバー2の上部に支持されており、サセプタ5との対向面を構成し、多数の吐出孔23を有する、例えば、表面に陽極酸化処理(アルマイト処理)されたアルミニウムに石英カバーを設けて構成された電極板24と、この電極板24を支持する導電性材料からなる電極支持体25とによって構成されている。サセプタ5と上部電極21とは、その間隔を変更可能とされている。   An upper electrode 21 is provided above the susceptor 5 so as to face the susceptor 5 in parallel. The upper electrode 21 is supported on the upper portion of the chamber 2 via an insulating material 22 and constitutes a surface facing the susceptor 5 and has a large number of discharge holes 23. For example, the surface is anodized (anodized) The electrode plate 24 is configured by providing a quartz cover on treated aluminum, and an electrode support 25 made of a conductive material that supports the electrode plate 24. The distance between the susceptor 5 and the upper electrode 21 can be changed.

上部電極21における電極支持体25の中央にはガス導入口26が設けられ、このガス導入口26には、ガス供給管27が接続されている。さらにこのガス供給管27には、バルブ28、並びにマスフローコントローラ29を介して、処理ガス供給源30が接続されている。処理ガス供給源30から、プラズマエッチングのためのエッチングガスが供給される。本実施形態において、処理ガス供給源30からは、例えば、CF4 /CH2 2 /N2 /O2 からなるエッチングガス、C4 6 /CH3 F/N2 /O2 からなるエッチングガス、C4 8 /CH3 F/N2 /O2 からなるエッチングガス、C4 8 /CH2 2 /N2 /O2 からなるエッチングガス、または、これらにCOが添加されたエッチングガス等が供給される。 A gas inlet 26 is provided at the center of the electrode support 25 in the upper electrode 21, and a gas supply pipe 27 is connected to the gas inlet 26. Further, a processing gas supply source 30 is connected to the gas supply pipe 27 via a valve 28 and a mass flow controller 29. An etching gas for plasma etching is supplied from the processing gas supply source 30. In the present embodiment, from the processing gas supply source 30, for example, an etching gas made of CF 4 / CH 2 F 2 / N 2 / O 2 , an etching made of C 4 F 6 / CH 3 F / N 2 / O 2 is used. Gas, etching gas composed of C 4 F 8 / CH 3 F / N 2 / O 2 , etching gas composed of C 4 F 8 / CH 2 F 2 / N 2 / O 2 , or CO added thereto Etching gas or the like is supplied.

チャンバー2の底部には排気管31が接続されており、この排気管31には排気装置35が接続されている。排気装置35はターボ分子ポンプなどの真空ポンプを備えており、チャンバー2内を所定の減圧雰囲気、例えば1Pa以下の所定の圧力まで真空引き可能なように構成されている。また、チャンバー2の側壁にはゲートバルブ32が設けられており、このゲートバルブ32を開にした状態で半導体ウエハWが隣接するロードロック室 (図示せず)との間で搬送されるようになっている。   An exhaust pipe 31 is connected to the bottom of the chamber 2, and an exhaust device 35 is connected to the exhaust pipe 31. The exhaust device 35 includes a vacuum pump such as a turbo molecular pump, and is configured to be able to evacuate the chamber 2 to a predetermined reduced pressure atmosphere, for example, a predetermined pressure of 1 Pa or less. Further, a gate valve 32 is provided on the side wall of the chamber 2 so that the semiconductor wafer W is transferred to and from an adjacent load lock chamber (not shown) with the gate valve 32 opened. It has become.

上部電極21には、第1の高周波電源40が接続されており、その給電線には整合器41が介挿されている。また、上部電極21にはローパスフィルター(LPF)42が接続されている。この第1の高周波電源40は、50〜150MHzの範囲の周波数を有している。このように高い周波数を印加することによりチャンバー2内に好ましい解離状態でかつ高密度のプラズマを形成することができる。この第1の高周波電源40の周波数は、50〜80MHzが好ましく、後述する実施例1〜6では、図示した60MHzが使用される。   A first high frequency power supply 40 is connected to the upper electrode 21, and a matching device 41 is inserted in the feeder line. Further, a low pass filter (LPF) 42 is connected to the upper electrode 21. The first high frequency power supply 40 has a frequency in the range of 50 to 150 MHz. By applying such a high frequency, it is possible to form a high-density plasma in a preferable dissociated state in the chamber 2. The frequency of the first high frequency power supply 40 is preferably 50 to 80 MHz. In Examples 1 to 6 described later, the illustrated 60 MHz is used.

下部電極としてのサセプタ5には、第2の高周波電源50が接続されており、その給電線には整合器51が介挿されている。この第2の高周波電源50は、第1の高周波電源40より低い周波数の範囲の周波数を有しており、このような範囲の周波数を印加することにより、被処理体である半導体ウエハWに対してダメージを与えることなく適切なイオン作用を与えることができる。第2の高周波電源50の周波数は1〜20MHzの範囲が好ましく、後述する実施例1〜6では、図示した2MHzが使用される。   A second high-frequency power source 50 is connected to the susceptor 5 serving as a lower electrode, and a matching unit 51 is interposed in the power supply line. The second high-frequency power supply 50 has a frequency in a range of frequencies lower than that of the first high-frequency power supply 40. By applying a frequency in such a range, the second high-frequency power supply 50 is applied to the semiconductor wafer W that is the object to be processed. Therefore, it is possible to give an appropriate ion action without damaging it. The frequency of the second high frequency power supply 50 is preferably in the range of 1 to 20 MHz. In Examples 1 to 6 described later, the illustrated 2 MHz is used.

上記構成のプラズマエッチング装置1は、制御部60によって、その動作が統括的に制御される。この制御部60には、CPUを備えプラズマエッチング装置1の各部を制御するプロセスコントローラ61と、ユーザインタフェース62と、記憶部63とが設けられている。   The operation of the plasma etching apparatus 1 having the above configuration is controlled by the control unit 60. The control unit 60 includes a process controller 61 that includes a CPU and controls each unit of the plasma etching apparatus 1, a user interface 62, and a storage unit 63.

ユーザインタフェース62は、工程管理者がプラズマエッチング装置1を管理するためにコマンドの入力操作を行うキーボードや、プラズマエッチング装置1の稼働状況を可視化して表示するディスプレイ等から構成されている。   The user interface 62 includes a keyboard that allows a process manager to input commands in order to manage the plasma etching apparatus 1, a display that visualizes and displays the operating status of the plasma etching apparatus 1, and the like.

記憶部63には、プラズマエッチング装置1で実行される各種処理をプロセスコントローラ61の制御にて実現するための制御プログラム(ソフトウエア)や処理条件データ等が記憶されたレシピが格納されている。そして、必要に応じて、ユーザインタフェース62からの指示等にて任意のレシピを記憶部63から呼び出してプロセスコントローラ61に実行させることで、プロセスコントローラ61の制御下で、プラズマエッチング装置1での所望の処理が行われる。また、制御プログラムや処理条件データ等のレシピは、コンピュータで読取り可能なコンピュータ記憶媒体(例えば、ハードディスク、CD、フレキシブルディスク、半導体メモリ等)などに格納された状態のものを利用したり、或いは、他の装置から、例えば専用回線を介して随時伝送させてオンラインで利用したりすることも可能である。   The storage unit 63 stores a recipe in which a control program (software) for realizing various processes executed by the plasma etching apparatus 1 under the control of the process controller 61 and processing condition data are stored. Then, if necessary, an arbitrary recipe is called from the storage unit 63 by an instruction from the user interface 62 and is executed by the process controller 61, so that a desired one in the plasma etching apparatus 1 is controlled under the control of the process controller 61. Is performed. In addition, recipes such as control programs and processing condition data may be stored in a computer-readable computer storage medium (eg, hard disk, CD, flexible disk, semiconductor memory, etc.), or It is also possible to transmit the data from other devices as needed via a dedicated line and use it online.

上記構成のプラズマエッチング装置1によって半導体ウエハWに形成された炭素含有シリコン酸化膜(SiCOH)からなる低誘電率絶縁膜をエッチングする場合、まず、半導体ウエハWは、ゲートバルブ32が開放された後、図示しないロードロック室からチャンバー2内へと搬入され、静電チャック11上に載置される。そして、高圧直流電源13から直流電圧が印加されることによって、半導体ウエハWが静電チャック11上に静電吸着される。次いで、ゲートバルブ32が閉じられ、排気装置35によって、チャンバー2内が所定の真空度まで真空引きされる。   In the case of etching a low dielectric constant insulating film made of a carbon-containing silicon oxide film (SiCOH) formed on the semiconductor wafer W by the plasma etching apparatus 1 having the above configuration, first, after the gate valve 32 is opened, the semiconductor wafer W is opened. Then, it is carried into the chamber 2 from a load lock chamber (not shown) and placed on the electrostatic chuck 11. The semiconductor wafer W is electrostatically attracted onto the electrostatic chuck 11 by applying a DC voltage from the high-voltage DC power supply 13. Next, the gate valve 32 is closed, and the inside of the chamber 2 is evacuated to a predetermined degree of vacuum by the exhaust device 35.

その後、バルブ28が開放されて、処理ガス供給源30から所定のエッチングガスが、マスフローコントローラ29によってその流量が調整されつつ、処理ガス供給管27、ガス導入口26を通って上部電極21の中空部へと導入され、さらに電極板24の吐出孔23を通って、図2の矢印に示すように、半導体ウエハWに対して均一に吐出される。   Thereafter, the valve 28 is opened, and the flow rate of a predetermined etching gas from the processing gas supply source 30 is adjusted by the mass flow controller 29 while passing through the processing gas supply pipe 27 and the gas inlet 26, so that the upper electrode 21 is hollow. Then, the liquid is uniformly discharged onto the semiconductor wafer W through the discharge holes 23 of the electrode plate 24 as shown by the arrows in FIG.

そして、チャンバー2内の圧力が、所定の圧力に維持される。その後、第1の高周波電源40から所定の周波数の高周波電力が上部電極21に印加される。これにより、上部電極21と下部電極としてのサセプタ5との間に高周波電界が生じ、エッチングガスが解離してプラズマ化する。   Then, the pressure in the chamber 2 is maintained at a predetermined pressure. Thereafter, high frequency power having a predetermined frequency is applied to the upper electrode 21 from the first high frequency power supply 40. As a result, a high-frequency electric field is generated between the upper electrode 21 and the susceptor 5 as the lower electrode, and the etching gas is dissociated into plasma.

他方、第2の高周波電源50から、上記の第1の高周波電源40より低い周波数の高周波電力が下部電極であるサセプタ5に印加される。これにより、プラズマ中のイオンがサセプタ5側へ引き込まれ、イオンアシストによりエッチングの異方性が高められる。   On the other hand, high frequency power having a frequency lower than that of the first high frequency power supply 40 is applied from the second high frequency power supply 50 to the susceptor 5 serving as the lower electrode. Thereby, ions in the plasma are drawn to the susceptor 5 side, and the anisotropy of etching is enhanced by ion assist.

そして、所定のエッチング処理が終了すると、高周波電力の供給及びエッチングガスの供給が停止され、上記した手順とは逆の手順で、半導体ウエハWがチャンバー2内から搬出される。   Then, when the predetermined etching process is completed, the supply of the high frequency power and the supply of the etching gas are stopped, and the semiconductor wafer W is unloaded from the chamber 2 by a procedure reverse to the procedure described above.

次に、図1を参照して、本実施形態に係るプラズマエッチング方法について説明する。図1(A)に示すように、被処理物としての半導体ウエハWの表面には、下側から順に、SiCN膜101、SiCOH膜102、TEOS膜103、反射防止膜(BARC(SiON))104、レジスト膜(ArFレジスト)105が形成されている。そして、マスクとしてのレジスト膜105には、所定径のビアホールを形成するための開口部106が形成されている。開口部106は、レジスト膜105にArFエキシマレーザーを光源とした波長193nm以下の短波長の光を用いて露光し、現像して形成されている。なお、このような構造は一例であり、TEOS膜103、反射防止膜(BARC(SiON))104は適宜除かれた構成とされる。   Next, the plasma etching method according to this embodiment will be described with reference to FIG. As shown in FIG. 1A, on the surface of a semiconductor wafer W as an object to be processed, a SiCN film 101, a SiCOH film 102, a TEOS film 103, and an antireflection film (BARC (SiON)) 104 are sequentially arranged from the lower side. A resist film (ArF resist) 105 is formed. An opening 106 for forming a via hole with a predetermined diameter is formed in the resist film 105 as a mask. The opening 106 is formed by exposing and developing the resist film 105 using light having a short wavelength of 193 nm or less using an ArF excimer laser as a light source. Such a structure is an example, and the TEOS film 103 and the antireflection film (BARC (SiON)) 104 are appropriately removed.

本実施形態に係るプラズマエッチング方法では、図1(A)に示す状態から、レジスト膜105をマスクとして、所定のエッチングガス、例えば、CF4 /C4 8 等の混合ガスを使用したプラズマエッチングにより、反射防止膜104とTEOS膜103をエッチングして図1(B)に示す状態とする。 In the plasma etching method according to the present embodiment, plasma etching using a predetermined etching gas, for example, a mixed gas such as CF 4 / C 4 F 8 , using the resist film 105 as a mask from the state shown in FIG. Thus, the antireflection film 104 and the TEOS film 103 are etched to a state shown in FIG.

次に、図1(B)に示す状態から、フルオロカーボンガスを含む混合ガスであってAr等の希ガスを含まない所定のエッチングガス、例えば、CF4 /CH2 2 /N2 /O2 、または、C4 6 /CH3 F/N2 /O2 、または、C4 8 /CH3 F/N2 /O2、または、C4 8 /CH2 2 /N2 /O2 等の混合ガスを使用したプラズマエッチングにより行い、SiCOH膜102をエッチングし、図1(C)に示すように、ビアホール107を形成する。ビアホール107の径は、例えば150nm以下であり、アスペクト比は、例えば3以上である。 Next, from the state shown in FIG. 1B, a predetermined etching gas which is a mixed gas containing a fluorocarbon gas and does not contain a rare gas such as Ar, for example, CF 4 / CH 2 F 2 / N 2 / O 2 Or C 4 F 6 / CH 3 F / N 2 / O 2 , or C 4 F 8 / CH 3 F / N 2 / O 2, or C 4 F 8 / CH 2 F 2 / N 2 / The SiCOH film 102 is etched by plasma etching using a mixed gas such as O 2 to form a via hole 107 as shown in FIG. The diameter of the via hole 107 is, for example, 150 nm or less, and the aspect ratio is, for example, 3 or more.

ビアホール等のホールを形成する場合、エッチングの異方性を高めること等を目的として、従来はAr等の希ガスを含むエッチングガスを使用していた。これに対して、本実施形態では、上記のようにフルオロカーボンガスを含み、Ar等の希ガスを含まない混合ガスからなるエッチングガスを使用する。   When forming a hole such as a via hole, an etching gas containing a rare gas such as Ar has been conventionally used for the purpose of increasing the anisotropy of etching. On the other hand, in the present embodiment, as described above, an etching gas made of a mixed gas containing a fluorocarbon gas and not containing a rare gas such as Ar is used.

これによって、SiCOH膜102とレジスト膜105との選択比(SiCOHのエッチングレート/レジストのエッチングレート)を、Ar等の希ガスを含むエッチングガスを使用した場合に比べて向上させることができる。また、ビアホール107内に縦筋が形成されることを抑制することができ、微細径、高アスペクト比のホールであっても、内壁の荒れの少ない良好な状態で形成することができる。   Thus, the selectivity (SiCOH etching rate / resist etching rate) between the SiCOH film 102 and the resist film 105 can be improved as compared with the case where an etching gas containing a rare gas such as Ar is used. Further, it is possible to suppress the formation of vertical stripes in the via hole 107, and even a hole having a fine diameter and a high aspect ratio can be formed in a good state with little roughness of the inner wall.

上記のエッチングガスにおいて、エッチングガス全体に対する酸素の流量比(酸素の流量(sccm)/エッチングガスの流量(sccm))は、2〜8%程度とすること、さらには3〜6%程度とすることが好ましい。また、ホール内壁の縦筋等の荒れを減少させるためには、必要に応じてエッチングガスに適量のCOを添加することが好ましい。   In the above-described etching gas, the flow rate ratio of oxygen to the entire etching gas (oxygen flow rate (sccm) / etching gas flow rate (sccm)) is about 2 to 8%, and further about 3 to 6%. It is preferable. Further, in order to reduce the roughness of the vertical lines on the inner wall of the hole, it is preferable to add an appropriate amount of CO to the etching gas as necessary.

(実施例1)
実施例1として、図2に示したプラズマエッチング装置1を使用し、図1に示した構造の半導体ウエハW(SiCN=50nm、SiCOH=1000nm、TEOS=60nm、SiON=80nm、レジスト=470nm)において、SiCOH膜102に、レジスト膜(ArFレジスト)105をマスクとして、開口径150nmのビアホール(アスペクト比約7)を、以下のエッチング条件で実際に形成した。
Example 1
As Example 1, the plasma etching apparatus 1 shown in FIG. 2 was used, and the semiconductor wafer W (SiCN = 50 nm, SiCOH = 1000 nm, TEOS = 60 nm, SiON = 80 nm, resist = 470 nm) having the structure shown in FIG. A via hole (aspect ratio of about 7) having an opening diameter of 150 nm was actually formed on the SiCOH film 102 under the following etching conditions using the resist film (ArF resist) 105 as a mask.

なお、以下に示される各実施例の処理レシピは、制御部60の記憶部63から読み出されて、プロセスコントローラ61に取り込まれ、プロセスコントローラ61がプラズマエッチング装置1の各部を制御プログラムに基づいて制御することにより、読み出された処理レシピ通りのエッチング工程が実行される。   In addition, the process recipe of each Example shown below is read from the memory | storage part 63 of the control part 60, is taken in by the process controller 61, and the process controller 61 performs each part of the plasma etching apparatus 1 based on a control program. By controlling, the etching process according to the read processing recipe is executed.

(反射防止膜及びTEOS膜のエッチング)
エッチングガス:CF4 /C4 8 =200/10sccm、圧力16.0Pa(120mTorr)、電力(上部/下部)=1000/600W、温度(上部/側壁部/下部)=60/60/20℃。
(Etching of antireflection film and TEOS film)
Etching gas: CF 4 / C 4 F 8 = 200/10 sccm, pressure 16.0 Pa (120 mTorr), power (upper / lower) = 1000/600 W, temperature (upper / side wall / lower) = 60/60/20 ° C. .

(SiCOH膜のエッチング)
エッチングガス:CF4 /CH2 2 /N2 /O2 =30/20/200/10sccm、圧力9.3Pa(70mTorr)、電力(上部/下部)=600/1000W、温度 (上部/側壁部/下部)=60/60/20℃。
(SiCOH film etching)
Etching gas: CF 4 / CH 2 F 2 / N 2 / O 2 = 30/20/200/10 sccm, pressure 9.3 Pa (70 mTorr), power (upper / lower) = 600/1000 W, temperature (upper / side wall) / Bottom) = 60/60/20 ° C.

この結果、SiCOHのエッチングレートが611nm/min となり、レジストとの選択比が11.5となった。また、ビアホール内に縦筋はほとんど見られなかった。   As a result, the etching rate of SiCOH was 611 nm / min, and the selectivity to the resist was 11.5. In addition, there were almost no vertical stripes in the via hole.

一方、比較例として、上記エッチングガスに、希ガスであるAr(流量200sccm)を加え、他の条件は上記と同様にして同じサンプルのエッチングを行った。この結果、SiCOHのエッチングレートが439nm/min となり、レジストとの選択比が7.8となった。また、ビアホール内には、多数の縦筋が見られた。   On the other hand, as a comparative example, Ar (a flow rate of 200 sccm), which is a rare gas, was added to the above etching gas, and the same sample was etched under the same conditions as above. As a result, the etching rate of SiCOH was 439 nm / min, and the selectivity with respect to the resist was 7.8. A number of vertical stripes were found in the via hole.

以上のとおり、上記実施例1によれば、SiCOHのレジストに対する選択比を向上させることができた。また、ビアホール内に縦筋が形成されることを抑制することができ、微細径、高アスペクト比のビアホールであっても、内壁面が良好な状態で形成することができた。   As described above, according to Example 1 above, the selectivity of SiCOH to the resist could be improved. Moreover, it was possible to suppress the formation of vertical streaks in the via hole, and it was possible to form the inner wall surface in a good state even if the via hole has a fine diameter and a high aspect ratio.

(実施例2)
また、実施例2として、図2に示したプラズマエッチング装置1を使用し、図1に示した構造の半導体ウエハW(SiCN=40nm、SiCOH=500nm、TEOS=60nm、SiON=80nm、レジスト=300nm)において、SiCOH膜102に、レジスト膜(ArFレジスト)105をマスクとして、開口径150nmのビアホール (アスペクト比約3.3)を、以下のエッチング条件で実際に形成した。
(Example 2)
Further, as Example 2, the plasma etching apparatus 1 shown in FIG. 2 was used, and the semiconductor wafer W having the structure shown in FIG. 1 (SiCN = 40 nm, SiCOH = 500 nm, TEOS = 60 nm, SiON = 80 nm, resist = 300 nm) ), Via holes (aspect ratio of about 3.3) having an opening diameter of 150 nm were actually formed in the SiCOH film 102 using the resist film (ArF resist) 105 as a mask under the following etching conditions.

(反射防止膜及びTEOS膜のエッチング)
エッチングガス:CF4 =150sccm、圧力20.0Pa(150mTorr)、電力(上部/下部)=600/600W、温度(上部/側壁部/下部)=60/60/20℃。
(Etching of antireflection film and TEOS film)
Etching gas: CF 4 = 150 sccm, pressure 20.0 Pa (150 mTorr), power (upper / lower) = 600/600 W, temperature (upper / side wall / lower) = 60/60/20 ° C.

(SiCOH膜のエッチング)
エッチングガス:C4 6 /CH3 F/N2 /O2 =6/40/120/8sccm、圧力9.3Pa(70mTorr)、電力(上部/下部)=1000/1400W、温度(上部/側壁部/下部)=60/60/20℃。
(SiCOH film etching)
Etching gas: C 4 F 6 / CH 3 F / N 2 / O 2 = 6/40/120/8 sccm, pressure 9.3 Pa (70 mTorr), power (upper / lower) = 1000/1400 W, temperature (upper / side wall) Part / bottom) = 60/60/20 ° C.

この結果、SiCOHのエッチングレートが709nm/min となり、レジストとの選択比が16.3となった。また、ビアホール内に縦筋はほとんど見られなかった。   As a result, the etching rate of SiCOH was 709 nm / min, and the selectivity to the resist was 16.3. In addition, there were almost no vertical stripes in the via hole.

一方、比較例として、上記エッチングガスに、希ガスであるAr(流量200sccm)を加え、他の条件は上記と同様にして同じサンプルのエッチングを行った。この結果、SiCOHのエッチングレートが532nm/min となり、レジストとの選択比が10.0となった。また、ビアホール内には、多数の縦筋が見られた。   On the other hand, as a comparative example, Ar (a flow rate of 200 sccm), which is a rare gas, was added to the above etching gas, and the same sample was etched under the same conditions as above. As a result, the etching rate of SiCOH was 532 nm / min, and the selectivity to the resist was 10.0. A number of vertical stripes were found in the via hole.

以上のとおり、実施例1とはガス種の異なる実施例2においても、SiCOHのレジストに対する選択比を向上させることができた。また、ビアホール内に縦筋が形成されることを抑制することができ、微細径、高アスペクト比のビアホールであっても、内壁面が良好な状態で形成することができた。   As described above, also in Example 2 in which the gas type is different from Example 1, the selectivity of SiCOH to the resist could be improved. Moreover, it was possible to suppress the formation of vertical streaks in the via hole, and it was possible to form the inner wall surface in a good state even if the via hole has a fine diameter and a high aspect ratio.

(実施例3)
また、酸素のエッチングガス全体に対する流量比を高めた実施例3(酸素の流量比7.4%)として、図2に示したプラズマエッチング装置1を使用し、図1に示した構造でTEOS膜103のない半導体ウエハW(SiCN=50nm、SiCOH=600nm、SiON=80nm、レジスト=400nm)において、SiCOH膜102に、レジスト膜(ArFレジスト)105をマスクとして、ビアホールを以下のエッチング条件で実際に形成した。
(Example 3)
Further, as Example 3 (oxygen flow rate ratio 7.4%) in which the flow rate ratio of oxygen to the entire etching gas was increased, the plasma etching apparatus 1 shown in FIG. 2 was used, and the TEOS film having the structure shown in FIG. In a semiconductor wafer W without SiC 103 (SiCN = 50 nm, SiCOH = 600 nm, SiON = 80 nm, resist = 400 nm), via holes are actually formed on the SiCOH film 102 using the resist film (ArF resist) 105 as a mask under the following etching conditions. Formed.

(反射防止膜のエッチング)
エッチングガス:CF4 =100sccm、圧力6.6Pa(50mTorr)、電力(上部/下部)=1000/100W、温度(上部/側壁部/下部)=60/50/15℃。(SiCOH膜のエッチング)
(Antireflection coating etching)
Etching gas: CF 4 = 100 sccm, pressure 6.6 Pa (50 mTorr), power (upper / lower) = 1000/100 W, temperature (upper / side wall / lower) = 60/50/15 ° C. (SiCOH film etching)

エッチングガス:C4 8 /CH3 F/N2 /O2 =6/30/90/10sccm、圧力9.3Pa(70mTorr)、電力(上部/下部)=600/1200W、温度(上部/側壁部/下部)=60/50/15℃。 Etching gas: C 4 F 8 / CH 3 F / N 2 / O 2 = 6/30/90/10 sccm, pressure 9.3 Pa (70 mTorr), power (upper / lower) = 600/1200 W, temperature (upper / side wall) Part / bottom) = 60/50/15 ° C.

この結果、SiCOHのエッチングレートが772nm/min となり、レジストとの選択比が27.6となった。また、ビアホール内に縦筋はほとんど見られなかった。   As a result, the etching rate of SiCOH was 772 nm / min, and the selectivity with respect to the resist was 27.6. In addition, there were almost no vertical stripes in the via hole.

(実施例4)
また、実施例4として上記実施例3(酸素の流量比7.4%)のメインエッチングにおけるCH3 F(30sccm)を、CH2 2 (30sccm)とし、他の条件は実施例3と同様にしてビアホールを実際に形成した。
Example 4
Further, as Example 4, CH 3 F (30 sccm) in the main etching of Example 3 (oxygen flow rate ratio 7.4%) is CH 2 F 2 (30 sccm), and other conditions are the same as in Example 3. A via hole was actually formed.

この結果、SiCOHのエッチングレートが672nm/min となり、レジストとの選択比が10.5となった。また、ビアホール内に縦筋はほとんど見られなかった。   As a result, the etching rate of SiCOH was 672 nm / min, and the selectivity to the resist was 10.5. In addition, there were almost no vertical stripes in the via hole.

(実施例5)
実施例1と同様なガス系で、酸素のエッチングガス全体に対する流量比を減少させた実施例5(酸素の流量比2.4%)として、図2に示したプラズマエッチング装置1を使用し、図1に示した構造の半導体ウエハW(SiCN=50nm、SiCOH=400nm、TEOS=50nm、SiON=80nm、レジスト=400nm)において、SiCOH膜102に、レジスト膜(ArFレジスト)105をマスクとして、ビアホールを、以下のエッチング条件で実際に形成した。
(Example 5)
As Example 5 (oxygen flow rate ratio 2.4%) in which the flow rate ratio of oxygen to the entire etching gas is reduced in the same gas system as in Example 1, the plasma etching apparatus 1 shown in FIG. 2 is used. In the semiconductor wafer W having the structure shown in FIG. 1 (SiCN = 50 nm, SiCOH = 400 nm, TEOS = 50 nm, SiON = 80 nm, resist = 400 nm), via holes are formed on the SiCOH film 102 using the resist film (ArF resist) 105 as a mask. Was actually formed under the following etching conditions.

(反射防止膜及びTEOS膜のエッチング)
エッチングガス:CF4 =200sccm、圧力13.3Pa(100mTorr)、電力(上部/下部)=300/300W、温度(上部/側壁部/下部)=60/60/0℃。
(Etching of antireflection film and TEOS film)
Etching gas: CF 4 = 200 sccm, pressure 13.3 Pa (100 mTorr), power (upper / lower) = 300/300 W, temperature (upper / side wall / lower) = 60/60/0 ° C.

(SiCOH膜のエッチング)
エッチングガス:CF4 /CH2 2 /N2 /O2 =30/25/350/10sccm、圧力6.7Pa(50mTorr)、電力(上部/下部)=400/1400W、温度 (上部/側壁部/下部)=60/60/0℃。
(SiCOH film etching)
Etching gas: CF 4 / CH 2 F 2 / N 2 / O 2 = 30/25/350/10 sccm, pressure 6.7 Pa (50 mTorr), power (upper / lower) = 400/1400 W, temperature (upper / side wall) / Bottom) = 60/60/0 ° C.

上記のガス系(酸素の流量比が約2.4%)では、SiCOHのエッチングレート及びレジストとの選択比が実施例1よりも低下する傾向が見られたが、ビアホール内に縦筋はほとんど見られなかった。   In the above gas system (oxygen flow rate ratio of about 2.4%), the etching rate of SiCOH and the selectivity with the resist tended to be lower than in Example 1, but there were almost no vertical stripes in the via hole. I couldn't see it.

上記の実施例1〜5から分かるように、エッチングガス全体に対する酸素の流量比は、2〜8%程度とすること、さらには3〜6%程度とすることが好ましい。   As can be seen from Examples 1 to 5 above, the flow rate ratio of oxygen to the entire etching gas is preferably about 2 to 8%, and more preferably about 3 to 6%.

(実施例6)
実施例5として、実施例1で使用したガス系にCOを添加したエッチングガスを使用して以下の条件でエッチングを行いビアホールを形成した。なお、反射防止膜及びTEOS膜のエッチングは、実施例1の場合と同様にして行った。
(Example 6)
As Example 5, a via hole was formed by performing etching under the following conditions using an etching gas in which CO was added to the gas system used in Example 1. The antireflection film and the TEOS film were etched in the same manner as in Example 1.

(SiCOHのエッチング)
エッチングガス:CF4 /CH2 2 /N2 /O2 /CO=30/20/200/12/50sccm、圧力9.3Pa(70mTorr)、電力(上部/下部)=600/1000W、温度(上部/側壁部/下部)=60/60/0℃。
(SiCOH etching)
Etching gas: CF 4 / CH 2 F 2 / N 2 / O 2 / CO = 30/20/200/12/50 sccm, pressure 9.3 Pa (70 mTorr), power (upper / lower) = 600/1000 W, temperature ( (Upper / side wall / lower) = 60/60/0 ° C.

この結果、実施例1の場合に比べて、僅かにエッチングレートは低下するものの、選択比は僅かに向上し、ホール内の側壁の荒れ(縦筋)は更に改善された。   As a result, although the etching rate slightly decreased as compared with the case of Example 1, the selectivity was slightly improved, and the roughness (vertical stripe) of the side wall in the hole was further improved.

この実施例6から分かるように、ホール内壁の縦筋等の荒れを減少させるためには、必要に応じてエッチングガスに適量のCOを添加することが好ましい。   As can be seen from Example 6, it is preferable to add an appropriate amount of CO to the etching gas as necessary in order to reduce the roughness of the vertical streaks on the inner wall of the hole.

本発明の実施形態のエッチング方法に係る半導体ウエハの断面構成を示す図。The figure which shows the cross-sectional structure of the semiconductor wafer which concerns on the etching method of embodiment of this invention. 本発明の実施形態に係るエッチング装置の概略構成を示す図。The figure which shows schematic structure of the etching apparatus which concerns on embodiment of this invention.

符号の説明Explanation of symbols

101……SiCN膜、102……SiCOH膜、103……TEOS膜、104……反射防止膜(BARC(SiON))、105……レジスト膜(ArFレジスト)、106……開口部、107……ビアホール。   DESCRIPTION OF SYMBOLS 101 ... SiCN film, 102 ... SiCOH film, 103 ... TEOS film, 104 ... Antireflection film (BARC (SiON)), 105 ... Resist film (ArF resist), 106 ... Opening, 107 ... Beer hall.

Claims (19)

レジスト膜をマスクとし、炭素含有シリコン酸化膜からなる低誘電率絶縁膜を、エッチングガスのプラズマによってエッチングしてホールを形成するプラズマエッチング方法であって、
前記エッチングガスが、フルオロカーボンガスを含む混合ガスで、希ガスを含まないことを特徴とするプラズマエッチング方法。
A plasma etching method in which a hole is formed by etching a low dielectric constant insulating film made of a carbon-containing silicon oxide film with an etching gas plasma using a resist film as a mask,
The plasma etching method, wherein the etching gas is a mixed gas containing a fluorocarbon gas and no rare gas.
レジスト膜をマスクとし、炭素含有シリコン酸化膜からなる低誘電率絶縁膜を、エッチングガスのプラズマによってエッチングしてホールを形成するプラズマエッチング方法であって、
前記エッチングガスが、フルオロカーボンガスを含む混合ガスで、Arガスを含まないことを特徴とするプラズマエッチング方法。
A plasma etching method in which a hole is formed by etching a low dielectric constant insulating film made of a carbon-containing silicon oxide film with an etching gas plasma using a resist film as a mask,
The plasma etching method, wherein the etching gas is a mixed gas containing a fluorocarbon gas and no Ar gas.
請求項1又は2記載のプラズマエッチング方法であって、
前記炭素含有シリコン酸化膜は、SiCOH膜であることを特徴とするプラズマエッチング方法。
The plasma etching method according to claim 1 or 2,
The plasma etching method, wherein the carbon-containing silicon oxide film is a SiCOH film.
請求項1〜3いずれか1項記載のプラズマエッチング方法であって、
前記ホールの径が150nm以下、アスペクト比が3以上であることを特徴とするプラズマエッチング方法。
The plasma etching method according to any one of claims 1 to 3,
A plasma etching method, wherein the hole has a diameter of 150 nm or less and an aspect ratio of 3 or more.
請求項1〜4いずれか1項記載のプラズマエッチング方法であって、
前記エッチングガスが、ハイドロフルオロカーボンガスを含むことを特徴とするプラズマエッチング方法。
A plasma etching method according to any one of claims 1 to 4,
The plasma etching method, wherein the etching gas contains a hydrofluorocarbon gas.
請求項1〜4いずれか1項記載のプラズマエッチング方法であって、
前記エッチングガスが、フルオロカーボンガスと、ハイドロフルオロカーボンガスと、酸素と、窒素との混合ガスであることを特徴とするプラズマエッチング方法。
A plasma etching method according to any one of claims 1 to 4,
The plasma etching method, wherein the etching gas is a mixed gas of a fluorocarbon gas, a hydrofluorocarbon gas, oxygen, and nitrogen.
請求項6記載のプラズマエッチング方法であって、
前記エッチングガス中の酸素が、全エッチングガスに対して流量比で2〜8%含まれていることを特徴とするプラズマエッチング方法。
The plasma etching method according to claim 6, wherein
2. The plasma etching method according to claim 1, wherein oxygen in the etching gas is contained in a flow rate ratio of 2 to 8% with respect to the total etching gas.
請求項6記載のプラズマエッチング方法であって、
前記エッチングガス中の酸素が、全エッチングガスに対して流量比で3〜6%含まれていることを特徴とするプラズマエッチング方法。
The plasma etching method according to claim 6, wherein
2. A plasma etching method according to claim 1, wherein oxygen in the etching gas is contained at a flow rate ratio of 3 to 6% with respect to the total etching gas.
請求項6〜8いずれか1項記載のプラズマエッチング方法であって、
前記エッチングガスが、更にCOを含むことを特徴とするプラズマエッチング方法。
A plasma etching method according to any one of claims 6 to 8,
The plasma etching method, wherein the etching gas further contains CO.
請求項1〜9いずれか1項記載のプラズマエッチング方法であって、
前記レジスト膜が、ArFエキシマレーザーの波長以下の短波長光で露光されたものであることを特徴とするプラズマエッチング方法。
A plasma etching method according to any one of claims 1 to 9,
A plasma etching method, wherein the resist film is exposed with a short wavelength light having a wavelength shorter than that of an ArF excimer laser.
ArFエキシマレーザーの波長以下の短波長光で露光されたレジスト膜をマスクとし、炭素含有シリコン酸化膜からなる低誘電率絶縁膜を、エッチングガスのプラズマによってエッチングするプラズマエッチング方法であって、
前記エッチングガスが、フルオロカーボンガスと、ハイドロフルオロカーボンガスと、酸素と、窒素との混合ガスであり、希ガスを含まないことを特徴とするプラズマエッチング方法。
A plasma etching method for etching a low dielectric constant insulating film made of a carbon-containing silicon oxide film with a plasma of an etching gas using a resist film exposed with a short wavelength light shorter than the wavelength of an ArF excimer laser as a mask,
The plasma etching method, wherein the etching gas is a mixed gas of a fluorocarbon gas, a hydrofluorocarbon gas, oxygen, and nitrogen, and does not contain a rare gas.
ArFエキシマレーザーの波長以下の短波長光で露光されたレジスト膜をマスクとし、炭素含有シリコン酸化膜からなる低誘電率絶縁膜を、エッチングガスのプラズマによってエッチングするプラズマエッチング方法であって、
前記エッチングガスが、フルオロカーボンガスと、ハイドロフルオロカーボンガスと、酸素と、窒素との混合ガスであり、Arガスを含まないことを特徴とするプラズマエッチング方法。
A plasma etching method for etching a low dielectric constant insulating film made of a carbon-containing silicon oxide film with a plasma of an etching gas using a resist film exposed with a short wavelength light shorter than the wavelength of an ArF excimer laser as a mask,
The plasma etching method, wherein the etching gas is a mixed gas of fluorocarbon gas, hydrofluorocarbon gas, oxygen, and nitrogen, and does not contain Ar gas.
請求項11又は12記載のプラズマエッチング方法であって、
前記炭素含有シリコン酸化膜は、SiCOH膜であることを特徴とするプラズマエッチング方法。
A plasma etching method according to claim 11 or 12,
The plasma etching method, wherein the carbon-containing silicon oxide film is a SiCOH film.
請求項11〜13いずれか1項記載のプラズマエッチング方法であって、
前記エッチングガス中の酸素が、全エッチングガスに対して流量比で2〜8%含まれていることを特徴とするプラズマエッチング方法。
A plasma etching method according to any one of claims 11 to 13,
2. The plasma etching method according to claim 1, wherein oxygen in the etching gas is contained in a flow rate ratio of 2 to 8% with respect to the total etching gas.
請求項11〜13いずれか1項記載のプラズマエッチング方法であって、
前記エッチングガス中の酸素が、全エッチングガスに対して流量比で3〜6%含まれていることを特徴とするプラズマエッチング方法。
A plasma etching method according to any one of claims 11 to 13,
2. A plasma etching method according to claim 1, wherein oxygen in the etching gas is contained at a flow rate ratio of 3 to 6% with respect to the total etching gas.
請求項11〜14いずれか1項記載のプラズマエッチング方法であって、
前記エッチングガスが、更にCOを含むことを特徴とするプラズマエッチング方法。
The plasma etching method according to any one of claims 11 to 14,
The plasma etching method, wherein the etching gas further contains CO.
被処理体を収容する処理容器と、
前記処理容器内にエッチングガスを供給するエッチングガス供給手段と、
前記エッチングガス供給手段から供給された前記エッチングガスをプラズマ化して前記被処理体をプラズマエッチングするプラズマ生成手段と、
前記処理容器内で請求項1から請求項16いずれか1項記載のプラズマエッチング方法が行われるように制御する制御部と
を備えたことを特徴とするエッチング装置。
A processing container for storing an object to be processed;
Etching gas supply means for supplying an etching gas into the processing vessel;
Plasma generating means for converting the etching gas supplied from the etching gas supply means into plasma and plasma-etching the object to be processed;
An etching apparatus comprising: a control unit that controls the plasma etching method according to any one of claims 1 to 16 to be performed in the processing container.
コンピュータ上で動作し、実行時に、請求項1から請求項16いずれか1項記載のプラズマエッチング方法が行われるようにプラズマ処理装置を制御することを特徴とする制御プログラム。   A control program that operates on a computer and controls the plasma processing apparatus so that the plasma etching method according to any one of claims 1 to 16 is performed at the time of execution. コンピュータ上で動作する制御プログラムが記憶されたコンピュータ記憶媒体であって、
前記制御プログラムは、実行時に請求項1から請求項16いずれか1項記載のプラズマエッチング方法が行われるようにプラズマ処理装置を制御することを特徴とするコンピュータ記憶媒体。
A computer storage medium storing a control program that runs on a computer,
A computer storage medium, wherein the control program controls the plasma processing apparatus so that the plasma etching method according to any one of claims 1 to 16 is performed at the time of execution.
JP2005092575A 2005-03-28 2005-03-28 Plasma etching method, plasma etching apparatus, control program, and computer storage medium Pending JP2006278517A (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2005092575A JP2006278517A (en) 2005-03-28 2005-03-28 Plasma etching method, plasma etching apparatus, control program, and computer storage medium
US11/390,248 US7465670B2 (en) 2005-03-28 2006-03-28 Plasma etching method, plasma etching apparatus, control program and computer storage medium with enhanced selectivity

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005092575A JP2006278517A (en) 2005-03-28 2005-03-28 Plasma etching method, plasma etching apparatus, control program, and computer storage medium

Publications (1)

Publication Number Publication Date
JP2006278517A true JP2006278517A (en) 2006-10-12

Family

ID=37212994

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005092575A Pending JP2006278517A (en) 2005-03-28 2005-03-28 Plasma etching method, plasma etching apparatus, control program, and computer storage medium

Country Status (1)

Country Link
JP (1) JP2006278517A (en)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002083798A (en) * 2000-09-07 2002-03-22 Hitachi Ltd Surface-processing method
JP2004071856A (en) * 2002-08-07 2004-03-04 Ulvac Japan Ltd Etching method
JP2005033016A (en) * 2003-07-04 2005-02-03 Ulvac Japan Ltd Method of dry-etching low-dielectric constant interlayer insulating film
JP2005033027A (en) * 2003-07-07 2005-02-03 Ulvac Japan Ltd Method of dry etching low-dielectric constant interlayer insulating film
JP2006100628A (en) * 2004-09-30 2006-04-13 Hitachi High-Technologies Corp Method of plasma processing

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002083798A (en) * 2000-09-07 2002-03-22 Hitachi Ltd Surface-processing method
JP2004071856A (en) * 2002-08-07 2004-03-04 Ulvac Japan Ltd Etching method
JP2005033016A (en) * 2003-07-04 2005-02-03 Ulvac Japan Ltd Method of dry-etching low-dielectric constant interlayer insulating film
JP2005033027A (en) * 2003-07-07 2005-02-03 Ulvac Japan Ltd Method of dry etching low-dielectric constant interlayer insulating film
JP2006100628A (en) * 2004-09-30 2006-04-13 Hitachi High-Technologies Corp Method of plasma processing

Similar Documents

Publication Publication Date Title
JP4652140B2 (en) Plasma etching method, control program, computer storage medium
JP6035117B2 (en) Plasma etching method and plasma etching apparatus
JP4663368B2 (en) Plasma etching method, plasma etching apparatus, control program, and computer storage medium
JP4912907B2 (en) Plasma etching method and plasma etching apparatus
CN100514570C (en) Plasma etching method
JP2010205967A (en) Plasma etching method, plasma etching device, and computer storage medium
US7700492B2 (en) Plasma etching method and apparatus, control program and computer-readable storage medium storing the control program
JP2009206401A (en) Plasma etching method, plasma etching apparatus and computer storage medium
US7465670B2 (en) Plasma etching method, plasma etching apparatus, control program and computer storage medium with enhanced selectivity
US8642482B2 (en) Plasma etching method, control program and computer storage medium
US20050269294A1 (en) Etching method
US7351665B2 (en) Plasma etching method, plasma etching apparatus, control program, computer recording medium and recording medium having processing recipe recorded thereon
JP2008172184A (en) Plasma etching method, plasma etching device, control program and computer storage medium
JP4684924B2 (en) Plasma etching method, plasma etching apparatus and computer storage medium
JP2007116031A (en) Method and apparatus for manufacturing semiconductor device, control program, and computer storage medium
US20070049013A1 (en) Method and apparatus for manufacturing semiconductor device, control program and computer storage medium
US7883631B2 (en) Plasma etching method, plasma etching apparatus, control program and computer-readable storage medium
US7655572B2 (en) Semiconductor device manufacturing method, semiconductor device manufacturing apparatus, control program and computer storage medium
JP5089871B2 (en) Manufacturing method of semiconductor device
JP4602171B2 (en) Plasma etching method, plasma etching apparatus, control program, and computer storage medium
JP4800077B2 (en) Plasma etching method
JP2006278517A (en) Plasma etching method, plasma etching apparatus, control program, and computer storage medium
US20070197040A1 (en) Plasma etching method, plasma etching apparatus, control program and computer-readable storage medium
JP5058478B2 (en) Semiconductor device manufacturing method, plasma processing method, semiconductor device manufacturing apparatus, control program, and computer storage medium
JP2007242753A (en) Plasma etching method, plasma etching apparatus, control program, and computer storage medium

Legal Events

Date Code Title Description
A621 Written request for application examination

Effective date: 20080225

Free format text: JAPANESE INTERMEDIATE CODE: A621

A977 Report on retrieval

Effective date: 20091221

Free format text: JAPANESE INTERMEDIATE CODE: A971007

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100105

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100303

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110104