JP2005303261A - Semiconductor device and manufacturing method therefor - Google Patents

Semiconductor device and manufacturing method therefor Download PDF

Info

Publication number
JP2005303261A
JP2005303261A JP2004329639A JP2004329639A JP2005303261A JP 2005303261 A JP2005303261 A JP 2005303261A JP 2004329639 A JP2004329639 A JP 2004329639A JP 2004329639 A JP2004329639 A JP 2004329639A JP 2005303261 A JP2005303261 A JP 2005303261A
Authority
JP
Japan
Prior art keywords
film
insulating film
silicon
forming
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2004329639A
Other languages
Japanese (ja)
Inventor
Takeo Matsuki
武雄 松木
Tomonori Aoyama
知憲 青山
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Electronics Corp
Original Assignee
NEC Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Electronics Corp filed Critical NEC Electronics Corp
Priority to JP2004329639A priority Critical patent/JP2005303261A/en
Publication of JP2005303261A publication Critical patent/JP2005303261A/en
Pending legal-status Critical Current

Links

Images

Landscapes

  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide a semiconductor device comprising an NMOSFET and a PMOSFET that have satisfactory current characteristics, and a method of manufacturing the same. <P>SOLUTION: A gate electrode 11 comprising a nickel silicide film 13 is formed in an NMOS region on a silicon substrate 1. Moreover, a gate electrode 10 comprising a polycrystalline silicon film 12 is formed in a PMOS region. The nickel silicide film 13 is a material that is subjected to compressive stress from the silicon substrate 1, while the polycrystalline silicon film 12 is a material that is subjected to tensile stress from the silicon substrate 1. <P>COPYRIGHT: (C)2006,JPO&NCIPI

Description

本発明は、半導体装置およびその製造方法に関し、より詳しくは、シリコン基板上にNMOSFETとPMOSFETとで構成される半導体装置およびその製造方法に関する。   The present invention relates to a semiconductor device and a method for manufacturing the semiconductor device, and more particularly to a semiconductor device including an NMOSFET and a PMOSFET on a silicon substrate and a method for manufacturing the semiconductor device.

近年、半導体集積回路装置における高集積化が大きく進展しており、MOS(Metal Oxide Semiconductor)型半導体装置ではトランジスタ等の素子の微細化、高性能化が図られている。特に、MOS構造を構成する要素の一つであるゲート絶縁膜に関しては、上記トランジスタの微細化、高速動作および低電圧化に対応すべく薄膜化が急速に進んでいる。   In recent years, high integration in semiconductor integrated circuit devices has greatly advanced. In MOS (Metal Oxide Semiconductor) type semiconductor devices, miniaturization of elements such as transistors and high performance have been achieved. In particular, with regard to the gate insulating film which is one of the elements constituting the MOS structure, the thinning is rapidly progressing to cope with the miniaturization, high speed operation and low voltage of the transistor.

ゲート絶縁膜を構成する材料としては、従来よりシリコン酸化膜(SiO膜)やシリコン酸窒化膜(SiON膜)などが用いられてきた。しかしながら、これらの材料を用いた場合には、薄膜化に伴いリーク電流が増大するという問題があった。 Conventionally, a silicon oxide film (SiO 2 film), a silicon oxynitride film (SiON film), or the like has been used as a material constituting the gate insulating film. However, when these materials are used, there is a problem that leakage current increases as the film thickness is reduced.

一方、サブ0.1μm世代のCMOS(Complementary Metal Oxide Semiconductor)では、ゲート絶縁膜に対して、シリコン酸化膜換算膜厚で1.5nm以下の性能が必要とされる。このため、金属酸化膜または金属珪酸化膜(金属シリケート膜)などの比誘電率の大きい材料をゲート絶縁膜として用い、膜厚を大きくすることによってリーク電流を抑制することが提案されている。   On the other hand, a sub 0.1 μm generation CMOS (Complementary Metal Oxide Semiconductor) requires a performance of 1.5 nm or less in terms of a silicon oxide film with respect to a gate insulating film. For this reason, it has been proposed to use a material having a high relative dielectric constant, such as a metal oxide film or a metal silicate film (metal silicate film), as the gate insulating film, and to suppress the leakage current by increasing the film thickness.

また、閾値電圧のシフトを抑制し且つ大きな反転容量を得るために、シリコンに代わって、金属や金属窒化物などをゲート電極に用いることが検討されている。これは、使用する金属の仕事関数に応じて閾値電圧が変化することを利用したもので、金属の種類を適当に選択することによって閾値電圧を制御することが可能となる。また、金属電極はシリコン電極に比較して電極の空乏化が起こり難いので、大きな反転容量を確保することもできる。   In addition, in order to suppress the threshold voltage shift and obtain a large inversion capacitance, it has been studied to use metal, metal nitride, or the like for the gate electrode instead of silicon. This utilizes the fact that the threshold voltage changes in accordance with the work function of the metal used, and the threshold voltage can be controlled by appropriately selecting the type of metal. In addition, since the metal electrode is less likely to be depleted than the silicon electrode, a large inversion capacitance can be ensured.

半導体基板上に金属または金属窒化物を成膜する場合、CVD(Chemical Vapor Deposition)法またはPVD(Physical Vapor Deposition)法が用いられる(例えば、非特許文献1参照。)。いずれの方法においても、低抵抗性などの膜特性を確保するために、堆積は室温以上の基板温度の下で行われる。   When a metal or metal nitride film is formed over a semiconductor substrate, a CVD (Chemical Vapor Deposition) method or a PVD (Physical Vapor Deposition) method is used (see, for example, Non-Patent Document 1). In either method, the deposition is performed at a substrate temperature of room temperature or higher in order to ensure film characteristics such as low resistance.

しかしながら、基板と基板上に形成された膜とに熱膨張係数の差があると、これらの間に応力が発生する。すなわち、堆積後に基板温度が室温まで低下すると、基板上に形成された膜は体積収縮を起こすが、その程度が基板が収縮する程度よりも大きい場合には、ゲート電極はシリコン基板から引張応力を受けることになる。ゲート電極直下のチャネル領域は、変形によって引張応力を開放することができないので、その体積を減少させられる方向に力が働く。これは、あたかもチャネル領域を挟んでソース・ドレインウェルがその両側から圧されている状態になる。このため、電子の移動度が低下するようになるという問題があった。   However, if there is a difference in thermal expansion coefficient between the substrate and the film formed on the substrate, stress is generated between them. That is, when the substrate temperature is lowered to room temperature after deposition, the film formed on the substrate undergoes volume shrinkage, but when the degree is larger than the degree of shrinkage of the substrate, the gate electrode receives tensile stress from the silicon substrate. Will receive. Since the channel region directly under the gate electrode cannot release the tensile stress due to deformation, a force acts in a direction in which the volume can be reduced. This is as if the source / drain wells are pressed from both sides across the channel region. For this reason, there has been a problem that the mobility of electrons is lowered.

これに対して、ソース・ドレインウェルに圧縮応力を持つSiGeを埋め込むことによって、チャネル領域に一軸性の圧縮応力を導入し、PMOSFETの電流駆動能力を向上させることが提案されている(例えば、非特許文献2参照。)。しかしながら、この場合、NMOSFETは従来のままであるので、その電流駆動能力の向上は図れないという問題があった。   On the other hand, it has been proposed to improve the current driving capability of the PMOSFET by introducing uniaxial compressive stress into the channel region by embedding SiGe having compressive stress in the source / drain well (for example, non-conducting force). (See Patent Document 2). However, in this case, since the NMOSFET remains the same, there is a problem that the current drive capability cannot be improved.

また、チャネル領域のシリコンに対して引張応力を加えると、NMOSFETのドレイン電流が増加する一方で、PMOSFETのドレイン電流は減少することが報告されている(例えば、非特許文献3参照。)。   It has also been reported that when tensile stress is applied to silicon in the channel region, the drain current of the NMOSFET increases while the drain current of the PMOSFET decreases (see, for example, Non-Patent Document 3).

一方、近年、半導体デバイスの微細化とともに、ソース・ドレインとなるウェルの接合深さは浅くなる傾向にある。しかし、ウェルが浅くなるとウェル抵抗が増大し、デバイス特性に与える寄生抵抗の影響が無視できなくなる。そこで、このようなウェルの極浅化に伴う抵抗の増大に対処するため、ソース・ドレインウェル上に金属シリサイド層を形成することが行われている。   On the other hand, in recent years, with the miniaturization of semiconductor devices, the junction depth of wells serving as source / drain tends to become shallow. However, when the well becomes shallow, the well resistance increases, and the influence of parasitic resistance on device characteristics cannot be ignored. Therefore, in order to cope with the increase in resistance accompanying such shallow shallowing of the well, a metal silicide layer is formed on the source / drain well.

例えば、半導体基板上に、ゲート絶縁膜を介して多結晶シリコンからなるゲート電極を形成し、次いで、このゲート電極の側壁部に絶縁膜を設けた後に、ソース・ドレインウェルを自己整合的に形成する。そして、全面にニッケル膜を形成してから熱処理を行うことにより、ソース・ドレインウェル上にニッケルシリサイド膜を形成することができる(例えば、非特許文献4参照。)。この方法によれば、ゲート電極を形成する多結晶シリコンも完全にシリサイド化することが可能である。   For example, a gate electrode made of polycrystalline silicon is formed on a semiconductor substrate through a gate insulating film, and then an insulating film is provided on the side wall of the gate electrode, and then source / drain wells are formed in a self-aligned manner. To do. Then, a nickel silicide film can be formed on the source / drain well by performing a heat treatment after forming a nickel film on the entire surface (see, for example, Non-Patent Document 4). According to this method, the polycrystalline silicon forming the gate electrode can be completely silicided.

しかしながら、PMOSFETのゲート電極にニッケルシリサイド膜を適用した場合、電流駆動能力が低下するという問題があった。   However, when a nickel silicide film is applied to the gate electrode of the PMOSFET, there is a problem that the current driving capability is lowered.

ダブリュー・サイ(W.Tsai)ら、“サブ1nmゲート絶縁膜のスパッタTiN/HfO2ゲートn/pMOSFET性能比較(Performance Comparison of Sub1nm Sputtered TiN/HfO2 nMOS and pMOSFETs)”、国際電子素子会議(International Electron Devices Meeting,IEDM)、2003年、p.311−314W. Tsai, et al., “Performance Comparison of Sub 1 nm Sputtered TiN / HfO 2 nMOS and pMOSFETs”, International Electronic Devices Conference (Interelectronic Electronics Conference) Meeting, IEDM), 2003, p. 311-314 ティー・チャニ(T.Chani)ら、“新規45nmゲート長歪Si−CMOS技術を用いた量産90nmロジックLSI(A 90nm high Volume Manufacturing Logic Technology Featuring Novel 45nm Gate length Strained Silicon CMOS Technology)”、国際電子素子会議(International Electron Devices Meeting,IEDM)、2003年、p.978−980T. Chani et al., “A 90nm High Volume Manufacturing Logic Strained Electronic Strain Element, 45nm Gate Length Strained Si-CMOS Technology” Conference (International Electron Devices Meeting, IEDM), 2003, p. 978-980 2002年固体素子材料に関する国際会議予稿集(Ext.Abst.of Solid State Device and Materials)、2002年、p.14−152002 International Conference on Solid Device Materials (Ext. Abst. Of Solid State Device and Materials), 2002, p. 14-15 “ニッケルシリサイド金属ゲートを有する引っ張りシリコンNMOS(Strained silicon NMOS with nickel−silicide metal Gate)”、2003年VLSIテクノロジーシンポジウム、2003年、p.101−102"Strained silicon NMOS with nickel-silicon metal gate" with nickel silicide metal gate, 2003 VLSI Technology Symposium, 2003, p. 101-102

本発明はこのような問題点に鑑みてなされたものである。即ち、本発明の目的はNMOSFETおよびPMOSDFETがともに良好な電流特性を有する半導体装置およびその製造方法を提供することにある。   The present invention has been made in view of such problems. That is, an object of the present invention is to provide a semiconductor device in which both NMOSFET and PMOS DFET have good current characteristics, and a method for manufacturing the same.

本発明の他の目的および利点は、以下の記載から明らかとなるであろう。   Other objects and advantages of the present invention will become apparent from the following description.

本発明にかかる第1の半導体装置は、シリコン基板上にNMOSFETとPMOSFETとで構成される半導体装置において、NMOSFETのゲート電極が、シリコン基板から圧縮応力を受ける材料からなることを特徴とするものである。ここで、圧縮応力を受ける材料は金属シリサイドとすることができる。また、PMOSFETのゲート電極は多結晶シリコンからなるものとすることができる。   A first semiconductor device according to the present invention is a semiconductor device constituted by an NMOSFET and a PMOSFET on a silicon substrate, wherein the gate electrode of the NMOSFET is made of a material that receives compressive stress from the silicon substrate. is there. Here, the material subjected to compressive stress can be a metal silicide. The gate electrode of the PMOSFET can be made of polycrystalline silicon.

また、上記第1の半導体装置において、PMOSFETのゲート電極は、シリコン基板から引張応力を受ける材料からなるものとすることができる。ここで、引張応力を受ける材料は、金属および金属窒化物の少なくとも一方とすることができる。また、本発明の半導体装置は、NMOSFETおよびPMOSFETのソース・ドレインウェル上に金属シリサイドが形成されていることが好ましい。   In the first semiconductor device, the gate electrode of the PMOSFET can be made of a material that receives tensile stress from the silicon substrate. Here, the material subjected to the tensile stress can be at least one of a metal and a metal nitride. In the semiconductor device of the present invention, it is preferable that metal silicide is formed on the source / drain wells of the NMOSFET and PMOSFET.

本発明にかかる第2の半導体装置は、シリコン基板上にNMOSFETとPMOSFETとで構成される半導体装置において、NMOSFETが、シリコン基板の上に形成されたゲート絶縁膜と、このゲート絶縁膜の上に形成されたゲート電極と、このゲート電極の側壁部に形成された側壁絶縁膜とを有し、ゲート電極が、ゲート絶縁膜と側壁絶縁膜とによって構成される溝部に沿って形成された金属シリサイド膜を有することを特徴とするものである。この場合、PMOSFETのゲート電極は金属膜からなるものとすることができる。   A second semiconductor device according to the present invention is a semiconductor device comprising an NMOSFET and a PMOSFET on a silicon substrate, wherein the NMOSFET is formed on the silicon substrate, and the gate insulating film is formed on the gate insulating film. A metal silicide having a formed gate electrode and a side wall insulating film formed on the side wall of the gate electrode, the gate electrode being formed along a groove formed by the gate insulating film and the side wall insulating film It is characterized by having a film. In this case, the gate electrode of the PMOSFET can be made of a metal film.

本発明にかかる第3の半導体装置は、シリコン基板上にNMOSFETとPMOSFETとで構成される半導体装置において、NMOSFETが、シリコン基板の上に形成されたゲート絶縁膜と、このゲート絶縁膜の上に形成されたゲート電極と、このゲート電極の側壁部に形成された側壁絶縁膜とを有し、ゲート電極が、金属窒化膜とこの金属窒化膜の上に形成された金属シリサイド膜とを有し、金属窒化膜および金属シリサイド膜が、いずれもゲート絶縁膜と側壁絶縁膜とによって構成される溝部に沿って設けられていることを特徴とするものである。   A third semiconductor device according to the present invention is a semiconductor device comprising an NMOSFET and a PMOSFET on a silicon substrate, wherein the NMOSFET is formed on the silicon substrate, and on the gate insulating film. And a gate insulating film formed on the side wall of the gate electrode. The gate electrode includes a metal nitride film and a metal silicide film formed on the metal nitride film. Each of the metal nitride film and the metal silicide film is provided along a groove formed of a gate insulating film and a sidewall insulating film.

本発明における第1の半導体装置の製造方法は、NMOSFETとPMOSFETとで構成される半導体装置の製造方法において、素子分離領域、N型ウェル(拡散層。本明細書において同じ。)領域およびP型ウェル領域が設けられたシリコン基板の上にゲート絶縁膜を形成する工程と、このゲート絶縁膜の上にシリコン膜を形成する工程と、このシリコン膜をゲート電極の形状に加工する工程と、シリコン膜をマスクとしてシリコン基板に不純物を注入し、N型エクステンション領域およびP型エクステンション領域を形成する工程と、シリコン膜の側壁部に側壁絶縁膜を形成する工程と、この側壁絶縁膜が形成されたシリコン膜をマスクとしてシリコン基板に不純物を注入し、N型ソース・ドレインウェルおよびP型ソース・ドレインウェルを形成する工程と、PMOSFETの領域にあるシリコン膜の上部にシリサイド化防止膜を形成する工程と、シリコン基板の全面に金属膜を形成する工程と、熱処理によって、NMOSFETの領域にあるシリコン膜を金属膜がシリサイド化された金属シリサイド膜に変えるとともに、N型ソース・ドレインウェルおよびP型ソース・ドレインウェルの上に金属シリサイド膜を形成する工程とを有することを特徴とするものである。   A first method for manufacturing a semiconductor device according to the present invention is a method for manufacturing a semiconductor device composed of an NMOSFET and a PMOSFET. Forming a gate insulating film on the silicon substrate provided with the well region; forming a silicon film on the gate insulating film; processing the silicon film into a gate electrode; and silicon Impurities are implanted into the silicon substrate using the film as a mask to form an N-type extension region and a P-type extension region, a step of forming a sidewall insulating film on the sidewall of the silicon film, and the sidewall insulating film is formed Impurities are implanted into the silicon substrate using the silicon film as a mask, and N-type source / drain well and P-type source / drain are A step of forming a shell, a step of forming an anti-silicidation film over the silicon film in the region of the PMOSFET, a step of forming a metal film on the entire surface of the silicon substrate, and a silicon in the region of the NMOSFET by heat treatment. And forming a metal silicide film on the N-type source / drain well and the P-type source / drain well and changing the film into a metal silicide film obtained by siliciding the metal film. .

上記第1の半導体装置の製造方法は、シリサイド化防止膜を除去する工程と、シリコン基板の上に、金属シリサイド膜およびシリコン膜を埋め込むようにして層間絶縁膜を形成する工程と、この層間絶縁膜を加工して、金属シリサイド膜およびシリコン膜の表面を露出させる工程と、露出したシリコン膜を選択的に除去し、ゲート絶縁膜に至る溝部を形成する工程と、この溝部の内部に金属および金属窒化物の少なくとも一方を埋め込む工程とをさらに有することができる。   The first semiconductor device manufacturing method includes a step of removing the silicidation preventing film, a step of forming an interlayer insulating film on the silicon substrate so as to embed a metal silicide film and a silicon film, and the interlayer insulation. Processing the film to expose the surfaces of the metal silicide film and the silicon film; selectively removing the exposed silicon film to form a groove leading to the gate insulating film; and A step of embedding at least one of the metal nitrides.

また、本発明における第2の半導体装置の製造方法は、NMOSFETとPMOSFETとで構成される半導体装置の製造方法において、素子分離領域、N型ウェル領域およびP型ウェル領域が設けられたシリコン基板の上にゲート絶縁膜を形成する工程と、このゲート絶縁膜の上にシリコン膜を形成する工程と、このシリコン膜の上にハードマスクを形成する工程と、このハードマスクを用いてシリコン膜をゲート電極の形状に加工する工程と、ハードマスクおよびシリコン膜をマスクとしてシリコン基板に不純物を注入し、N型エクステンション領域およびP型エクステンション領域を形成する工程と、ハードマスクおよびシリコン膜の側壁部に側壁絶縁膜を形成する工程と、この側壁絶縁膜が形成されたハードマスクおよびシリコン膜をマスクとしてシリコン基板に不純物を注入し、N型ソース・ドレインウェルおよびP型ソース・ドレインウェルを形成する工程と、シリコン基板の全面に第1の金属膜を形成する工程と、熱処理によって、N型ソース・ドレインウェルおよびP型ソース・ドレインウェルの上に第1の金属膜がシリサイド化された第1の金属シリサイド膜を形成する工程と、この第1の金属シリサイド膜形成後のシリコン基板の上に層間絶縁膜を形成する工程と、この層間絶縁膜を加工して、ハードマスクの表面を露出させる工程と、NMOSFETの領域から露出しているハードマスクを選択的に除去する工程と、シリコン基板の全面に第2の金属膜を形成する工程と、熱処理によって、NMOSFETの領域にあるシリコン膜を第2の金属膜がシリサイド化された第2の金属シリサイド膜に変える工程と、PMOSFETの領域にあるハードマスクを選択的に除去する工程と、ハードマスク除去後に露出したシリコン膜を選択的に除去し、ゲート絶縁膜に至る溝部を形成する工程と、この溝部の内部に金属および金属窒化物の少なくとも一方を埋め込む工程とを有することを特徴とするものである。   According to another aspect of the present invention, there is provided a second method for manufacturing a semiconductor device, comprising: a method for manufacturing a semiconductor device comprising an NMOSFET and a PMOSFET; Forming a gate insulating film thereon, forming a silicon film on the gate insulating film, forming a hard mask on the silicon film, and gate the silicon film using the hard mask. A step of processing into the shape of the electrode, a step of implanting impurities into the silicon substrate using the hard mask and the silicon film as a mask, and forming an N-type extension region and a P-type extension region; Step of forming insulating film, hard mask and silicon film on which side wall insulating film is formed Impurities are implanted into the silicon substrate as a mask to form an N-type source / drain well and a P-type source / drain well, a step of forming a first metal film on the entire surface of the silicon substrate, and a heat treatment. Forming a first metal silicide film in which the first metal film is silicided on the source / drain well and the P-type source / drain well, and on the silicon substrate after the formation of the first metal silicide film; Forming an interlayer insulating film on the substrate, processing the interlayer insulating film to expose the surface of the hard mask, selectively removing the hard mask exposed from the region of the NMOSFET, and a silicon substrate A second metal film is formed on the silicon film in the region of the NMOSFET by forming a second metal film over the entire surface and heat treatment. A step of changing to a second metal silicide film, a step of selectively removing the hard mask in the PMOSFET region, and a silicon film exposed after the hard mask removal are selectively removed to reach the gate insulating film. The method includes a step of forming a groove portion and a step of embedding at least one of a metal and a metal nitride inside the groove portion.

また、本発明における第3の半導体装置の製造方法は、NMOSFETとPMOSFETとで構成される半導体装置の製造方法において、素子分離領域、N型ウェル領域およびP型ウェル領域が設けられたシリコン基板の上に第1のゲート絶縁膜を形成する工程と、この第1のゲート絶縁膜の上に第1のシリコン膜を形成する工程と、この第1のシリコン膜の上にハードマスクを形成する工程と、このハードマスクを用いて第1のシリコン膜をゲート電極の形状に加工する工程と、ハードマスクおよび第1のシリコン膜をマスクとしてシリコン基板に不純物を注入し、N型エクステンション領域およびP型エクステンション領域を形成する工程と、ハードマスクおよび第1のシリコン膜の側壁部に側壁絶縁膜を形成する工程と、この側壁絶縁膜が形成されたハードマスクおよび第1のシリコン膜をマスクとしてシリコン基板に不純物を注入し、N型ソース・ドレインウェルおよびP型ソース・ドレインウェルを形成する工程と、シリコン基板の全面に第1の金属膜を形成する工程と、熱処理によって、N型ソース・ドレインウェルおよびP型ソース・ドレインウェルの上に第1の金属膜がシリサイド化された第1の金属シリサイド膜を形成する工程と、この第1の金属シリサイド膜形成後の前記シリコン基板の上に層間絶縁膜を形成する工程と、この層間絶縁膜を加工して、ハードマスクの表面を露出させる工程と、NMOSFETの領域から露出しているハードマスクを選択的に除去する工程と、ハードマスク除去後に露出した第1のシリコン膜を選択的に除去する工程と、第1のシリコン膜除去後に露出した第1のゲート絶縁膜を選択的に除去し、シリコン基板に至る第1の溝部を形成する工程と、この第1の溝部の内面に第2のゲート絶縁膜を形成する工程と、この第2のゲート絶縁膜の上に第2のシリコン膜を形成する工程と、第1の溝部を除いて第2のゲート絶縁膜および第2のシリコン膜を除去する工程と、シリコン基板の全面に第2の金属膜を形成する工程と、熱処理によって、第2のシリコン膜を第2の金属膜がシリサイド化された第2の金属シリサイド膜に変える工程と、PMOSFETの領域にあるハードマスクを選択的に除去する工程と、ハードマスク除去後に露出した第1のシリコン膜を選択的に除去し、第1のゲート絶縁膜に至る第2の溝部を形成する工程と、この第2の溝部の内部に金属および金属窒化物の少なくとも一方を埋め込む工程とを有することを特徴とするものである。   A third method for manufacturing a semiconductor device according to the present invention is a method for manufacturing a semiconductor device composed of an NMOSFET and a PMOSFET, wherein a silicon substrate provided with an element isolation region, an N-type well region, and a P-type well region is provided. A step of forming a first gate insulating film thereon, a step of forming a first silicon film on the first gate insulating film, and a step of forming a hard mask on the first silicon film And a step of processing the first silicon film into the shape of the gate electrode using the hard mask, and implanting impurities into the silicon substrate using the hard mask and the first silicon film as a mask to form an N-type extension region and a P-type A step of forming an extension region, a step of forming a sidewall insulating film on the sidewall of the hard mask and the first silicon film, and the sidewall insulation Impurities are implanted into the silicon substrate using the hard mask and the first silicon film formed as a mask to form an N-type source / drain well and a P-type source / drain well, and a first surface is formed on the entire surface of the silicon substrate. A step of forming a metal film, a step of forming a first metal silicide film in which the first metal film is silicided on the N-type source / drain well and the P-type source / drain well by heat treatment; A step of forming an interlayer insulating film on the silicon substrate after the first metal silicide film is formed; a step of processing the interlayer insulating film to expose the surface of the hard mask; A step of selectively removing the hard mask, a step of selectively removing the first silicon film exposed after removing the hard mask, The step of selectively removing the first gate insulating film exposed after the removal of the silicon film to form a first groove portion reaching the silicon substrate, and the formation of the second gate insulating film on the inner surface of the first groove portion A step of forming a second silicon film on the second gate insulating film, a step of removing the second gate insulating film and the second silicon film except for the first groove, A step of forming a second metal film on the entire surface of the substrate; a step of changing the second silicon film to a second metal silicide film obtained by silicidation of the second metal film by heat treatment; and a region of the PMOSFET. A step of selectively removing the hard mask, a step of selectively removing the first silicon film exposed after the removal of the hard mask, and forming a second groove portion reaching the first gate insulating film; Metal and metal inside the groove of And a step of embedding at least one of the metal nitrides.

また、本発明における第4の半導体装置の製造方法は、NMOSFETとPMOSFETとで構成される半導体装置の製造方法において、素子分離領域、N型ウェル領域およびP型ウェル領域が設けられたシリコン基板の上に犠牲ゲート絶縁膜を形成する工程と、この犠牲ゲート絶縁膜の上に犠牲ゲート電極を形成する工程と、この犠牲ゲート電極をマスクとしてシリコン基板に不純物を注入し、N型エクステンション領域およびP型エクステンション領域を形成する工程と、犠牲ゲート電極の側壁部に側壁絶縁膜を形成する工程と、この側壁絶縁膜が形成された犠牲ゲート電極をマスクとしてシリコン基板に不純物を注入し、N型ソース・ドレインウェルおよびP型ソース・ドレインウェルを形成する工程と、犠牲ゲート電極を埋め込むようにして、シリコン基板の上に層間絶縁膜を形成する工程と、この層間絶縁膜を加工して犠牲ゲート電極の表面を露出させる工程と、露出した犠牲ゲート電極を選択的に除去する工程と、犠牲ゲート電極の除去後に露出した犠牲ゲート絶縁膜を選択的に除去し、シリコン基板に至る溝部を形成する工程と、溝部の内面にゲート絶縁膜を形成する工程と、このゲート絶縁膜を形成した後の溝部の内面に沿うようにして金属膜を形成する工程と、この金属膜を形成した後の溝部について、NMOSFETの領域にある溝部の内面に沿うようにして金属膜の上にシリコン膜を選択的に形成する工程と、熱処理によりシリコン膜と金属膜を反応させて、溝部の内面に沿うように金属シリサイド膜を形成する工程と、PMOSFETの領域にある溝部の内面を除いて未反応の金属膜を除去する工程とを有することを特徴とするものである。   According to a fourth method of manufacturing the semiconductor device of the present invention, there is provided a method of manufacturing a semiconductor device including an NMOSFET and a PMOSFET, wherein a silicon substrate provided with an element isolation region, an N-type well region, and a P-type well region A step of forming a sacrificial gate insulating film thereon, a step of forming a sacrificial gate electrode on the sacrificial gate insulating film, an impurity is implanted into the silicon substrate using the sacrificial gate electrode as a mask, and an N-type extension region and P A step of forming a mold extension region, a step of forming a sidewall insulating film on the sidewall portion of the sacrificial gate electrode, an impurity is implanted into the silicon substrate using the sacrificial gate electrode on which the sidewall insulating film is formed as a mask, and an N-type source・ Process for forming drain well and P-type source / drain well and buried sacrificial gate electrode Forming an interlayer insulating film on the silicon substrate, processing the interlayer insulating film to expose the surface of the sacrificial gate electrode, selectively removing the exposed sacrificial gate electrode, The step of selectively removing the exposed sacrificial gate insulating film after removing the sacrificial gate electrode to form a trench reaching the silicon substrate, the step of forming a gate insulating film on the inner surface of the trench, and the gate insulating film were formed. A step of forming a metal film along the inner surface of the subsequent groove portion, and a silicon film on the metal film along the inner surface of the groove portion in the region of the NMOSFET with respect to the groove portion after forming the metal film. A step of selectively forming, a step of reacting the silicon film and the metal film by heat treatment to form a metal silicide film along the inner surface of the groove, and a step of forming the groove in the PMOSFET region. It is characterized in that a step of removing the unreacted metal film except for the surface.

さらに、本発明における半導体装置の製造方法は、NMOSFETとPMOSFETとで構成される半導体装置の製造方法において、素子分離領域、N型ウェル領域およびP型ウェル領域が設けられたシリコン基板の上に犠牲ゲート絶縁膜を形成する工程と、この犠牲ゲート絶縁膜の上に犠牲ゲート電極を形成する工程と、この犠牲ゲート電極をマスクとしてシリコン基板に不純物を注入し、N型エクステンション領域およびP型エクステンション領域を形成する工程と、犠牲ゲート電極の側壁部に側壁絶縁膜を形成する工程と、この側壁絶縁膜が形成された犠牲ゲート電極をマスクとしてシリコン基板に不純物を注入し、N型ソース・ドレインウェルおよびP型ソース・ドレインウェルを形成する工程と、犠牲ゲート電極を埋め込むようにして、シリコン基板の上に層間絶縁膜を形成する工程と、この層間絶縁膜を加工して犠牲ゲート電極の表面を露出させる工程と、露出した犠牲ゲート電極を選択的に除去する工程と、犠牲ゲート電極の除去後に露出した犠牲ゲート絶縁膜を選択的に除去し、シリコン基板に至る溝部を形成する工程と、この溝部の内面にゲート絶縁膜を形成する工程と、このゲート絶縁膜を形成した後の溝部の内面に沿うようにして金属窒化膜を形成する工程と、この金属窒化膜を形成した後の溝部について、NMOSFETの領域にある溝部の内面に沿うようにして金属窒化膜の上にシリコン膜および金属膜からなる積層膜を選択的に形成する工程と、熱処理によりシリコン膜と金属膜を反応させて、溝部の内面に沿うように金属シリサイド膜を形成する工程と、この金属シリサイド膜の下層と、PMOSFETの領域にある溝部の内面とを除いて金属窒化膜を除去する工程とを有することを特徴とするものである。   Furthermore, the semiconductor device manufacturing method according to the present invention is a sacrificial method on a silicon substrate provided with an element isolation region, an N-type well region, and a P-type well region. A step of forming a gate insulating film, a step of forming a sacrificial gate electrode on the sacrificial gate insulating film, an impurity is implanted into the silicon substrate using the sacrificial gate electrode as a mask, and an N-type extension region and a P-type extension region Forming a sidewall insulating film on the sidewall portion of the sacrificial gate electrode, implanting impurities into the silicon substrate using the sacrificial gate electrode on which the sidewall insulating film is formed as a mask, and forming an N-type source / drain well And forming a P-type source / drain well and embedding the sacrificial gate electrode Forming an interlayer insulating film on the silicon substrate; processing the interlayer insulating film to expose the surface of the sacrificial gate electrode; selectively removing the exposed sacrificial gate electrode; The step of selectively removing the sacrificial gate insulating film exposed after the removal of the gate electrode to form a groove reaching the silicon substrate, the step of forming a gate insulating film on the inner surface of the groove, and the gate insulating film were formed. A step of forming a metal nitride film along the inner surface of the subsequent groove portion, and a groove portion after forming the metal nitride film on the metal nitride film along the inner surface of the groove portion in the region of the NMOSFET A process of selectively forming a laminated film composed of a silicon film and a metal film, and a process of forming a metal silicide film along the inner surface of the groove by reacting the silicon film with the metal film by heat treatment. When, it is characterized in that it has a lower metal silicide film, and a step of excluding the groove of the inner surface in the PMOSFET region to remove the metal nitride film.

この発明は以上説明したように、NMOS領域にあるゲート電極を基板から圧縮応力を受ける材料で構成するので、NMOSFETの電流駆動能力を向上させることができる。また、PMOS領域にあるゲート電極を基板から引張応力を受ける材料で構成することによって、PMOSFETの電流駆動能力を向上させることができる。   As described above, according to the present invention, since the gate electrode in the NMOS region is made of a material which receives compressive stress from the substrate, the current driving capability of the NMOSFET can be improved. Moreover, the current drive capability of the PMOSFET can be improved by configuring the gate electrode in the PMOS region with a material that receives tensile stress from the substrate.

NMOS領域にあるゲート絶縁膜と側壁絶縁膜とによって構成される溝部に沿って形成された金属シリサイド膜は、ゲート絶縁膜と接する部分においてチャネル方向に広がろうとする。したがって、本発明によれば、ゲート絶縁膜付近におけるシリコン基板には、シリコンの格子間隔を大きくしようとする力が作用することになり、反作用として金属シリサイド膜はシリコン基板から圧縮応力を受けるようになる。また、本発明によれば、金属膜または金属窒化膜の上にシリサイド膜を積層することによって、NMOSFETのチャネル領域に引張応力を与えている。これにより、金属または金属窒化膜の仕事関数を利用しながら、シリサイド膜の形成により発生する応力によって、電流駆動能力を向上させ且つゲート絶縁膜へのプロセスダメージを低減することが可能となる。   The metal silicide film formed along the groove formed by the gate insulating film and the sidewall insulating film in the NMOS region tends to spread in the channel direction at the portion in contact with the gate insulating film. Therefore, according to the present invention, a force to increase the lattice spacing of silicon acts on the silicon substrate in the vicinity of the gate insulating film, and as a reaction, the metal silicide film is subjected to compressive stress from the silicon substrate. Become. Further, according to the present invention, a tensile stress is applied to the channel region of the NMOSFET by laminating a silicide film on the metal film or the metal nitride film. Thus, it is possible to improve the current driving capability and reduce the process damage to the gate insulating film by the stress generated by the formation of the silicide film while using the work function of the metal or metal nitride film.

また、金属シリサイド膜とゲート絶縁膜との間に金属窒化膜が存在するので、シリサイド化される金属が、シリサイド化反応の際にシリコン基板へと拡散して行くのを防ぐことができる。   In addition, since a metal nitride film exists between the metal silicide film and the gate insulating film, it is possible to prevent the metal to be silicided from diffusing into the silicon substrate during the silicidation reaction.

また、ソース・ドレインウェルのシリサイド化と、NMOS領域におけるゲート電極部分でのシリサイド化とを別々の工程で行うので、それぞれ異なる金属シリサイドとすることができる。   Further, since silicidation of the source / drain well and silicidation at the gate electrode portion in the NMOS region are performed in separate steps, different metal silicides can be obtained.

さらに、NMOS領域に耐熱性の低いゲート絶縁膜を用いることができるので、比誘電率の大きい材料を用い、その膜厚を大きくすることによってリーク電流を抑制することが可能となる。   Furthermore, since a gate insulating film with low heat resistance can be used in the NMOS region, a leakage current can be suppressed by using a material having a large relative dielectric constant and increasing its film thickness.

図15は、NMOSFETおよびPMOSFETのそれぞれについて、ゲート絶縁膜およびゲート電極を下層からSiO/HfSiON/NiSiの順で構成したものと、下層からSiO/HfSiON/TiN/Wの順で構成したものとについて、ドレイン電圧とドレイン電流との関係を示したものである。ここで、HfSiONは、HfOとSiOの固溶体(HfSiO)の窒化物である。図に示すように、NMOSFETではSiO/HfSiON/NiSiの構成で良好な電気的特性が得られる。一方、PMOSFETではSiO/HfSiON/TiN/Wの構成で電気的特性が向上する。このことより、本発明者は、NMOSFETのゲート電極を金属シリサイドで形成し、PMOSFETのゲート電極を金属で形成することにより優れた電気的特性を有する半導体装置が得られることを見出し、本発明に至った。以下、本発明の実施の形態について述べる。 FIG. 15 shows that each of the NMOSFET and the PMOSFET includes a gate insulating film and a gate electrode configured in the order of SiO 2 / HfSiON / NiSi from the lower layer, and SiO 2 / HfSiON / TiN / W in the order of the lower layer. Shows the relationship between drain voltage and drain current. Here, HfSiON is a nitride of a solid solution (HfSiO 4 ) of HfO 2 and SiO 2 . As shown in the figure, in the NMOSFET, good electrical characteristics can be obtained with the structure of SiO 2 / HfSiON / NiSi. On the other hand, in the PMOSFET, the electrical characteristics are improved by the configuration of SiO 2 / HfSiON / TiN / W. Accordingly, the present inventors have found that a semiconductor device having excellent electrical characteristics can be obtained by forming the gate electrode of the NMOSFET with metal silicide and forming the gate electrode of the PMOSFET with metal. It came. Hereinafter, embodiments of the present invention will be described.

実施の形態1.
図1は、本実施の形態における半導体装置の断面図の一例である。
Embodiment 1 FIG.
FIG. 1 is an example of a cross-sectional view of the semiconductor device in this embodiment.

図1において、シリコン基板1には素子分離領域2、N型ウェル領域3、P型ウェル領域4、P型エクステンション領域5、N型エクステンション領域6、P型ソース・ドレインウェル7およびN型ソース・ドレインウェル8が形成されている。   In FIG. 1, a silicon substrate 1 includes an element isolation region 2, an N-type well region 3, a P-type well region 4, a P-type extension region 5, an N-type extension region 6, a P-type source / drain well 7, and an N-type source. A drain well 8 is formed.

チャネル上には、ゲート絶縁膜9を介してゲート電極10,11が形成されている。本実施の形態においては、PMOS領域にあるゲート電極10が多結晶のシリコン膜12から形成されていて、NMOS領域にあるゲート電極11がニッケルシリサイド膜13から形成されていることを特徴としている。すなわち、PMOS領域にあるゲート電極を基板から引張応力を受ける材料で構成し、NMOS領域にあるゲート電極を基板から圧縮応力を受ける材料で構成しているので、電流単体(電子およびホール)の移動度が低下するのを抑制して電流駆動能力を向上させることができる。   Gate electrodes 10 and 11 are formed on the channel via a gate insulating film 9. The present embodiment is characterized in that the gate electrode 10 in the PMOS region is formed from a polycrystalline silicon film 12 and the gate electrode 11 in the NMOS region is formed from a nickel silicide film 13. That is, the gate electrode in the PMOS region is made of a material that receives tensile stress from the substrate, and the gate electrode in the NMOS region is made of a material that receives compressive stress from the substrate. It is possible to improve the current driving capability by suppressing the decrease in the degree.

また、図1のP型ソース・ドレインウェル7およびN型ソース・ドレインウェル8にも、ニッケルシリサイド膜13が形成されている。このような構造とすることによって、シリサイド化の際の体積膨張によってチャネル領域がシリサイドにより押されるので、この部分におけるシリコン結晶に歪を加えることができる。   The nickel silicide film 13 is also formed in the P-type source / drain well 7 and the N-type source / drain well 8 of FIG. By adopting such a structure, the channel region is pushed by the silicide due to volume expansion during silicidation, so that strain can be applied to the silicon crystal in this portion.

次に、図2〜図4を用いて、本実施の形態にかかる半導体装置の製造方法を説明する。尚、これらの図において、図1と同じ符号を付した部分は同じものであることを示している。   Next, a method for manufacturing the semiconductor device according to the present embodiment will be described with reference to FIGS. In these drawings, the same reference numerals as those in FIG. 1 indicate the same parts.

まず、シリコン基板1に素子分離領域2を形成する。その後、シリコン基板1の所定領域にP(リン)またはB(ボロン)を注入して、N型ウェル領域3およびP型ウェル領域4を形成する(図2(a))。   First, the element isolation region 2 is formed on the silicon substrate 1. Thereafter, P (phosphorus) or B (boron) is implanted into a predetermined region of the silicon substrate 1 to form an N-type well region 3 and a P-type well region 4 (FIG. 2A).

次に、シリコン基板1の上にゲート絶縁膜9を形成する(図2(b))。ゲート絶縁膜9としては、例えばシリコン酸窒化膜を用いることができる。例えば、酸素雰囲気下での短時間の熱処理によって、シリコン基板1の表面に膜厚2nm程度のシリコン酸化膜を形成する。その後、アンモニア雰囲中で窒化することによって、シリコン酸窒化膜を形成することができる。尚、ゲート絶縁膜9は、シリコン酸窒化膜に限られるものではなく、シリコン酸化膜または金属酸化物の誘電体若しくはハフニウムを含む酸化物の誘電体からなる膜であってもよい。   Next, a gate insulating film 9 is formed on the silicon substrate 1 (FIG. 2B). As the gate insulating film 9, for example, a silicon oxynitride film can be used. For example, a silicon oxide film having a thickness of about 2 nm is formed on the surface of the silicon substrate 1 by a short-time heat treatment in an oxygen atmosphere. Thereafter, a silicon oxynitride film can be formed by nitriding in an ammonia atmosphere. The gate insulating film 9 is not limited to the silicon oxynitride film, and may be a film made of a silicon oxide film, a metal oxide dielectric, or an oxide dielectric containing hafnium.

次に、多結晶のシリコン膜12を100nm程度の膜厚で成膜した後、シリコン膜12をゲート電極の形状に加工する(図2(c))。尚、図2(c)において、NMOS領域におけるシリコン膜12はダミーのゲート電極であり、実際に動作するゲート電極は後工程で形成される。   Next, after the polycrystalline silicon film 12 is formed to a thickness of about 100 nm, the silicon film 12 is processed into the shape of the gate electrode (FIG. 2C). In FIG. 2C, the silicon film 12 in the NMOS region is a dummy gate electrode, and the gate electrode that actually operates is formed in a later step.

次に、シリコン膜12をマスクにして、N型ウェル領域3にBをイオン注入し、P型ウェル領域4にPをイオン注入する。その後、熱処理による活性化を行うことによって、図3(a)に示すように、P型エクステンション領域5とN型エクステンション領域6を形成する。   Next, using the silicon film 12 as a mask, B ions are implanted into the N-type well region 3 and P ions are implanted into the P-type well region 4. Thereafter, activation by heat treatment is performed to form a P-type extension region 5 and an N-type extension region 6 as shown in FIG.

次に、側壁絶縁膜としてのシリコン窒化膜14を全面に形成した後、反応性イオンエッチングによって、シリコン膜12の側壁部を除いてシリコン窒化膜14を除去する。これにより、図3(b)に示す構造が得られる。尚、シリコン窒化膜の代わりにシリコン酸化膜を用いてもよい。   Next, after forming a silicon nitride film 14 as a sidewall insulating film on the entire surface, the silicon nitride film 14 is removed by reactive ion etching except for the sidewall portion of the silicon film 12. Thereby, the structure shown in FIG. 3B is obtained. A silicon oxide film may be used instead of the silicon nitride film.

次に、レジスト(図示せず)およびシリコン窒化膜14の形成されたシリコン膜12をマスクとして、N型ウェル領域3にBをイオン注入する。その後、同様の方法でP型ウェル領域4にもPをイオン注入する。レジストを剥離した後、熱処理による活性化を行うことによって、P型ソース・ドレインウェル7およびN型ソース・ドレインウェル8を形成する(図3(c))。活性化のための熱処理は、例えば、1,000℃で1秒間程度とすることができる。   Next, B is ion-implanted into the N-type well region 3 using the resist (not shown) and the silicon film 12 on which the silicon nitride film 14 is formed as a mask. Thereafter, P ions are also implanted into the P-type well region 4 in the same manner. After removing the resist, activation by heat treatment is performed to form the P-type source / drain well 7 and the N-type source / drain well 8 (FIG. 3C). The heat treatment for activation can be performed at 1,000 ° C. for about 1 second, for example.

本実施の形態においては、NMOSFETのゲート電極を金属シリサイドで構成し、PMOSFETのゲート電極を多結晶のシリコン膜で構成することを特徴としている。このため、ソース・ドレインウェル7,8を形成した後のシリコン基板1の全面に、シリサイド化防止膜としてのシリコン酸化膜15を形成し、PMOS領域にあるシリコン膜12の上面を除いてシリコン酸化膜15を除去する(図4(a))。シリコン酸化膜15の膜厚は、例えば10nm程度とすることができる。   This embodiment is characterized in that the gate electrode of the NMOSFET is made of metal silicide and the gate electrode of the PMOSFET is made of a polycrystalline silicon film. Therefore, a silicon oxide film 15 as a silicidation preventing film is formed on the entire surface of the silicon substrate 1 after the source / drain wells 7 and 8 are formed, and the silicon oxide film except for the upper surface of the silicon film 12 in the PMOS region is formed. The film 15 is removed (FIG. 4A). The film thickness of the silicon oxide film 15 can be about 10 nm, for example.

次に、スパッタ法によってニッケル膜16を全面に堆積する(図4(b))。その後、熱処理を行って、NMOS領域にあるシリコン膜12の全てと、ソース・ドレインウェル7,8上とをシリサイド化する。これにより、NMOS領域にニッケルシリサイド膜13からなるゲート電極11が形成される。一方、PMOS領域にあるシリコン膜12の上にはシリコン酸化膜15が形成されているので、この部分でニッケルシリサイドが形成されることはない。したがって、PMOS領域のゲート電極10はシリコン膜12からなるものとすることができる。尚、堆積するニッケル膜16の膜厚は、例えば10nm程度とすることができる。   Next, a nickel film 16 is deposited on the entire surface by sputtering (FIG. 4B). Thereafter, heat treatment is performed to silicide all of the silicon film 12 in the NMOS region and the source / drain wells 7 and 8. Thereby, the gate electrode 11 made of the nickel silicide film 13 is formed in the NMOS region. On the other hand, since the silicon oxide film 15 is formed on the silicon film 12 in the PMOS region, nickel silicide is not formed in this portion. Therefore, the gate electrode 10 in the PMOS region can be made of the silicon film 12. The deposited nickel film 16 can have a thickness of about 10 nm, for example.

シリサイド化を終えた後は、未反応のニッケル膜をアンモニア、過酸化水素水および水の混合溶液を用いて除去する。また、シリサイド化防止膜15を除去することによって、図1に示す構造が得られる。   After silicidation is completed, the unreacted nickel film is removed using a mixed solution of ammonia, hydrogen peroxide solution and water. Further, the structure shown in FIG. 1 is obtained by removing the silicidation preventing film 15.

本実施の形態によれば、NMOSFETに金属シリサイドからなるゲート電極を用いている。ここで、金属とシリサイドが反応して形成された金属シリサイドは、元のシリコンより体積膨張して形成されるので、シリコン基板から圧縮応力を受ける材料となる。従来は、NMOSFETのゲート電極を金属または金属窒化物で形成していたので、ゲート電極はシリコン基板から引張応力を受けていた。この場合、ゲート電極直下のチャネル領域が引張応力を開放できないために、その体積を減少させられる方向に力が働いていた。一方、本発明は、シリコン基板から圧縮応力を受ける材料でゲート電極を形成するので、チャネル領域に働く力を逆向きに変えて電子の移動度を向上させることができる。   According to the present embodiment, the gate electrode made of metal silicide is used for the NMOSFET. Here, the metal silicide formed by the reaction between the metal and the silicide is formed by volume expansion from the original silicon, and thus becomes a material that receives compressive stress from the silicon substrate. Conventionally, since the gate electrode of the NMOSFET is formed of metal or metal nitride, the gate electrode is subjected to tensile stress from the silicon substrate. In this case, since the channel region directly under the gate electrode cannot release the tensile stress, a force is acting in a direction in which the volume can be reduced. On the other hand, in the present invention, since the gate electrode is formed of a material that receives compressive stress from the silicon substrate, the force acting on the channel region can be changed in the opposite direction to improve the electron mobility.

また、本実施の形態によれば、PMOSFETに多結晶シリコンからなるゲート電極を用いている。すなわち、シリサイド化反応はNMOS領域でのみ起こるので、シリサイド化に伴う体積膨張によって、PMOS領域におけるチャネル領域の体積を減少させる方向に力が働くことはない。したがって、PMOSFETでの電流特性の低下を防ぐことができる。   Further, according to the present embodiment, the gate electrode made of polycrystalline silicon is used for the PMOSFET. That is, since the silicidation reaction occurs only in the NMOS region, the force does not act in the direction of decreasing the volume of the channel region in the PMOS region due to the volume expansion accompanying silicidation. Therefore, it is possible to prevent a decrease in current characteristics in the PMOSFET.

さらに、本実施の形態によれば、ソース・ドレインウェル上にシリサイドを形成している。したがって、シリサイド化の際の体積膨張によってチャネル領域がシリサイドで圧されるので、この部分におけるシリコン結晶に歪を加えてPMOSFETの電流駆動能力を向上させることができる。尚、ソース・ドレインウェルにシリサイドを形成することにより、NMOSFETのチャネル領域に対しては、その体積を減少させる方向に力が働くことになる。しかし、本発明では、NMOSFETのゲート電極をシリサイドで形成しているので、これによって上記の力は打ち消されてしまうと考えられる。すなわち、NMOSFETに対しては、ゲート電極にシリサイドを用いた効果の方が、ソース・ドレインウェルにシリサイドを形成した効果よりも大きく作用するので、NMOSFETのチャネル領域にはその体積を増加させる方向に力が働く。したがって、本発明によれば、NMOSFETおよびPMOSFETの双方の電流駆動能力を向上させることが可能になる。   Furthermore, according to the present embodiment, silicide is formed on the source / drain wells. Therefore, since the channel region is pressed by the silicide due to volume expansion during silicidation, the silicon crystal in this portion can be strained to improve the current driving capability of the PMOSFET. By forming silicide in the source / drain well, a force acts on the channel region of the NMOSFET in the direction of decreasing the volume. However, in the present invention, since the gate electrode of the NMOSFET is formed of silicide, it is considered that the above force is canceled out. That is, for the NMOSFET, the effect of using the silicide for the gate electrode acts more greatly than the effect of forming the silicide for the source / drain wells, so that the volume of the channel region of the NMOSFET is increased. Power works. Therefore, according to the present invention, it becomes possible to improve the current drive capability of both the NMOSFET and the PMOSFET.

尚、本実施の形態では、ソース・ドレインウェルにシリサイドを形成する例に付いて述べたが本発明はこれに限られるものではない。ソース・ドレインウェルにシリサイドを形成しない場合にも本発明を適用することができる。   In this embodiment, the example in which silicide is formed in the source / drain well has been described, but the present invention is not limited to this. The present invention can also be applied when silicide is not formed in the source / drain wells.

実施の形態2.
図5は、本実施の形態における半導体装置の断面図の一例である。
Embodiment 2. FIG.
FIG. 5 is an example of a cross-sectional view of the semiconductor device in this embodiment.

図5において、シリコン基板101には素子分離領域102、N型ウェル領域103、P型ウェル領域104、P型エクステンション領域105、N型エクステンション領域106、P型ソース・ドレインウェル107およびN型ソース・ドレインウェル108が形成されている。   5, a silicon substrate 101 includes an element isolation region 102, an N-type well region 103, a P-type well region 104, a P-type extension region 105, an N-type extension region 106, a P-type source / drain well 107, and an N-type source / drain. A drain well 108 is formed.

チャネル上には、ゲート絶縁膜109を介してゲート電極1010,1011が形成されている。本実施の形態は、NMOS領域にあるゲート電極1011がニッケルシリサイド膜1013から形成されている点では実施の形態1と共通する。しかし、PMOS領域にあるゲート電極1010が、窒化チタン膜1017およびタングステン膜1018から形成されている点で実施の形態1と異なる。このような構成とすることによって、電極の空乏化を抑制して大きな反転容量を確保することが可能となる。尚、ゲート電極1010を構成する金属の種類は閾値電圧に応じて適宜選択すればよく、上記の窒化チタン膜やタングステン膜に限られるものではない。   Gate electrodes 1010 and 1011 are formed on the channel via a gate insulating film 109. This embodiment is common to Embodiment 1 in that the gate electrode 1011 in the NMOS region is formed from the nickel silicide film 1013. However, the first embodiment is different from the first embodiment in that the gate electrode 1010 in the PMOS region is formed of the titanium nitride film 1017 and the tungsten film 1018. With such a configuration, it is possible to suppress depletion of the electrode and ensure a large inversion capacity. Note that the type of metal constituting the gate electrode 1010 may be appropriately selected according to the threshold voltage, and is not limited to the titanium nitride film or the tungsten film.

次に、図6および図7を用いて、本実施の形態にかかる半導体装置の製造方法を説明する。尚、これらの図において、図5と同じ符号を付した部分は同じものであることを示している。   Next, a method for manufacturing the semiconductor device according to the present embodiment will be described with reference to FIGS. In these drawings, the same reference numerals as those in FIG. 5 indicate the same parts.

まず、実施の形態1で述べた図1〜図4と同様の工程を経て、シリコン基板101上のNMOS領域にニッケルシリサイド膜1013からなるゲート電極1011を、PMOS領域に多結晶のシリコン膜1012からなるダミーゲート電極1019をそれぞれ形成する(図6(a))。尚、実施の形態1においては、PMOS領域の多結晶シリコンはゲート電極であった。一方、本実施の形態においては、多結晶シリコンはダミーのゲート電極であり、実際に動作するゲート電極は後工程で形成される。   First, through steps similar to those shown in FIGS. 1 to 4 described in the first embodiment, the gate electrode 1011 made of the nickel silicide film 1013 is formed in the NMOS region on the silicon substrate 101, and the polycrystalline silicon film 1012 is formed in the PMOS region. A dummy gate electrode 1019 is formed (FIG. 6A). In the first embodiment, the polysilicon in the PMOS region is the gate electrode. On the other hand, in the present embodiment, polycrystalline silicon is a dummy gate electrode, and a gate electrode that actually operates is formed in a later step.

次に、層間絶縁膜1020をCVD法で堆積した後、CMP(Chemichal Mechanical Polishing)法によってニッケルシリサイド膜1013およびシリコン膜1012の表面が露出するように加工する(図6(b))。層間絶縁膜1020としては、例えばシリコン酸化膜を用いることができる。   Next, after depositing an interlayer insulating film 1020 by a CVD method, the surface of the nickel silicide film 1013 and the silicon film 1012 is processed to be exposed by a CMP (Chemical Mechanical Polishing) method (FIG. 6B). As the interlayer insulating film 1020, for example, a silicon oxide film can be used.

次に、PMOS領域にあるシリコン膜1012を選択的に除去し、図7(a)に示すように、PMOS領域のゲート電極1010が形成される部分に溝部を形成する。   Next, the silicon film 1012 in the PMOS region is selectively removed, and a trench is formed in the portion of the PMOS region where the gate electrode 1010 is formed, as shown in FIG.

シリコン膜1012の除去は、ドライエッチングによって行ってもよいし、ウェットエッチングによって行ってもよい。   The removal of the silicon film 1012 may be performed by dry etching or wet etching.

例えば、SFガスをエッチングガスとし、電極間にバイアスを印加しない状態で行う反応性イオンエッチングによって、シリコン膜1012を除去することができる。この場合、電極間に低いバイアスを印加してもよい。また、例えば、ClおよびBClの混合ガスを用いた反応性イオンエッチングによっても、シリコン膜1012を除去することができる。尚、シリコン基板上に犠牲ゲート絶縁膜を形成する場合には、ClとBClとの混合ガスにOを添加することによって、犠牲ゲート絶縁膜とのエッチング選択比を大きくすることができる。 For example, the silicon film 1012 can be removed by reactive ion etching using SF 6 gas as an etching gas and applying no bias between the electrodes. In this case, a low bias may be applied between the electrodes. For example, the silicon film 1012 can also be removed by reactive ion etching using a mixed gas of Cl 2 and BCl 3 . When a sacrificial gate insulating film is formed on a silicon substrate, the etching selectivity with respect to the sacrificial gate insulating film can be increased by adding O 2 to a mixed gas of Cl 2 and BCl 3. .

また、例えば、温度80℃〜100℃程度の濃KOH水溶液を用いたウェットエッチングによって、シリコン酸化膜1012を除去することもできる。   For example, the silicon oxide film 1012 can be removed by wet etching using a concentrated KOH aqueous solution at a temperature of about 80 ° C. to 100 ° C.

次に、全面に窒化チタン膜1017およびタングステン膜1018をこの順に堆積する(図7(b))。窒化チタン膜の代わりに、他の金属膜若しくは金属窒化膜またはルテニウム酸化膜を堆積してもよい。   Next, a titanium nitride film 1017 and a tungsten film 1018 are deposited in this order on the entire surface (FIG. 7B). Instead of the titanium nitride film, another metal film or metal nitride film or ruthenium oxide film may be deposited.

次に、マスク等を用いたドライエッチングにより、PMOSFETのゲート電極部分を除いて、窒化チタン膜1017およびタングステン膜1018を除去する。これにより、PMOS領域に、窒化チタン膜1017およびタングステン膜1018からなるゲート電極1010を形成することができる(図5)。   Next, the titanium nitride film 1017 and the tungsten film 1018 are removed except for the gate electrode portion of the PMOSFET by dry etching using a mask or the like. Thereby, the gate electrode 1010 made of the titanium nitride film 1017 and the tungsten film 1018 can be formed in the PMOS region (FIG. 5).

本実施の形態によれば、NMOSFETに金属シリサイドからなるゲート電極を用いている。ここで、金属とシリサイドが反応して形成された金属シリサイドは、元のシリコンより体積膨張して形成されるので、シリコン基板から圧縮応力を受ける材料となる。従来は、NMOSFETのゲート電極を金属または金属窒化物で形成していたので、ゲート電極はシリコン基板から引張応力を受けていた。この場合、ゲート電極直下のチャネル領域が引張応力を開放できないために、その体積を減少させられる方向に力が働いていた。一方、本発明は、シリコン基板から圧縮応力を受ける材料でゲート電極を形成するので、チャネル領域に働く力を逆向きに変えて電子の移動度を向上させることができる。   According to the present embodiment, the gate electrode made of metal silicide is used for the NMOSFET. Here, the metal silicide formed by the reaction between the metal and the silicide is formed by volume expansion from the original silicon, and thus becomes a material that receives compressive stress from the silicon substrate. Conventionally, since the gate electrode of the NMOSFET is formed of metal or metal nitride, the gate electrode is subjected to tensile stress from the silicon substrate. In this case, since the channel region directly under the gate electrode cannot release the tensile stress, a force is acting in a direction in which the volume can be reduced. On the other hand, in the present invention, since the gate electrode is formed of a material that receives compressive stress from the silicon substrate, the force acting on the channel region can be changed in the opposite direction to improve the electron mobility.

また、本実施の形態によれば、PMOSFETに金属からなるゲート電極を用いている。したがって、実施の形態1で得られる効果に加えて、さらに、電極の空乏化を抑制して大きな反転容量を確保することが可能となる。金属の種類は閾値電圧に応じて適宜選択すればよく、上記の窒化チタン膜やタングステン膜に限られるものではない。本実施の形態においては、例えば、チタン、タンタル、モリブデン、タングステン、ジルコニウムおよびハフニウムなどの金属および/またはこれらの金属の窒化物などを用いることができる。   Further, according to the present embodiment, the gate electrode made of metal is used for the PMOSFET. Therefore, in addition to the effect obtained in the first embodiment, it is possible to further suppress depletion of the electrode and ensure a large inversion capacitance. The type of metal may be selected as appropriate according to the threshold voltage, and is not limited to the titanium nitride film or the tungsten film. In this embodiment, for example, a metal such as titanium, tantalum, molybdenum, tungsten, zirconium, and hafnium and / or a nitride of these metals can be used.

尚、本実施の形態では、ソース・ドレインウェルにシリサイドを形成する例に付いて述べたが本発明はこれに限られるものではない。ソース・ドレインウェルにシリサイドを形成しない場合にも本発明を適用することができる。   In this embodiment, the example in which silicide is formed in the source / drain well has been described, but the present invention is not limited to this. The present invention can also be applied when silicide is not formed in the source / drain wells.

実施の形態3.
図8は、本実施の形態における半導体装置の断面図の一例である。
Embodiment 3 FIG.
FIG. 8 is an example of a cross-sectional view of the semiconductor device in this embodiment.

図8において、シリコン基板201には素子分離領域202、N型ウェル領域203、P型ウェル領域204、P型エクステンション領域205、N型エクステンション領域206、P型ソース・ドレインウェル207およびN型ソース・ドレインウェル208が形成されている。   8, a silicon substrate 201 includes an element isolation region 202, an N-type well region 203, a P-type well region 204, a P-type extension region 205, an N-type extension region 206, a P-type source / drain well 207, and an N-type source / drain. A drain well 208 is formed.

チャネル上には、ゲート絶縁膜209を介してゲート電極2010,2011が形成されている。本実施の形態は、NMOS領域にあるゲート電極2011がニッケルシリサイド膜1013から形成されており、PMOS領域にあるゲート電極2010が窒化チタン膜1017およびタングステン膜1018から形成されている点で実施の形態1と共通する。しかし、ソース・ドレインウェル207,208に形成されたシリサイドが、ゲート電極2011を形成するシリサイドとは異なる金属シリサイド(コバルトシリサイド膜2021)である点で異なる。このような構成とすることによって、NMOS領域に適した金属シリサイドを用いてゲート電極を形成することができるので、NMOSFETの電気的特性を向上させることができる。   Gate electrodes 2010 and 2011 are formed on the channel via a gate insulating film 209. In the present embodiment, the gate electrode 2011 in the NMOS region is formed from the nickel silicide film 1013, and the gate electrode 2010 in the PMOS region is formed from the titanium nitride film 1017 and the tungsten film 1018. 1 and common. However, the difference is that the silicide formed in the source / drain wells 207 and 208 is a metal silicide (cobalt silicide film 2021) different from the silicide forming the gate electrode 2011. With such a configuration, the gate electrode can be formed using metal silicide suitable for the NMOS region, so that the electrical characteristics of the NMOSFET can be improved.

次に、図9〜図12を用いて、本実施の形態にかかる半導体装置の製造方法を説明する。尚、これらの図において、図8と同じ符号を付した部分は同じものであることを示している。   Next, a method for manufacturing the semiconductor device according to the present embodiment will be described with reference to FIGS. In these drawings, the same reference numerals as those in FIG. 8 indicate the same parts.

まず、実施の形態1で述べた図2(a)〜図2(b)と同様の工程を経て、シリコン基板201上にゲート絶縁膜209を形成する(図9(a))。ゲート絶縁膜209としては、例えばシリコン酸窒化膜を用いることができる。例えば、酸素雰囲気下での短時間の熱処理によって、シリコン基板201の表面に膜厚2nm程度のシリコン酸化膜を形成する。その後、アンモニア雰囲中で窒化することによって、シリコン酸窒化膜を形成することができる。尚、ゲート絶縁膜209は、シリコン酸窒化膜に限られるものではなく、シリコン酸化膜または金属酸化物の誘電体若しくはハフニウムを含む酸化物の誘電体からなる膜であってもよい。   First, the gate insulating film 209 is formed on the silicon substrate 201 through the same steps as those shown in FIGS. 2A to 2B described in the first embodiment (FIG. 9A). As the gate insulating film 209, for example, a silicon oxynitride film can be used. For example, a silicon oxide film having a thickness of about 2 nm is formed on the surface of the silicon substrate 201 by a short-time heat treatment in an oxygen atmosphere. Thereafter, a silicon oxynitride film can be formed by nitriding in an ammonia atmosphere. Note that the gate insulating film 209 is not limited to the silicon oxynitride film, and may be a film made of a silicon oxide film, a metal oxide dielectric, or an oxide dielectric containing hafnium.

次に、ゲート絶縁膜209の上に、膜厚100nm程度である多結晶のシリコン膜2012を形成した後、さらに、ハードマスク2022としてのシリコン酸化膜を形成する。尚、シリコン酸化膜の代わりにシリコン窒化膜を形成してもよい。   Next, after a polycrystalline silicon film 2012 having a thickness of about 100 nm is formed on the gate insulating film 209, a silicon oxide film as a hard mask 2022 is further formed. A silicon nitride film may be formed instead of the silicon oxide film.

次に、ハードマスク2022を用いて、シリコン膜2012をゲート電極の形状に加工する(図9(b))。この際、図に示すように、シリコン膜2012のエッチング終了後もハードマスク2022がシリコン膜2012上に残るようにする。尚、加工後のシリコン膜2012は全てダミーのゲート電極であり、実際に動作するゲート電極は後工程で形成される。   Next, the silicon film 2012 is processed into the shape of the gate electrode using the hard mask 2022 (FIG. 9B). At this time, as shown in the drawing, the hard mask 2022 is left on the silicon film 2012 even after the etching of the silicon film 2012 is completed. Note that the processed silicon film 2012 is a dummy gate electrode, and the gate electrode that actually operates is formed in a later step.

次に、ハードマスク2022およびシリコン膜2012をマスクとして、N型ウェル領域203にBをイオン注入し、P型ウェル領域204にPをイオン注入する。その後、熱処理による活性化を行うことによって、図9(c)に示すように、P型エクステンション領域205とN型エクステンション領域206を形成する。   Next, using the hard mask 2022 and the silicon film 2012 as a mask, B ions are implanted into the N-type well region 203 and P ions are implanted into the P-type well region 204. Thereafter, activation by heat treatment is performed to form a P-type extension region 205 and an N-type extension region 206 as shown in FIG. 9C.

次に、側壁絶縁膜としてのシリコン窒化膜2014を全面に形成した後、反応性イオンエッチングによって、シリコン膜2012およびハードマスク2022の側壁部を除いてシリコン窒化膜2014を除去する(図10(a))。尚、シリコン窒化膜の代わりにシリコン酸化膜を用いてもよい。   Next, after forming a silicon nitride film 2014 as a sidewall insulating film on the entire surface, the silicon nitride film 2014 is removed by reactive ion etching except for the sidewalls of the silicon film 2012 and the hard mask 2022 (FIG. 10A). )). A silicon oxide film may be used instead of the silicon nitride film.

次に、レジスト(図示せず)並びにシリコン窒化膜2014の形成されたシリコン膜2012およびハードマスク2022をマスクとして、N型ウェル領域203にBをイオン注入する。その後、同様の方法でP型ウェル領域204にもPをイオン注入する。レジストを剥離した後、熱処理による活性化を行うことによって、P型ソース・ドレインウェル207およびN型ソース・ドレインウェル208を形成する(図10(b))。活性化のための熱処理は、例えば、1,000℃で1秒間程度とすることができる。   Next, B is ion-implanted into the N-type well region 203 using the resist (not shown), the silicon film 2012 on which the silicon nitride film 2014 is formed, and the hard mask 2022 as a mask. Thereafter, P is ion-implanted into the P-type well region 204 in the same manner. After removing the resist, activation by heat treatment is performed to form a P-type source / drain well 207 and an N-type source / drain well 208 (FIG. 10B). The heat treatment for activation can be performed at 1,000 ° C. for about 1 second, for example.

次に、スパッタ法によって、第1の金属膜としてのコバルト膜(図示せず)を全面に堆積した後、熱処理によってシリサイド化反応を行う。このとき、シリコン膜2012上にはハードマスク2022が形成されているので、ゲート電極部分がシリサイド化されることはなく、ソース・ドレインウェル207,208のみがシリサイド化される。その後、未反応のコバルト膜を除去することによって、図10(c)に示すように、ソース・ドレインウェル207,208上にのみ、第1の金属シリサイド膜としてのコバルトシリサイド膜2021が形成された構造が得られる。   Next, after a cobalt film (not shown) as a first metal film is deposited on the entire surface by sputtering, a silicidation reaction is performed by heat treatment. At this time, since the hard mask 2022 is formed on the silicon film 2012, the gate electrode portion is not silicided, and only the source / drain wells 207 and 208 are silicided. Thereafter, by removing the unreacted cobalt film, a cobalt silicide film 2021 as a first metal silicide film was formed only on the source / drain wells 207 and 208 as shown in FIG. A structure is obtained.

次に、層間絶縁膜2020をCVD法で堆積した後、CMP法によってハードマスク2022の表面が露出するように加工する(図11(a))。層間絶縁膜2020としては、例えば、シリコン酸化膜を用いることができる。   Next, after an interlayer insulating film 2020 is deposited by the CVD method, it is processed by the CMP method so that the surface of the hard mask 2022 is exposed (FIG. 11A). As the interlayer insulating film 2020, for example, a silicon oxide film can be used.

次に、PMOS領域上にレジスト膜2023を形成した後、レジスト膜2023をマスクとして、NMOS領域にあるハードマスク2022を選択的に除去する(図11(b))。   Next, after forming a resist film 2023 on the PMOS region, the hard mask 2022 in the NMOS region is selectively removed using the resist film 2023 as a mask (FIG. 11B).

ここで、ハードマスク2022および層間絶縁膜2020にシリコン酸化膜を用いた場合には、層間絶縁膜2020に対してハードマスク2022を選択的にエッチングすることはできない。したがって、ハードマスク2022を除去する際に、ハードマスク2022と同じ膜厚分の層間絶縁膜2020が一緒に除去されることになる。一方、ハードマスク2022としてシリコン窒化膜を用いた場合には、層間絶縁膜2020がシリコン酸化膜であってもエッチング選択比を確保することができる。また、この場合、CHFおよびOの混合ガス、CHガスまたはCHFガス等をエッチングガスに用いることによって、層間絶縁膜2020およびシリコン膜2012に対するハードマスク2022のエッチング選択比をさらに大きくすることが可能となる。 Here, in the case where a silicon oxide film is used for the hard mask 2022 and the interlayer insulating film 2020, the hard mask 2022 cannot be selectively etched with respect to the interlayer insulating film 2020. Therefore, when the hard mask 2022 is removed, the interlayer insulating film 2020 having the same thickness as the hard mask 2022 is removed together. On the other hand, when a silicon nitride film is used as the hard mask 2022, the etching selectivity can be ensured even if the interlayer insulating film 2020 is a silicon oxide film. In this case, the etching selectivity of the hard mask 2022 with respect to the interlayer insulating film 2020 and the silicon film 2012 is increased by using a mixed gas of CHF 3 and O 2 , CH 2 F 2 gas, CH 3 F gas, or the like as an etching gas. It can be further increased.

次に、第2の金属膜としてのニッケル膜(図示せず)をPVD法によって全面に成膜する。尚、成膜は、最初にCVD法で薄い膜を形成してからPVD法に切り替えて行ってもよい。次に、熱処理を行って、NMOS領域にあるシリコン膜2012を全てシリサイド化する。これにより、NMOS領域に、第2の金属シリサイド膜としてのニッケルシリサイド膜2013からなるゲート電極2011が形成される。一方、PMOS領域にあるシリコン膜2012の上にはハードマスク2023が形成されているので、この部分でニッケルシリサイドが形成されることはない。したがって、PMOS領域のゲート電極部分はシリコン膜2012のままである。   Next, a nickel film (not shown) as a second metal film is formed on the entire surface by the PVD method. The film formation may be performed by first forming a thin film by the CVD method and then switching to the PVD method. Next, heat treatment is performed to silicide all the silicon film 2012 in the NMOS region. As a result, the gate electrode 2011 made of the nickel silicide film 2013 as the second metal silicide film is formed in the NMOS region. On the other hand, since the hard mask 2023 is formed on the silicon film 2012 in the PMOS region, nickel silicide is not formed in this portion. Accordingly, the gate electrode portion of the PMOS region remains the silicon film 2012.

シリサイド化を終えた後は、未反応のニッケル膜をアンモニア、過酸化水素水および水の混合溶液を用いて除去する。これにより、図11(c)に示す構造が得られる。尚、図11(b)では、NMOS領域のハードマスク2023を除去することによって、シリコン膜2012の表面は層間絶縁膜2020の表面より低くなる。しかし、シリサイド化により体積膨張が起こるので、ハードマスク2022およびシリコン膜2012の膜厚を予め調整しておくことによって、ニッケルシリサイド膜2013の表面を層間絶縁膜2020の表面に略一致させることができる。   After silicidation is completed, the unreacted nickel film is removed using a mixed solution of ammonia, hydrogen peroxide solution and water. Thereby, the structure shown in FIG. 11C is obtained. In FIG. 11B, the surface of the silicon film 2012 becomes lower than the surface of the interlayer insulating film 2020 by removing the hard mask 2023 in the NMOS region. However, since volume expansion occurs due to silicidation, the surface of the nickel silicide film 2013 can be made substantially coincident with the surface of the interlayer insulating film 2020 by adjusting the film thicknesses of the hard mask 2022 and the silicon film 2012 in advance. .

次に、不要となったレジスト膜2023を除去してから、NMOS領域上にレジスト膜2024を形成する。そして、レジスト膜2024をマスクとして、PMOS領域にあるハードマスク2022を選択的に除去し、さらに、シリコン膜2012も選択的に除去する(図12(a))。   Next, after removing the resist film 2023 that is no longer required, a resist film 2024 is formed over the NMOS region. Then, using the resist film 2024 as a mask, the hard mask 2022 in the PMOS region is selectively removed, and the silicon film 2012 is also selectively removed (FIG. 12A).

本実施の形態においては、PMOS領域のシリコン膜2012を除去した後に、さらにゲート絶縁膜209を除去してもよい。この段階では、高温での熱処理が必要なソース・ドレインウェル207,208の形成工程やシリサイド化工程を終えているので、耐熱性の低い材料を用いて新たにゲート絶縁膜を形成することができる。   In this embodiment, the gate insulating film 209 may be further removed after the silicon film 2012 in the PMOS region is removed. At this stage, the formation process of the source / drain wells 207 and 208 that require heat treatment at a high temperature and the silicidation process are completed, so that a new gate insulating film can be formed using a material having low heat resistance. .

次に、不要となったレジスト膜2024を除去してから、全面に窒化チタン膜2017およびタングステン膜2018をこの順に堆積する(図12(b))。窒化チタン膜2017の膜厚は例えば5nm程度とすることができ、タングステン膜2018の膜厚は例えば100nm程度とすることができる。   Next, the unnecessary resist film 2024 is removed, and then a titanium nitride film 2017 and a tungsten film 2018 are deposited in this order on the entire surface (FIG. 12B). The thickness of the titanium nitride film 2017 can be about 5 nm, for example, and the thickness of the tungsten film 2018 can be about 100 nm, for example.

次に、マスク等を用いたドライエッチングにより、PMOS領域のゲート電極部分を除いて、窒化チタン膜2017およびタングステン膜2018を除去する。これにより、PMOS領域に、窒化チタン膜2017およびタングステン膜2018からなるゲート電極2010を形成することができる(図8)。   Next, the titanium nitride film 2017 and the tungsten film 2018 are removed by dry etching using a mask or the like, except for the gate electrode portion in the PMOS region. Thereby, the gate electrode 2010 composed of the titanium nitride film 2017 and the tungsten film 2018 can be formed in the PMOS region (FIG. 8).

尚、PMOS領域のゲート電極に適用する金属の種類は閾値電圧に応じて適宜選択すればよく、上記の窒化チタン膜やタングステン膜に限られるものではない。本実施の形態においては、例えば、チタン、タンタル、モリブデン、タングステン、ジルコニウムおよびハフニウムなどの金属および/またはこれらの金属の窒化物などを用いることができる。   Note that the type of metal applied to the gate electrode in the PMOS region may be appropriately selected according to the threshold voltage, and is not limited to the titanium nitride film or the tungsten film. In this embodiment, for example, a metal such as titanium, tantalum, molybdenum, tungsten, zirconium, and hafnium and / or a nitride of these metals can be used.

本実施の形態によれば、ソース・ドレインウェルのシリサイド化と、NMOS領域におけるゲート電極部分でのシリサイド化とを別々の工程で行うので、それぞれ異なる金属シリサイドとすることができる。したがって、例えば、ソース・ドレインウェルにはCoSiを形成し、NMOS領域のゲート電極にはNiSiを適用することも可能である。但し、ゲート電極をシリサイド化する際の温度が、先に形成したソース・ドレインウェルの金属シリサイドの耐熱温度を超えることがないようにする必要がある。具体的には、ソース・ドレインウェルに適用する金属シリサイドは、ゲート電極に用いる金属シリサイドよりも高い耐熱性を有するものでなければならない。例えば、CoSiの形成には600℃以上の温度での加熱が必要となるが、NiSiの形成には450℃程度の加熱でよい。したがって、CoSiをソース・ドレインウェルに用いた場合には問題ないが、ゲート電極に用いた場合には、シリサイド化の際に先に形成したNiSiがNiSiとなってNiが析出するおそれが生じる。 According to the present embodiment, the silicidation of the source / drain well and the silicidation at the gate electrode portion in the NMOS region are performed in separate steps, so that different metal silicides can be obtained. Therefore, for example, it is possible to form CoSi 2 in the source / drain well and apply NiSi to the gate electrode in the NMOS region. However, it is necessary that the temperature at which the gate electrode is silicided does not exceed the heat resistance temperature of the metal silicide of the source / drain well formed previously. Specifically, the metal silicide applied to the source / drain wells must have higher heat resistance than the metal silicide used for the gate electrode. For example, the formation of CoSi 2 requires heating at a temperature of 600 ° C. or higher, but the formation of NiSi may be performed at a temperature of about 450 ° C. Therefore, there is no problem when CoSi 2 is used for the source / drain wells. However, when it is used for the gate electrode, NiSi previously formed during silicidation may become NiSi 2 and Ni may be precipitated. Arise.

尚、本実施の形態では、ソース・ドレインウェルにシリサイドを形成する例に付いて述べたが本発明はこれに限られるものではない。ソース・ドレインウェルにシリサイドを形成しない場合にも本発明を適用することができる。   In this embodiment, the example in which silicide is formed in the source / drain well has been described, but the present invention is not limited to this. The present invention can also be applied when silicide is not formed in the source / drain wells.

実施の形態4.
図13および図14を用いて、本実施の形態における半導体装置の製造方法を説明する。
Embodiment 4 FIG.
A method for manufacturing a semiconductor device in the present embodiment will be described with reference to FIGS.

まず、素子分離領域302、N型ウェル領域303およびP型ウェル領域304が設けられたシリコン基板301の上に、第1のゲート絶縁膜309を形成し、第1のゲート絶縁膜309の上に第1のシリコン膜3012を形成する。次に、第1のシリコン膜3012の上にハードマスク3022を形成した後、ハードマスク3022を用いて第1のシリコン膜3012をゲート電極の形状に加工する。次に、ハードマスク3022および第1のシリコン膜3012をマスクとしてシリコン基板301に不純物を注入し、N型エクステンション領域306およびP型エクステンション領域305を形成してから、ハードマスク3022および第1のシリコン膜3012の側壁部に側壁絶縁膜3014を形成する。次に、側壁絶縁膜3014が形成されたハードマスク3022および第1のシリコン膜3012をマスクとしてシリコン基板301に不純物を注入し、N型ソース・ドレインウェル308およびP型ソース・ドレインウェル307を形成する。その後、シリコン基板301の全面に第1の金属膜(図示せず)を形成した後、熱処理によって、N型ソース・ドレインウェル308およびP型ソース・ドレインウェル307の上に、第1の金属膜がシリサイド化された第1の金属シリサイド膜3021を形成する。次に、シリコン基板301の上に層間絶縁膜3020を形成し、層間絶縁膜3020を加工して、ハードマスク3022の表面を露出させる。   First, the first gate insulating film 309 is formed on the silicon substrate 301 provided with the element isolation region 302, the N-type well region 303, and the P-type well region 304, and the first gate insulating film 309 is formed on the first gate insulating film 309. A first silicon film 3012 is formed. Next, after a hard mask 3022 is formed over the first silicon film 3012, the first silicon film 3012 is processed into the shape of the gate electrode using the hard mask 3022. Next, impurities are implanted into the silicon substrate 301 using the hard mask 3022 and the first silicon film 3012 as a mask to form an N-type extension region 306 and a P-type extension region 305, and then the hard mask 3022 and the first silicon film A sidewall insulating film 3014 is formed on the sidewall portion of the film 3012. Next, impurities are implanted into the silicon substrate 301 using the hard mask 3022 on which the sidewall insulating film 3014 is formed and the first silicon film 3012 as a mask to form an N-type source / drain well 308 and a P-type source / drain well 307. To do. Then, after forming a first metal film (not shown) on the entire surface of the silicon substrate 301, the first metal film is formed on the N-type source / drain well 308 and the P-type source / drain well 307 by heat treatment. A first metal silicide film 3021 in which is silicided is formed. Next, an interlayer insulating film 3020 is formed on the silicon substrate 301, and the interlayer insulating film 3020 is processed to expose the surface of the hard mask 3022.

具体的には、実施の形態3で述べた図9〜図11(b)と同様の工程を経て、PMOS領域上にレジスト膜3023を形成した後、レジスト膜3023をマスクとして、NMOS領域にあるハードマスク3022を選択的に除去する(図13(a))。さらに、レジスト膜3023をマスクとして、NMOS領域にある第1のシリコン膜としてのシリコン膜3012を選択的に除去する(図13(b))。例えば、ゲート絶縁膜309(第1のゲート絶縁膜)としてシリコン酸窒化膜を用いた場合には、HBrおよびOの混合ガスまたはSFガスなどを用いることによって、高い選択比でシリコン膜3012をエッチングすることが可能である。 Specifically, a resist film 3023 is formed on the PMOS region through the same steps as those in FIGS. 9 to 11B described in the third embodiment, and then in the NMOS region using the resist film 3023 as a mask. The hard mask 3022 is selectively removed (FIG. 13A). Further, using the resist film 3023 as a mask, the silicon film 3012 as the first silicon film in the NMOS region is selectively removed (FIG. 13B). For example, when a silicon oxynitride film is used as the gate insulating film 309 (first gate insulating film), a silicon film 3012 can be formed with a high selectivity by using a mixed gas of HBr and O 2 or SF 6 gas. Can be etched.

本実施の形態においては、NMOS領域のシリコン膜3012を除去した後に、さらにゲート絶縁膜309を除去し、新たに他のゲート絶縁膜(第2のゲート絶縁膜)を形成することを特徴としている。この段階では、高温での熱処理が必要なソース・ドレインウェル307,308の形成工程やシリサイド化工程(第1の金属シリサイド膜としてのコバルトシリサイド膜3021の形成)を終えているので、耐熱性の低い材料をゲート絶縁膜として用いることが可能になる。例えば、レジスト膜3023を除去した後、ゲート絶縁膜309の除去によって露出したシリコン基板301の上に、膜厚0.5nm程度のSiO膜および膜厚2nm程度のHfSiON膜をこの順に堆積し、新たなゲート絶縁膜3025を形成する。 This embodiment is characterized in that after the silicon film 3012 in the NMOS region is removed, the gate insulating film 309 is further removed to form another gate insulating film (second gate insulating film). . At this stage, the source / drain wells 307 and 308 that require heat treatment at a high temperature and the silicidation process (formation of the cobalt silicide film 3021 as the first metal silicide film) have been completed. A low material can be used as the gate insulating film. For example, after removing the resist film 3023, a SiO 2 film having a thickness of about 0.5 nm and a HfSiON film having a thickness of about 2 nm are deposited in this order on the silicon substrate 301 exposed by the removal of the gate insulating film 309. A new gate insulating film 3025 is formed.

次に、NMOS領域のゲート電極部分にある第1の溝部を埋め込むようにして、ゲート絶縁膜3025上に、第2のシリコン膜としての多結晶のシリコン膜3026を形成する(図13(c))。シリコン膜3026の膜厚は、例えば10nm程度とすることができる。また、ゲート絶縁膜3025の耐熱性を考慮して、シリコン膜3026の成膜にはPVD法によって行うことが好ましい。但し、PVD法では溝部の埋め込みを十分に行うことが難しい場合には、最初にCVD法で薄い膜を形成してからPVD法に切り替えて成膜してもよい。   Next, a polycrystalline silicon film 3026 as a second silicon film is formed on the gate insulating film 3025 so as to fill the first groove portion in the gate electrode portion of the NMOS region (FIG. 13C). ). The film thickness of the silicon film 3026 can be about 10 nm, for example. In consideration of heat resistance of the gate insulating film 3025, the silicon film 3026 is preferably formed by a PVD method. However, when it is difficult to sufficiently fill the groove by the PVD method, a thin film may be formed first by the CVD method, and then the PVD method may be switched to form the film.

次に、第2の金属膜としてのニッケル膜(図示せず)をPVD法によって全面に成膜する。尚、ニッケル膜の代わりに、コバルト膜またはチタン膜などの他の金属膜を成膜してもよい。また、成膜は、最初にCVD法で薄い膜を形成してからPVD法に切り替えて行ってもよい。次に、熱処理を行って、NMOS領域にあるシリコン膜3026を全てシリサイド化する。これにより、NMOS領域に、第2の金属シリサイド膜としてのニッケルシリサイド膜3013からなるゲート電極3011が形成される。一方、PMOS領域にあるシリコン膜3012の上にはハードマスク3022が形成されているので、この部分でニッケルシリサイドが形成されることはない。したがって、PMOS領域のゲート電極部分はシリコン膜3012のままである。   Next, a nickel film (not shown) as a second metal film is formed on the entire surface by the PVD method. Instead of the nickel film, another metal film such as a cobalt film or a titanium film may be formed. The film formation may be performed by first forming a thin film by the CVD method and then switching to the PVD method. Next, heat treatment is performed to silicide all the silicon film 3026 in the NMOS region. As a result, a gate electrode 3011 made of a nickel silicide film 3013 as a second metal silicide film is formed in the NMOS region. On the other hand, since the hard mask 3022 is formed on the silicon film 3012 in the PMOS region, nickel silicide is not formed in this portion. Accordingly, the gate electrode portion in the PMOS region remains the silicon film 3012.

シリサイド化を終えた後は、未反応のニッケル膜をアンモニア、過酸化水素水および水の混合溶液を用いて除去する。これにより、図14(a)に示す構造が得られる。   After silicidation is completed, the unreacted nickel film is removed using a mixed solution of ammonia, hydrogen peroxide solution and water. As a result, the structure shown in FIG.

この後は、実施の形態3で述べた図12と同様の工程を経ることによって、PMOS領域に、窒化チタン膜3017およびタングステン膜3018からなるゲート電極3010を形成することができる(図14(b))。すなわち、PMOSFETの領域にあるハードマスク3022を選択的に除去した後、露出したシリコン膜3012を選択的に除去して第1のゲート絶縁膜309に至る第2の溝部(ゲート電極3010に対応)を形成する。次に、この第2溝部の内面に窒化チタン膜3017を形成してから、窒化チタン膜3017の上にさらにタングステン膜3018を形成する。その後、第2の溝部を除いて窒化チタン膜3017およびタングステン膜3018を除去する。   Thereafter, the gate electrode 3010 composed of the titanium nitride film 3017 and the tungsten film 3018 can be formed in the PMOS region by performing the same process as FIG. 12 described in the third embodiment (FIG. 14B). )). In other words, after the hard mask 3022 in the PMOSFET region is selectively removed, the exposed silicon film 3012 is selectively removed and the second trench reaching the first gate insulating film 309 (corresponding to the gate electrode 3010). Form. Next, after forming a titanium nitride film 3017 on the inner surface of the second groove portion, a tungsten film 3018 is further formed on the titanium nitride film 3017. Thereafter, the titanium nitride film 3017 and the tungsten film 3018 are removed except for the second groove.

尚、PMOS領域のゲート電極に適用する金属の種類は閾値電圧に応じて適宜選択すればよく、上記の窒化チタン膜やタングステン膜に限られるものではない。本実施の形態においては、例えば、チタン、タンタル、モリブデン、タングステン、ジルコニウムおよびハフニウムなどの金属および/またはこれらの金属の窒化物などを用いることができる。   Note that the type of metal applied to the gate electrode in the PMOS region may be appropriately selected according to the threshold voltage, and is not limited to the titanium nitride film or the tungsten film. In this embodiment, for example, a metal such as titanium, tantalum, molybdenum, tungsten, zirconium, and hafnium and / or a nitride of these metals can be used.

本実施の形態によれば、実施の形態3で得られる効果に加えて、さらにNMOS領域に耐熱性の低いゲート絶縁膜を用いることができる。したがって、金属酸化膜または金属珪酸化膜(金属シリケート膜)などの比誘電率の大きい材料を用い、その膜厚を大きくしてリーク電流を抑制することが可能となる。   According to the present embodiment, in addition to the effects obtained in the third embodiment, a gate insulating film with lower heat resistance can be used in the NMOS region. Therefore, it is possible to suppress leakage current by using a material having a large relative dielectric constant such as a metal oxide film or a metal silicate film (metal silicate film) and increasing the film thickness.

尚、本実施の形態では、ソース・ドレインウェルにシリサイドを形成する例に付いて述べたが本発明はこれに限られるものではない。ソース・ドレインウェルにシリサイドを形成しない場合にも本発明を適用することができる。   In this embodiment, the example in which silicide is formed in the source / drain well has been described, but the present invention is not limited to this. The present invention can also be applied when silicide is not formed in the source / drain wells.

実施の形態5.
まず、シリコン基板401の所定領域にシリコン酸化膜を埋め込み、STI(Shallow Trench Isolation)構造の素子分離領域402および犠牲ゲート絶縁膜403を形成する。犠牲ゲート絶縁膜403としては、例えばシリコン酸化膜などを用いることができる。次に、シリコン基板401のPMOSFET領域にP(リン)を注入した後、同様の方法で、シリコン基板401のNMOSFET領域にB(ボロン)を注入する。その後、熱処理により不純物を拡散させることによって、N型ウェル領域406およびP型ウェル領域407を形成する(図16(a))。
Embodiment 5 FIG.
First, a silicon oxide film is embedded in a predetermined region of the silicon substrate 401, and an element isolation region 402 and a sacrificial gate insulating film 403 having an STI (Shallow Trench Isolation) structure are formed. As the sacrificial gate insulating film 403, for example, a silicon oxide film or the like can be used. Next, after P (phosphorus) is implanted into the PMOSFET region of the silicon substrate 401, B (boron) is implanted into the NMOSFET region of the silicon substrate 401 by the same method. Thereafter, the N-type well region 406 and the P-type well region 407 are formed by diffusing impurities by heat treatment (FIG. 16A).

次に、犠牲ゲート絶縁膜403の上に、多結晶のシリコン膜408をCVD法によって形成した後、シリコン膜408をゲート電極の形状に加工する(図16(b))。ここで、シリコン膜408は犠牲ゲート電極であり、実際に動作するゲート電極は後工程で形成される。   Next, after a polycrystalline silicon film 408 is formed on the sacrificial gate insulating film 403 by a CVD method, the silicon film 408 is processed into the shape of a gate electrode (FIG. 16B). Here, the silicon film 408 is a sacrificial gate electrode, and the gate electrode that actually operates is formed in a later step.

次に、シリコン膜408をマスクとして、N型ウェル領域406にBを、P型ウェル領域407にPをそれぞれイオン注入する。その後、熱処理による活性化を行うことによって、P型エクステンション領域409とN型エクステンション領域4010を形成する(図16(c))。   Next, using the silicon film 408 as a mask, ions of B are implanted into the N-type well region 406 and P is implanted into the P-type well region 407, respectively. Thereafter, activation by heat treatment is performed to form a P-type extension region 409 and an N-type extension region 4010 (FIG. 16C).

次に、CVD法を用いてシリコン窒化膜4011を全面に形成した後、反応性イオンエッチングによって、シリコン膜408の側壁部を残してシリコン窒化膜4011を除去する。その後、側壁絶縁膜としてのシリコン窒化膜4011が形成されたシリコン膜408をマスクとして、N型ウェル領域406にBを、P型ウェル領域407にPをそれぞれイオン注入する。その後、熱処理による活性化を行うことによって、P型ソース・ドレインウェル4012およびN型ソース・ドレインウェル4013を形成する(図17(a))。   Next, after a silicon nitride film 4011 is formed on the entire surface by using the CVD method, the silicon nitride film 4011 is removed by reactive ion etching while leaving the side wall portion of the silicon film 408. Thereafter, B is ion-implanted into the N-type well region 406 and P is ion-implanted into the P-type well region 407 using the silicon film 408 formed with the silicon nitride film 4011 as the sidewall insulating film as a mask. Thereafter, activation by heat treatment is performed to form a P-type source / drain well 4012 and an N-type source / drain well 4013 (FIG. 17A).

次に、シリコン膜408の下部を除いて犠牲ゲート絶縁膜403を除去した後、全面にニッケル膜(図示せず)およびチタンナイトライド膜(図示せず)を成膜して、熱処理を行う。その後、チタンナイトライド膜および未反応のニッケル膜をエッチング除去することによって、ソース・ドレインウェル(4012,4013)の上にのみ選択的にニッケルシリサイド膜4014を形成する(図17(b))。   Next, after removing the sacrificial gate insulating film 403 except for the lower part of the silicon film 408, a nickel film (not shown) and a titanium nitride film (not shown) are formed on the entire surface, and heat treatment is performed. Thereafter, the titanium nitride film and the unreacted nickel film are removed by etching to selectively form a nickel silicide film 4014 only on the source / drain wells (4012, 4013) (FIG. 17B).

次に、層間絶縁膜4015をCVD法または塗布法によって形成した後、CMP(Chemical Mechanical Polishing)法により、シリコン膜408の表面が露出するまで研磨する。その後、露出したシリコン膜408を反応性イオンエッチングによって選択的に除去する(図17(c))。   Next, after an interlayer insulating film 4015 is formed by a CVD method or a coating method, it is polished by a CMP (Chemical Mechanical Polishing) method until the surface of the silicon film 408 is exposed. Thereafter, the exposed silicon film 408 is selectively removed by reactive ion etching (FIG. 17C).

次に、露出した犠牲ゲート絶縁膜403を除去することによってシリコン基板401に至る溝部4017を形成する。そして、シリコン基板401の上に、新たにゲート絶縁膜4016を形成する(図18(a))。具体的には、溝部4017を被覆するようにして、層間絶縁膜4015の上にゲート絶縁膜4016を形成する。   Next, a trench 4017 reaching the silicon substrate 401 is formed by removing the exposed sacrificial gate insulating film 403. Then, a new gate insulating film 4016 is formed on the silicon substrate 401 (FIG. 18A). Specifically, a gate insulating film 4016 is formed over the interlayer insulating film 4015 so as to cover the groove 4017.

ゲート絶縁膜4016としては、シリコン酸化膜、シリコン酸窒化膜または高誘電率の金属酸化膜などを用いることができる。尚、ゲート絶縁膜4016は、下から順に、シリコン酸化膜、高誘電率の金属酸化膜が積層された膜であってもよいし、シリコン酸窒化膜、高誘電率の金属酸化膜が積層された膜であってもよい。ここで、高誘電率の金属酸化膜としては、例えば、MgO、Sc、Y、La、Pr、Nd、Sm、EuO、Gd、Tb、Dy、Ho、Er、Tm、Lu、ZrO、HfO、CeOまたはAlなどが挙げられる。また、HfOとAlの固溶体であるハフニウムアルミネート(HfAlO(x≧1))またはHfOとSiOの固溶体であるハフニウムシリケート(HfSi1−x)なども高誘電率の金属酸化膜として用いることができる。さらに、ハフニウムシリケートに窒素が含まれた窒化ハフニウムシリケートは、リーク電流低減の観点から高誘電率の金属酸化膜として有効である。 As the gate insulating film 4016, a silicon oxide film, a silicon oxynitride film, a metal oxide film with a high dielectric constant, or the like can be used. Note that the gate insulating film 4016 may be a film in which a silicon oxide film and a high dielectric constant metal oxide film are stacked in order from the bottom, or a silicon oxynitride film and a high dielectric constant metal oxide film are stacked. It may also be a membrane. Here, as the metal oxide film having a high dielectric constant, for example, MgO, Sc 2 O 3 , Y 2 O 3 , La 2 O 3 , Pr 2 O 3 , Nd 2 O 3 , Sm 2 O 3 , EuO, Gd 2 O 3 , Tb 2 O 3 , Dy 2 O 3 , Ho 2 O 3 , Er 2 O 3 , Tm 2 O 3 , Lu 2 O 3 , ZrO 2 , HfO 2 , CeO 2 or Al 2 O 3 It is done. Further, hafnium aluminate (HfAlO x (x ≧ 1)) which is a solid solution of HfO 2 and Al 2 O 3 or hafnium silicate (Hf x Si 1-x O 4 ) which is a solid solution of HfO 2 and SiO 2 is also high. It can be used as a metal oxide film having a dielectric constant. Furthermore, hafnium nitride silicate in which nitrogen is contained in hafnium silicate is effective as a high dielectric constant metal oxide film from the viewpoint of reducing leakage current.

次に、溝部4017を被覆するようにして、ゲート絶縁膜4016の上に金属膜4018を形成する(図18(b))。金属膜4018としては、例えば、ニッケル膜、コバルト膜、タンタル膜、白金膜、イリジウム膜またはパラジウム膜などが挙げられる。また、金属膜4018の膜厚は、溝部4017の寸法と、次工程で形成するシリコン膜4019の膜厚とを比較考量して決定する。例えば、溝部4017の幅方向の寸法が40nmである場合、金属膜4018の膜厚は10nm以下であることが好ましい。   Next, a metal film 4018 is formed on the gate insulating film 4016 so as to cover the groove 4017 (FIG. 18B). Examples of the metal film 4018 include a nickel film, a cobalt film, a tantalum film, a platinum film, an iridium film, and a palladium film. The film thickness of the metal film 4018 is determined by comparing and considering the dimension of the groove 4017 and the film thickness of the silicon film 4019 to be formed in the next step. For example, when the dimension in the width direction of the groove 4017 is 40 nm, the thickness of the metal film 4018 is preferably 10 nm or less.

次に、金属膜4018の上にシリコン膜4019を形成した後、NMOSFETのチャネル領域を除いてシリコン膜4019を選択的に除去する。図18(c)は、フォトリソグラフィー法によってシリコン膜4019を選択的に除去した例である。この場合、マスクとの重ね合わせのマージンを確保するために、溝部4017の周辺の層間絶縁膜4015上にもシリコン膜4019を残している。しかし、CMP法によって不要なシリコン膜4019を除去する場合には、溝部4017にのみシリコン膜4019が残る構造となる。   Next, after a silicon film 4019 is formed on the metal film 4018, the silicon film 4019 is selectively removed except for the channel region of the NMOSFET. FIG. 18C shows an example in which the silicon film 4019 is selectively removed by photolithography. In this case, the silicon film 4019 is also left on the interlayer insulating film 4015 around the groove 4017 in order to secure a margin for overlaying with the mask. However, when the unnecessary silicon film 4019 is removed by the CMP method, the silicon film 4019 remains in only the groove 4017.

本実施の形態においては、シリコン膜4019は、溝部4017を完全に埋め込まない膜厚で形成される。   In this embodiment mode, the silicon film 4019 is formed with a thickness that does not completely fill the groove 4017.

図19は、図18(c)におけるNMOSFETのゲート電極部付近の拡大図である。ゲート長をL、ゲート絶縁膜4016の膜厚をd、金属膜4018の膜厚をdとすると、シリコン膜4019の膜厚dは少なくとも式(1)を満足するようにする。 FIG. 19 is an enlarged view near the gate electrode portion of the NMOSFET in FIG. When the gate length is L, the thickness of the gate insulating film 4016 is d 1 , and the thickness of the metal film 4018 is d 2 , the thickness d 3 of the silicon film 4019 satisfies at least the formula (1).

<(L/2)−(d+d) (1)
d 3 <(L / 2) − (d 1 + d 2 ) (1)

次に、熱処理を行うことによって、シリコン膜4019を金属膜4018と反応させて金属シリサイド膜4020を形成する。熱処理の温度は、金属膜4018の種類によって適宜選択される。例えば、金属膜4018として白金膜を用いた場合には、400℃程度の温度で熱処理することによって白金シリサイド膜を形成することができる。その後、PMOSFETのチャネル領域を除いて、未反応の金属膜4018を除去することにより図20(a)の構造とすることができる。   Next, a silicon silicide film 4020 is formed by reacting the silicon film 4019 with the metal film 4018 by performing heat treatment. The temperature of the heat treatment is appropriately selected depending on the type of the metal film 4018. For example, when a platinum film is used as the metal film 4018, the platinum silicide film can be formed by heat treatment at a temperature of about 400 ° C. Then, the structure of FIG. 20A can be obtained by removing the unreacted metal film 4018 except for the channel region of the PMOSFET.

その後、NMOSFETとPMOSFETにおける各ゲート電極の表面の位置を合わせるために、各ゲート電極の溝部4017を適当な金属膜によって埋め込む。例えば、金属膜としてタングステン膜4022を用い、溝部4017を埋め込むようにして、タングステン膜4022をCVD法により全面に形成する(図20(b))。続いて、CMP法によって、溝部4017を除いてタングステン膜4022およびゲート絶縁膜4016を除去する。以上の工程によって、図21(a)に示す構造が得られる。また、CMP法でなくフォトリソグラフィー法による場合には、図21(b)に示すような構造が得られる。尚、図21(b)において、ゲート絶縁膜4016もタングステン膜4022とともにパターニングされていてもよい。   Thereafter, in order to align the position of the surface of each gate electrode in the NMOSFET and the PMOSFET, the groove 4017 of each gate electrode is filled with an appropriate metal film. For example, the tungsten film 4022 is used as a metal film, and the tungsten film 4022 is formed on the entire surface by a CVD method so as to fill the groove 4017 (FIG. 20B). Subsequently, the tungsten film 4022 and the gate insulating film 4016 are removed by a CMP method except for the trench 4017. Through the above steps, the structure shown in FIG. Further, when the photolithography method is used instead of the CMP method, a structure as shown in FIG. 21B is obtained. In FIG. 21B, the gate insulating film 4016 may also be patterned together with the tungsten film 4022.

このように、本実施の形態においては、NMOSFETのゲート電極は、ゲート絶縁膜4016と、側壁絶縁膜としての窒化シリコン膜4011とによって構成される溝部に沿って形成された金属シリサイド膜4020を有する。一方、PMOSFETのゲート電極は、金属膜4018とタングステン膜4022によって構成される。本実施の形態におけるタングステン膜4022は、シリコン基板401から引張応力を受ける材料となる。   As described above, in this embodiment, the gate electrode of the NMOSFET has the metal silicide film 4020 formed along the groove formed by the gate insulating film 4016 and the silicon nitride film 4011 as the sidewall insulating film. . On the other hand, the gate electrode of the PMOSFET is composed of a metal film 4018 and a tungsten film 4022. The tungsten film 4022 in this embodiment is a material that receives tensile stress from the silicon substrate 401.

シリコン基板上に形成された金属シリサイド膜は、一般に、シリコン基板から引張応力を受けることが知られている。これは、反応に寄与したシリコンと金属との合計体積より、形成された金属シリサイドの体積の方が小さいことに起因する。すなわち、シリサイド化反応によって膜の体積収縮が起こることにより、形成された金属シリサイド膜が下地のシリコン基板に引っ張られることになるためである。   It is known that a metal silicide film formed on a silicon substrate generally receives tensile stress from the silicon substrate. This is because the volume of the formed metal silicide is smaller than the total volume of silicon and metal that contributed to the reaction. That is, when the volume shrinkage of the film occurs due to the silicidation reaction, the formed metal silicide film is pulled to the underlying silicon substrate.

一方、本実施の形態においては、ゲート電極部を完全に埋め込まずに溝部が形成される膜厚でシリコン膜を形成し、このシリコン膜を下地の金属膜と反応させて金属シリサイド膜を形成する。このようにすることによって、金属シリサイド膜は、ゲート電極部を完全に埋め込む形状とはならずにゲート電極部の内面に沿う形状となる。換言すると、金属シリサイド膜は、ゲート絶縁膜と側壁絶縁膜によって作られる溝部に沿って形成される。このため、ゲート絶縁膜と接する部分における金属シリサイド膜はチャネル方向に広がろうとするので、ゲート絶縁膜付近におけるシリコン基板には、シリコンの格子間隔を大きくしようとする力が作用することになる。これに対し、シリコン基板は、元に戻ろうとして金属シリサイド膜を圧縮しようとする結果、金属シリサイド膜は、シリコン基板から圧縮応力を受けるようになる。本実施の形態における金属シリサイド膜の膜厚は、少なくともゲート長の寸法の1/2以下であって0.5nm以上であればよい。   On the other hand, in this embodiment, a silicon film is formed with a film thickness that allows a groove to be formed without completely embedding the gate electrode portion, and this silicon film is reacted with the underlying metal film to form a metal silicide film. . By doing so, the metal silicide film does not have a shape that completely embeds the gate electrode portion but a shape that follows the inner surface of the gate electrode portion. In other words, the metal silicide film is formed along a groove formed by the gate insulating film and the sidewall insulating film. For this reason, the metal silicide film in the portion in contact with the gate insulating film tends to spread in the channel direction, so that a force for increasing the lattice spacing of silicon acts on the silicon substrate in the vicinity of the gate insulating film. In contrast, as a result of the silicon substrate trying to compress the metal silicide film in order to return to the original state, the metal silicide film is subjected to compressive stress from the silicon substrate. The thickness of the metal silicide film in this embodiment may be at least ½ or less of the gate length dimension and 0.5 nm or more.

また、CVD法などによって形成された金属膜は、下地のシリコン基板を圧縮する方向に力を働かせる。このため、金属膜をゲート電極材料として用いることによって、ゲート絶縁膜付近におけるシリコン基板には、シリコンの格子間隔を小さくしようとする力が作用する。これに対し、シリコン基板は、元に戻ろうとして金属膜を引っ張ろうとする結果、金属膜は、シリコン基板から引張応力を受けるようになる。   In addition, a metal film formed by a CVD method or the like exerts a force in a direction in which the underlying silicon substrate is compressed. Therefore, by using the metal film as the gate electrode material, a force for reducing the lattice spacing of silicon acts on the silicon substrate in the vicinity of the gate insulating film. On the other hand, as a result of the silicon substrate trying to pull back the metal film to return to the original state, the metal film is subjected to tensile stress from the silicon substrate.

以上より、金属シリサイド膜をNMOSFETのゲート電極材料として用い、金属膜をPMOSFETのゲート電極材料として用いることによって、電気的特性に優れた半導体装置とすることができる。すなわち、NMOSFETのゲート電極を基板から圧縮応力を受ける材料で構成し、PMOSFETのゲート電極を基板から引張応力を受ける材料で構成しているので、電流単体(ホールおよび電子)の移動度が低下するのを抑制して、半導体装置の電流駆動能力を向上させることが可能となる。また、本実施の形態によれば、図17(c)で述べたように、露出した犠牲ゲート電極をPMOSFETおよびNMOSFETについて同時に除去することにより、全体の工程を簡略化させて半導体装置を容易に製造できるという効果も得られる。   As described above, by using the metal silicide film as the gate electrode material of the NMOSFET and using the metal film as the gate electrode material of the PMOSFET, a semiconductor device having excellent electrical characteristics can be obtained. That is, since the gate electrode of the NMOSFET is made of a material that receives compressive stress from the substrate and the gate electrode of the PMOSFET is made of a material that receives tensile stress from the substrate, the mobility of single current (holes and electrons) decreases. As a result, the current drive capability of the semiconductor device can be improved. Further, according to the present embodiment, as described in FIG. 17C, the exposed sacrificial gate electrode is simultaneously removed for the PMOSFET and the NMOSFET, thereby simplifying the entire process and making the semiconductor device easy. The effect that it can manufacture is also acquired.

尚、本実施の形態においては、シリサイド化反応を熱処理によって行ったが、閃光またはレーザ光を照射することにより行ってもよい。この方法によれば、放射する光の波長と、ゲート電極を構成する材料の吸収および反射特性を考慮することによって、ソース・ドレインウェルの表面に耐熱性の低い金属シリサイド膜を適用することが可能となる。この場合、閃光またはレーザ光がソース・ドレインウェルを照射しないようにゲート電極を配置することが好ましい。   In this embodiment, the silicidation reaction is performed by heat treatment, but may be performed by irradiating flash light or laser light. According to this method, it is possible to apply a metal silicide film having low heat resistance on the surface of the source / drain well by considering the wavelength of the emitted light and the absorption and reflection characteristics of the material constituting the gate electrode. It becomes. In this case, it is preferable to arrange the gate electrode so that flash light or laser light does not irradiate the source / drain well.

実施の形態6.
実施の形態5で説明した図16(a)〜図18(a)の工程にしたがって、図22(a)の構造を形成する。図22(a)において、501はシリコン基板、502は素子分離領域、506はN型ウェル領域、507はP型ウェル領域、509はP型エクステンション領域、5010はN型エクステンション領域、5011はシリコン窒化膜、5012はP型ソース・ドレインウェル、5013はN型ソース・ドレインウェル、5014はニッケルシリサイド膜、5015は層間絶縁膜、そして5016はゲート絶縁膜である。
Embodiment 6 FIG.
The structure shown in FIG. 22A is formed according to the steps shown in FIGS. 16A to 18A described in the fifth embodiment. 22A, reference numeral 501 denotes a silicon substrate, 502 denotes an element isolation region, 506 denotes an N-type well region, 507 denotes a P-type well region, 509 denotes a P-type extension region, 5010 denotes an N-type extension region, and 5011 denotes silicon nitride. 5012 is a P-type source / drain well, 5013 is an N-type source / drain well, 5014 is a nickel silicide film, 5015 is an interlayer insulating film, and 5016 is a gate insulating film.

ゲート絶縁膜5016としては、シリコン酸化膜、シリコン酸窒化膜または高誘電率の金属酸化膜などを用いることができる。尚、ゲート絶縁膜5016は、下から順に、シリコン酸化膜、高誘電率の金属酸化膜が積層された膜であってもよいし、シリコン酸窒化膜、高誘電率の金属酸化膜が積層された膜であってもよい。ここで、高誘電率の金属酸化膜としては、例えば、MgO、Sc、Y、La、Pr、Nd、Sm、EuO、Gd、Tb、Dy、Ho、Er、Tm、Lu、ZrO、HfO、CeOまたはAlなどが挙げられる。また、HfOとAlの固溶体であるハフニウムアルミネート(HfAlO(x≧1))またはHfOとSiOの固溶体であるハフニウムシリケート(HfSi1−x)なども高誘電率の金属酸化膜として用いることができる。さらに、ハフニウムシリケートに窒素が含まれた窒化ハフニウムシリケートは、リーク電流低減の観点から高誘電率の金属酸化膜として有効である。 As the gate insulating film 5016, a silicon oxide film, a silicon oxynitride film, a metal oxide film with a high dielectric constant, or the like can be used. Note that the gate insulating film 5016 may be a film in which a silicon oxide film and a high dielectric constant metal oxide film are stacked in this order from the bottom, or a silicon oxynitride film and a high dielectric constant metal oxide film are stacked. It may also be a membrane. Here, as the metal oxide film having a high dielectric constant, for example, MgO, Sc 2 O 3 , Y 2 O 3 , La 2 O 3 , Pr 2 O 3 , Nd 2 O 3 , Sm 2 O 3 , EuO, Gd 2 O 3 , Tb 2 O 3 , Dy 2 O 3 , Ho 2 O 3 , Er 2 O 3 , Tm 2 O 3 , Lu 2 O 3 , ZrO 2 , HfO 2 , CeO 2 or Al 2 O 3 It is done. Further, hafnium aluminate (HfAlO x (x ≧ 1)) which is a solid solution of HfO 2 and Al 2 O 3 or hafnium silicate (Hf x Si 1-x O 4 ) which is a solid solution of HfO 2 and SiO 2 is also high. It can be used as a metal oxide film having a dielectric constant. Furthermore, hafnium nitride silicate in which nitrogen is contained in hafnium silicate is effective as a high dielectric constant metal oxide film from the viewpoint of reducing leakage current.

次に、溝部5017の内面に沿うようにして、ゲート絶縁膜5016の上に金属窒化膜5025を形成する(図22(b))。金属窒化膜5025としては、例えば、窒化チタン膜、窒化タンタル膜、窒化ジルコニウム膜、窒化ハフニウム膜または窒化タングステン膜などを用いることができる。   Next, a metal nitride film 5025 is formed on the gate insulating film 5016 along the inner surface of the groove 5017 (FIG. 22B). As the metal nitride film 5025, for example, a titanium nitride film, a tantalum nitride film, a zirconium nitride film, a hafnium nitride film, a tungsten nitride film, or the like can be used.

次に、溝部5017の内面に沿うようにして、金属窒化膜5025の上に、金属膜5018およびシリコン膜5019を形成する。金属膜5018としては、例えば、ニッケル膜、コバルト膜、タンタル膜、白金膜、イリジウム膜、パラジウム膜、ジルコニウム膜またはハフニウム膜などが挙げられる。   Next, a metal film 5018 and a silicon film 5019 are formed on the metal nitride film 5025 along the inner surface of the groove 5017. Examples of the metal film 5018 include a nickel film, a cobalt film, a tantalum film, a platinum film, an iridium film, a palladium film, a zirconium film, and a hafnium film.

本実施の形態においては、金属窒化膜5025の上に、金属膜5018とシリコン膜5019からなる積層膜が形成されればよく、金属膜5018とシリコン膜5019のいずれが先に成膜されてもよい。その後、NMOSFETのチャネル領域を除いて金属膜5018およびシリコン膜5019を選択的に除去し、図22(c)の構造とする。図22(c)では、金属膜5018に対してシリコン膜5019が下層に設けられているが、金属膜5018が下層であってもよい。   In this embodiment mode, a stacked film including a metal film 5018 and a silicon film 5019 may be formed over the metal nitride film 5025, regardless of which of the metal film 5018 and the silicon film 5019 is formed first. Good. Thereafter, the metal film 5018 and the silicon film 5019 are selectively removed except for the channel region of the NMOSFET to obtain the structure of FIG. In FIG. 22C, the silicon film 5019 is provided below the metal film 5018, but the metal film 5018 may be provided below.

尚、図22(c)は、フォトリソグラフィー法によって金属膜5018とシリコン膜5019を選択的に除去した例である。この場合、マスクとの重ね合わせのマージンを確保するために、溝部5017の周辺の金属窒化膜5025上にもこれらの膜を残している。しかし、CMP法によって除去する場合には、溝部5017にのみ金属膜5018およびシリコン膜5019が残る構造となる。   Note that FIG. 22C shows an example in which the metal film 5018 and the silicon film 5019 are selectively removed by photolithography. In this case, these films are also left on the metal nitride film 5025 around the groove 5017 in order to ensure a margin for overlaying with the mask. However, when removed by the CMP method, the metal film 5018 and the silicon film 5019 remain only in the groove 5017.

本実施の形態においては、金属膜5018およびシリコン膜5019からなる積層膜は、溝部5017を完全に埋め込まない膜厚で形成される。例えば、ゲート長をL´、ゲート絶縁膜5016の膜厚をdとすると、金属膜5018とシリコン膜5019からなる積層膜の膜厚dは少なくともd<(L´/2)−dの関係を満たすようにする。 In this embodiment mode, a stacked film including the metal film 5018 and the silicon film 5019 is formed with a thickness that does not completely fill the groove 5017. For example, when the gate length is L ′ and the film thickness of the gate insulating film 5016 is d 4 , the film thickness d 5 of the stacked film including the metal film 5018 and the silicon film 5019 is at least d 5 <(L ′ / 2) −d. 4 is satisfied.

次に、熱処理を行うことによって、シリコン膜5019を金属膜5018と反応させて金属シリサイド膜5020を形成する。熱処理の温度は、金属膜4018の種類によって適宜選択される。例えば、金属膜5018として白金膜を用いた場合には、400℃程度の温度で熱処理することによって白金シリサイド膜を形成することができる。その後、金属シリサイド膜5020の下およびPMOSFETのチャネル領域を除いて、不要な金属窒化膜5025を除去することにより図23(a)の構造とすることができる。尚、図23(a)において、ゲート絶縁膜5016も金属窒化膜5025とともにパターニングされていてもよい。   Next, a metal silicide film 5020 is formed by reacting the silicon film 5019 with the metal film 5018 by performing heat treatment. The temperature of the heat treatment is appropriately selected depending on the type of the metal film 4018. For example, when a platinum film is used as the metal film 5018, the platinum silicide film can be formed by heat treatment at a temperature of about 400 ° C. Thereafter, the unnecessary metal nitride film 5025 is removed except under the metal silicide film 5020 and the channel region of the PMOSFET, whereby the structure of FIG. In FIG. 23A, the gate insulating film 5016 may also be patterned together with the metal nitride film 5025.

その後、NMOSFETとPMOSFETにおける各ゲート電極の表面の位置を合わせるために、各ゲート電極の溝部5017を適当な金属膜によって埋め込む。例えば、金属膜としてタングステン膜5022を用い、溝部5017を埋め込むようにして、タングステン膜5022をCVD法により全面に形成する(図23(b))。続いて、CMP法によって、溝部5017を除いてタングステン膜5022およびゲート絶縁膜5016を除去する。以上の工程によって、図24(a)に示す構造が得られる。また、CMP法でなくフォトリソグラフィー法による場合には、図24(b)に示すような構造が得られる。尚、図24(b)において、ゲート絶縁膜5016もタングステン膜5022とともにパターニングされていてもよい。   Thereafter, in order to align the position of the surface of each gate electrode in the NMOSFET and the PMOSFET, the groove 5017 of each gate electrode is filled with an appropriate metal film. For example, a tungsten film 5022 is used as a metal film, and the tungsten film 5022 is formed on the entire surface by a CVD method so as to fill the groove 5017 (FIG. 23B). Subsequently, the tungsten film 5022 and the gate insulating film 5016 are removed by a CMP method except for the trench 5017. The structure shown in FIG. 24A is obtained through the above steps. In addition, when the photolithography method is used instead of the CMP method, a structure as shown in FIG. 24B is obtained. In FIG. 24B, the gate insulating film 5016 may be patterned together with the tungsten film 5022.

本実施の形態によれば、NMOSFETのゲート絶縁膜と側壁絶縁膜とによって構成される溝部に沿って金属シリサイド膜を形成するので、実施の形態5と同様に、ゲート絶縁膜と接する部分における金属シリサイド膜にチャネル方向に広がる力を生じさせることができる。このため、ゲート絶縁膜付近におけるシリコン基板には、シリコンの格子間隔を大きくしようとする力が作用する結果、シリコン基板は、元に戻ろうとして金属シリサイド膜を圧縮しようとする。したがって、金属シリサイド膜は、シリコン基板から圧縮応力を受けるようになる。   According to the present embodiment, since the metal silicide film is formed along the groove formed by the gate insulating film and the sidewall insulating film of the NMOSFET, the metal in the portion in contact with the gate insulating film is formed as in the fifth embodiment. A force spreading in the channel direction can be generated in the silicide film. For this reason, a force for increasing the lattice spacing of silicon acts on the silicon substrate in the vicinity of the gate insulating film. As a result, the silicon substrate attempts to compress the metal silicide film in order to return to the original state. Therefore, the metal silicide film receives compressive stress from the silicon substrate.

また、本実施の形態によれば、実施の形態5で得られた効果に加えて、さらに次のような効果が得られる。すなわち、金属シリサイド膜5020とゲート絶縁膜5016との間に金属窒化膜5025が存在するので、シリサイド化の際に、シリサイド化される金属がシリコン基板501へと拡散して行くのを防ぐことができる。   Further, according to the present embodiment, in addition to the effects obtained in the fifth embodiment, the following effects can be obtained. That is, since the metal nitride film 5025 exists between the metal silicide film 5020 and the gate insulating film 5016, it is possible to prevent the metal to be silicided from diffusing into the silicon substrate 501 during silicidation. it can.

尚、本実施の形態においては、シリサイド化反応を熱処理によって行ったが、閃光またはレーザ光を照射することにより行ってもよい。この方法によれば、放射する光の波長と、ゲート電極を構成する材料の吸収および反射特性を考慮することによって、ソース・ドレインウェルの表面に耐熱性の低い金属シリサイド膜を適用することが可能となる。この場合、閃光またはレーザ光がソース・ドレインウェルを照射しないようにゲート電極を配置することが好ましい。   In this embodiment, the silicidation reaction is performed by heat treatment, but may be performed by irradiating flash light or laser light. According to this method, it is possible to apply a metal silicide film having low heat resistance on the surface of the source / drain well by considering the wavelength of the emitted light and the absorption and reflection characteristics of the material constituting the gate electrode. It becomes. In this case, it is preferable to dispose the gate electrode so that flash light or laser light does not irradiate the source / drain well.

本発明は上記各実施の形態に限定されるものではなく、本発明の趣旨を逸脱しない範囲内において、種々変形して実施することができる。例えば、実施の形態1〜6では、MOSFET(Metal Oxide Semiconductor Field Effect Transistor)について述べたが、本発明はこれに限られるものではない。本発明は、MISFET(Metal Insulator Semiconductor Field Effect Transistor)にも適用可能である。   The present invention is not limited to the above embodiments, and various modifications can be made without departing from the spirit of the present invention. For example, in the first to sixth embodiments, a MOSFET (Metal Oxide Semiconductor Field Effect Transistor) has been described, but the present invention is not limited to this. The present invention is also applicable to a MISFET (Metal Insulator Semiconductor Field Effect Transistor).

実施の形態1における半導体装置の断面図の一例である。3 is an example of a cross-sectional view of the semiconductor device in Embodiment 1. FIG. (a)〜(c)は、実施の形態1による半導体装置の製造方法を示す断面図である。(A)-(c) is sectional drawing which shows the manufacturing method of the semiconductor device by Embodiment 1. FIGS. (a)〜(c)は、実施の形態1による半導体装置の製造方法を示す断面図である。(A)-(c) is sectional drawing which shows the manufacturing method of the semiconductor device by Embodiment 1. FIGS. (a)および(b)は、実施の形態1による半導体装置の製造方法を示す断面図である。(A) And (b) is sectional drawing which shows the manufacturing method of the semiconductor device by Embodiment 1. FIG. 実施の形態2における半導体装置の断面図の一例である。FIG. 3 is an example of a cross-sectional view of a semiconductor device in a second embodiment. (a)および(b)は、実施の形態2による半導体装置の製造方法を示す断面図である。(A) And (b) is sectional drawing which shows the manufacturing method of the semiconductor device by Embodiment 2. FIG. (a)および(b)は、実施の形態2による半導体装置の製造方法を示す断面図である。(A) And (b) is sectional drawing which shows the manufacturing method of the semiconductor device by Embodiment 2. FIG. 実施の形態3における半導体装置の断面図の一例である。10 is an example of a cross-sectional view of a semiconductor device in Embodiment 3. FIG. (a)〜(c)は、実施の形態3による半導体装置の製造方法を示す断面図である。(A)-(c) is sectional drawing which shows the manufacturing method of the semiconductor device by Embodiment 3. FIG. (a)〜(c)は、実施の形態3による半導体装置の製造方法を示す断面図である。(A)-(c) is sectional drawing which shows the manufacturing method of the semiconductor device by Embodiment 3. FIG. (a)〜(c)は、実施の形態3による半導体装置の製造方法を示す断面図である。(A)-(c) is sectional drawing which shows the manufacturing method of the semiconductor device by Embodiment 3. FIG. (a)および(b)は、実施の形態3による半導体装置の製造方法を示す断面図である。(A) And (b) is sectional drawing which shows the manufacturing method of the semiconductor device by Embodiment 3. FIG. (a)〜(c)は、実施の形態4による半導体装置の製造方法を示す断面図である。(A)-(c) is sectional drawing which shows the manufacturing method of the semiconductor device by Embodiment 4. FIG. (a)および(b)は、実施の形態4による半導体装置の製造方法を示す断面図である。(A) And (b) is sectional drawing which shows the manufacturing method of the semiconductor device by Embodiment 4. FIG. NMOSFETおよびPMOSFETの消費電圧と消費電流との関係を示す図の一例である。It is an example of the figure which shows the relationship between the consumption voltage and consumption current of NMOSFET and PMOSFET. (a)〜(c)は、実施の形態5による半導体装置の製造方法を示す断面図である。(A)-(c) is sectional drawing which shows the manufacturing method of the semiconductor device by Embodiment 5. FIG. (a)〜(c)は、実施の形態5による半導体装置の製造方法を示す断面図である。(A)-(c) is sectional drawing which shows the manufacturing method of the semiconductor device by Embodiment 5. FIG. (a)〜(c)は、実施の形態5による半導体装置の製造方法を示す断面図である。(A)-(c) is sectional drawing which shows the manufacturing method of the semiconductor device by Embodiment 5. FIG. 実施の形態5による半導体装置の製造方法を示す断面図である。FIG. 9 is a cross-sectional view showing a method for manufacturing a semiconductor device according to a fifth embodiment. (a)および(b)は、実施の形態5による半導体装置の製造方法を示す断面図である。(A) And (b) is sectional drawing which shows the manufacturing method of the semiconductor device by Embodiment 5. FIG. (a)および(b)は、実施の形態5による半導体装置の製造方法を示す断面図である。(A) And (b) is sectional drawing which shows the manufacturing method of the semiconductor device by Embodiment 5. FIG. (a)〜(c)は、実施の形態6による半導体装置の製造方法を示す断面図である。(A)-(c) is sectional drawing which shows the manufacturing method of the semiconductor device by Embodiment 6. FIGS. (a)および(b)は、実施の形態6による半導体装置の製造方法を示す断面図である。(A) And (b) is sectional drawing which shows the manufacturing method of the semiconductor device by Embodiment 6. FIG. (a)および(b)は、実施の形態6による半導体装置の製造方法を示す断面図である。(A) And (b) is sectional drawing which shows the manufacturing method of the semiconductor device by Embodiment 6. FIG.

符号の説明Explanation of symbols

1,101,201,401,501 シリコン基板
2,102,202,402,502 素子分離領域
3,103,203,406,506 N型ウェル領域
4、104、204、407,507 P型ウェル領域
5,105,205,409,509 P型エクステンション領域
6,106,206,4010,5010 N型エクステンション領域
7,107,207,4012,5012 P型ソース・ドレインウェル
8,108,208,4013,5013 N型ソース・ドレインウェル
9,109,209,4016,5016 ゲート絶縁膜
10,11,1010,1011,2010,2011 ゲート電極
12,408,4019,5019 シリコン膜
13,1013,2013,4014,5014 ニッケルシリサイド膜
14,1014,2014,4011,5011 シリコン窒化膜
20,1020,2020,4015,5015 層間絶縁膜
1017,2017 窒化チタン膜
1018,2018 タングステン膜
2021 コバルトシリサイド膜
403 犠牲ゲート絶縁膜
4017,5017 溝部
4018,5018 金属膜
4020,5020 金属シリサイド膜
4022,5022 タングステン膜
5025 金属窒化膜
1, 101, 201, 401, 501 Silicon substrate 2, 102, 202, 402, 502 Element isolation region 3, 103, 203, 406, 506 N-type well region 4, 104, 204, 407, 507 P-type well region 5 , 105, 205, 409, 509 P-type extension regions 6, 106, 206, 4010, 5010 N-type extension regions 7, 107, 207, 4012, 5012 P-type source / drain wells 8, 108, 208, 4013, 5013 N Type source / drain well 9, 109, 209, 4016, 5016 Gate insulating film 10, 11, 1010, 1011, 2010, 2011 Gate electrode 12, 408, 4019, 5019 Silicon film 13, 1013, 2013, 4014, 5014 Nickel silicide 14, 1014, 2014, 4011, 5011 Silicon nitride film 20, 1020, 2020, 4015, 5015 Interlayer insulating film 1017, 2017 Titanium nitride film 1018, 2018 Tungsten film 2021 Cobalt silicide film 403 Sacrificial gate insulating film 4017, 5017 Groove 4018, 5018 Metal film 4020, 5020 Metal silicide film 4022, 5022 Tungsten film 5025 Metal nitride film

Claims (15)

シリコン基板上にNMOSFETとPMOSFETとで構成される半導体装置において、
前記NMOSFETのゲート電極は、前記シリコン基板から圧縮応力を受ける材料からなることを特徴とする半導体装置。
In a semiconductor device composed of an NMOSFET and a PMOSFET on a silicon substrate,
A gate electrode of the NMOSFET is made of a material that receives compressive stress from the silicon substrate.
前記圧縮応力を受ける材料は金属シリサイドである請求項1に記載の半導体装置。   The semiconductor device according to claim 1, wherein the material subjected to the compressive stress is a metal silicide. 前記PMOSFETのゲート電極は多結晶シリコンからなる請求項2に記載の半導体装置。   The semiconductor device according to claim 2, wherein the gate electrode of the PMOSFET is made of polycrystalline silicon. 前記PMOSFETのゲート電極は、前記シリコン基板から引張応力を受ける材料からなる請求項1または2に記載の半導体装置。   The semiconductor device according to claim 1, wherein the gate electrode of the PMOSFET is made of a material that receives tensile stress from the silicon substrate. 前記引張応力を受ける材料は、金属および金属窒化物の少なくとも一方である請求項4に記載の半導体装置。   The semiconductor device according to claim 4, wherein the material subjected to the tensile stress is at least one of a metal and a metal nitride. 前記NMOSFETおよび前記PMOSFETのソース・ドレインウェル上に金属シリサイドが形成されている請求項1〜5のいずれか1に記載の半導体装置。   6. The semiconductor device according to claim 1, wherein metal silicide is formed on source / drain wells of the NMOSFET and the PMOSFET. シリコン基板上にNMOSFETとPMOSFETとで構成される半導体装置において、
前記NMOSFETは、前記シリコン基板の上に形成されたゲート絶縁膜と、
前記ゲート絶縁膜の上に形成されたゲート電極と、
前記ゲート電極の側壁部に形成された側壁絶縁膜とを有し、
前記ゲート電極は、前記ゲート絶縁膜と前記側壁絶縁膜とによって構成される溝部に沿って形成された金属シリサイド膜を有することを特徴とする半導体装置。
In a semiconductor device composed of an NMOSFET and a PMOSFET on a silicon substrate,
The NMOSFET includes a gate insulating film formed on the silicon substrate,
A gate electrode formed on the gate insulating film;
A sidewall insulating film formed on the sidewall portion of the gate electrode,
The semiconductor device, wherein the gate electrode has a metal silicide film formed along a groove formed by the gate insulating film and the sidewall insulating film.
前記PMOSFETのゲート電極は金属膜からなる請求項7に記載の半導体装置。   The semiconductor device according to claim 7, wherein the gate electrode of the PMOSFET is made of a metal film. シリコン基板上にNMOSFETとPMOSFETとで構成される半導体装置において、
前記NMOSFETは、前記シリコン基板の上に形成されたゲート絶縁膜と、
前記ゲート絶縁膜の上に形成されたゲート電極と、
前記ゲート電極の側壁部に形成された側壁絶縁膜とを有し、
前記ゲート電極は、金属窒化膜と該金属窒化膜の上に形成された金属シリサイド膜とを有し、
前記金属窒化膜および前記金属シリサイド膜は、いずれも前記ゲート絶縁膜と前記側壁絶縁膜とによって構成される溝部に沿って設けられていることを特徴とする半導体装置。
In a semiconductor device composed of an NMOSFET and a PMOSFET on a silicon substrate,
The NMOSFET includes a gate insulating film formed on the silicon substrate,
A gate electrode formed on the gate insulating film;
A sidewall insulating film formed on the sidewall portion of the gate electrode,
The gate electrode has a metal nitride film and a metal silicide film formed on the metal nitride film,
Both of the metal nitride film and the metal silicide film are provided along a groove formed by the gate insulating film and the sidewall insulating film.
NMOSFETとPMOSFETとで構成される半導体装置の製造方法において、
素子分離領域、N型ウェル領域およびP型ウェル領域が設けられたシリコン基板の上にゲート絶縁膜を形成する工程と、
前記ゲート絶縁膜の上にシリコン膜を形成する工程と、
前記シリコン膜をゲート電極の形状に加工する工程と、
前記シリコン膜をマスクとして前記シリコン基板に不純物を注入し、N型エクステンション領域およびP型エクステンション領域を形成する工程と、
前記シリコン膜の側壁部に側壁絶縁膜を形成する工程と、
前記側壁絶縁膜が形成された前記シリコン膜をマスクとして前記シリコン基板に不純物を注入し、N型ソース・ドレインウェルおよびP型ソース・ドレインウェルを形成する工程と、
前記PMOSFETの領域にある前記シリコン膜の上部にシリサイド化防止膜を形成する工程と、
前記シリコン基板の全面に金属膜を形成する工程と、
熱処理によって、前記NMOSFETの領域にある前記シリコン膜を前記金属膜がシリサイド化された金属シリサイド膜に変えるとともに、前記N型ソース・ドレインウェルおよび前記P型ソース・ドレインウェルの上に前記金属シリサイド膜を形成する工程とを有することを特徴とする半導体装置の製造方法。
In a method for manufacturing a semiconductor device composed of an NMOSFET and a PMOSFET,
Forming a gate insulating film on a silicon substrate provided with an element isolation region, an N-type well region, and a P-type well region;
Forming a silicon film on the gate insulating film;
Processing the silicon film into the shape of a gate electrode;
Implanting impurities into the silicon substrate using the silicon film as a mask to form an N-type extension region and a P-type extension region;
Forming a sidewall insulating film on the sidewall portion of the silicon film;
Implanting impurities into the silicon substrate using the silicon film on which the sidewall insulating film is formed as a mask to form an N-type source / drain well and a P-type source / drain well;
Forming an anti-silicidation film on the silicon film in the PMOSFET region;
Forming a metal film on the entire surface of the silicon substrate;
By the heat treatment, the silicon film in the region of the NMOSFET is changed to a metal silicide film in which the metal film is silicided, and the metal silicide film is formed on the N-type source / drain well and the P-type source / drain well. Forming a semiconductor device. A method for manufacturing a semiconductor device, comprising:
前記シリサイド化防止膜を除去する工程と、
前記シリコン基板の上に、前記金属シリサイド膜および前記シリコン膜を埋め込むようにして層間絶縁膜を形成する工程と、
前記層間絶縁膜を加工して、前記金属シリサイド膜および前記シリコン膜の表面を露出させる工程と、
露出した前記シリコン膜を選択的に除去し、前記ゲート絶縁膜に至る溝部を形成する工程と、
前記溝部の内部に金属および金属窒化物の少なくとも一方を埋め込む工程とをさらに有する請求項10に記載の半導体装置の製造方法。
Removing the silicidation preventing film;
Forming an interlayer insulating film on the silicon substrate so as to embed the metal silicide film and the silicon film;
Processing the interlayer insulating film to expose the surfaces of the metal silicide film and the silicon film;
Selectively removing the exposed silicon film and forming a trench leading to the gate insulating film;
The method for manufacturing a semiconductor device according to claim 10, further comprising a step of burying at least one of a metal and a metal nitride in the groove.
NMOSFETとPMOSFETとで構成される半導体装置の製造方法において、
素子分離領域、N型ウェル領域およびP型ウェル領域が設けられたシリコン基板の上にゲート絶縁膜を形成する工程と、
前記ゲート絶縁膜の上にシリコン膜を形成する工程と、
前記シリコン膜の上にハードマスクを形成する工程と、
前記ハードマスクを用いて前記シリコン膜をゲート電極の形状に加工する工程と、
前記ハードマスクおよび前記シリコン膜をマスクとして前記シリコン基板に不純物を注入し、N型エクステンション領域およびP型エクステンション領域を形成する工程と、
前記ハードマスクおよび前記シリコン膜の側壁部に側壁絶縁膜を形成する工程と、
前記側壁絶縁膜が形成された前記ハードマスクおよび前記シリコン膜をマスクとして前記シリコン基板に不純物を注入し、N型ソース・ドレインウェルおよびP型ソース・ドレインウェルを形成する工程と、
前記シリコン基板の全面に第1の金属膜を形成する工程と、
熱処理によって、前記N型ソース・ドレインウェルおよび前記P型ソース・ドレインウェルの上に前記第1の金属膜がシリサイド化された第1の金属シリサイド膜を形成する工程と、
前記第1の金属シリサイド膜形成後の前記シリコン基板の上に層間絶縁膜を形成する工程と、
前記層間絶縁膜を加工して、前記ハードマスクの表面を露出させる工程と、
前記NMOSFETの領域から露出している前記ハードマスクを選択的に除去する工程と、
前記シリコン基板の全面に第2の金属膜を形成する工程と、
熱処理によって、前記NMOSFETの領域にある前記シリコン膜を前記第2の金属膜がシリサイド化された第2の金属シリサイド膜に変える工程と、
前記PMOSFETの領域にある前記ハードマスクを選択的に除去する工程と、
前記ハードマスク除去後に露出した前記シリコン膜を選択的に除去し、前記ゲート絶縁膜に至る溝部を形成する工程と、
前記溝部の内部に金属および金属窒化物の少なくとも一方を埋め込む工程とを有することを特徴とする半導体装置の製造方法。
In a method for manufacturing a semiconductor device composed of an NMOSFET and a PMOSFET,
Forming a gate insulating film on a silicon substrate provided with an element isolation region, an N-type well region, and a P-type well region;
Forming a silicon film on the gate insulating film;
Forming a hard mask on the silicon film;
Processing the silicon film into the shape of a gate electrode using the hard mask;
Implanting impurities into the silicon substrate using the hard mask and the silicon film as a mask to form an N-type extension region and a P-type extension region;
Forming a sidewall insulating film on the hard mask and the sidewall of the silicon film;
Implanting impurities into the silicon substrate using the hard mask and the silicon film on which the sidewall insulating film is formed as a mask to form an N-type source / drain well and a P-type source / drain well;
Forming a first metal film on the entire surface of the silicon substrate;
Forming a first metal silicide film in which the first metal film is silicided on the N-type source / drain well and the P-type source / drain well by heat treatment;
Forming an interlayer insulating film on the silicon substrate after forming the first metal silicide film;
Processing the interlayer insulating film to expose a surface of the hard mask;
Selectively removing the hard mask exposed from the region of the NMOSFET;
Forming a second metal film on the entire surface of the silicon substrate;
Changing the silicon film in the region of the NMOSFET to a second metal silicide film in which the second metal film is silicided by heat treatment;
Selectively removing the hard mask in the region of the PMOSFET;
Selectively removing the silicon film exposed after removing the hard mask, and forming a trench leading to the gate insulating film;
And a step of burying at least one of a metal and a metal nitride inside the groove.
NMOSFETとPMOSFETとで構成される半導体装置の製造方法において、
素子分離領域、N型ウェル領域およびP型ウェル領域が設けられたシリコン基板の上に第1のゲート絶縁膜を形成する工程と、
前記第1のゲート絶縁膜の上に第1のシリコン膜を形成する工程と、
前記第1のシリコン膜の上にハードマスクを形成する工程と、
前記ハードマスクを用いて前記第1のシリコン膜をゲート電極の形状に加工する工程と、
前記ハードマスクおよび前記第1のシリコン膜をマスクとして前記シリコン基板に不純物を注入し、N型エクステンション領域およびP型エクステンション領域を形成する工程と、
前記ハードマスクおよび前記第1のシリコン膜の側壁部に側壁絶縁膜を形成する工程と、
前記側壁絶縁膜が形成された前記ハードマスクおよび前記第1のシリコン膜をマスクとして前記シリコン基板に不純物を注入し、N型ソース・ドレインウェルおよびP型ソース・ドレインウェルを形成する工程と、
前記シリコン基板の全面に第1の金属膜を形成する工程と、
熱処理によって、前記N型ソース・ドレインウェルおよび前記P型ソース・ドレインウェルの上に前記第1の金属膜がシリサイド化された第1の金属シリサイド膜を形成する工程と、
前記第1の金属シリサイド膜形成後の前記シリコン基板の上に層間絶縁膜を形成する工程と、
前記層間絶縁膜を加工して、前記ハードマスクの表面を露出させる工程と、
前記NMOSFETの領域から露出している前記ハードマスクを選択的に除去する工程と、
前記ハードマスク除去後に露出した前記第1のシリコン膜を選択的に除去する工程と、
前記第1のシリコン膜除去後に露出した前記第1のゲート絶縁膜を選択的に除去し、前記シリコン基板に至る第1の溝部を形成する工程と、
前記第1の溝部の内面に第2のゲート絶縁膜を形成する工程と、
前記第2のゲート絶縁膜の上に第2のシリコン膜を形成する工程と、
前記第1の溝部を除いて前記第2のゲート絶縁膜および前記第2のシリコン膜を除去する工程と、
前記シリコン基板の全面に第2の金属膜を形成する工程と、
熱処理によって、前記第2のシリコン膜を前記第2の金属膜がシリサイド化された第2の金属シリサイド膜に変える工程と、
前記PMOSFETの領域にある前記ハードマスクを選択的に除去する工程と、
前記ハードマスク除去後に露出した前記第1のシリコン膜を選択的に除去し、前記第1のゲート絶縁膜に至る第2の溝部を形成する工程と、
前記第2の溝部の内部に金属および金属窒化物の少なくとも一方を埋め込む工程とを有することを特徴とする半導体装置の製造方法。
In a method for manufacturing a semiconductor device composed of an NMOSFET and a PMOSFET,
Forming a first gate insulating film on a silicon substrate provided with an element isolation region, an N-type well region, and a P-type well region;
Forming a first silicon film on the first gate insulating film;
Forming a hard mask on the first silicon film;
Processing the first silicon film into the shape of a gate electrode using the hard mask;
Implanting impurities into the silicon substrate using the hard mask and the first silicon film as a mask to form an N-type extension region and a P-type extension region;
Forming a sidewall insulating film on a sidewall portion of the hard mask and the first silicon film;
Implanting impurities into the silicon substrate using the hard mask having the sidewall insulating film formed thereon and the first silicon film as a mask to form an N-type source / drain well and a P-type source / drain well;
Forming a first metal film on the entire surface of the silicon substrate;
Forming a first metal silicide film in which the first metal film is silicided on the N-type source / drain well and the P-type source / drain well by heat treatment;
Forming an interlayer insulating film on the silicon substrate after forming the first metal silicide film;
Processing the interlayer insulating film to expose a surface of the hard mask;
Selectively removing the hard mask exposed from the region of the NMOSFET;
Selectively removing the first silicon film exposed after removing the hard mask;
Selectively removing the first gate insulating film exposed after the removal of the first silicon film to form a first groove portion reaching the silicon substrate;
Forming a second gate insulating film on the inner surface of the first groove,
Forming a second silicon film on the second gate insulating film;
Removing the second gate insulating film and the second silicon film except for the first trench,
Forming a second metal film on the entire surface of the silicon substrate;
Changing the second silicon film into a second metal silicide film obtained by silicidation of the second metal film by heat treatment;
Selectively removing the hard mask in the region of the PMOSFET;
Selectively removing the first silicon film exposed after removing the hard mask to form a second trench reaching the first gate insulating film;
And a step of burying at least one of metal and metal nitride in the second groove.
NMOSFETとPMOSFETとで構成される半導体装置の製造方法において、
素子分離領域、N型ウェル領域およびP型ウェル領域が設けられたシリコン基板の上に犠牲ゲート絶縁膜を形成する工程と、
前記犠牲ゲート絶縁膜の上に犠牲ゲート電極を形成する工程と、
前記犠牲ゲート電極をマスクとして前記シリコン基板に不純物を注入し、N型エクステンション領域およびP型エクステンション領域を形成する工程と、
前記犠牲ゲート電極の側壁部に側壁絶縁膜を形成する工程と、
前記側壁絶縁膜が形成された前記犠牲ゲート電極をマスクとして前記シリコン基板に不純物を注入し、N型ソース・ドレインウェルおよびP型ソース・ドレインウェルを形成する工程と、
前記犠牲ゲート電極を埋め込むようにして、前記シリコン基板の上に層間絶縁膜を形成する工程と、
前記層間絶縁膜を加工して前記犠牲ゲート電極の表面を露出させる工程と、
露出した前記犠牲ゲート電極を選択的に除去する工程と、
前記犠牲ゲート電極の除去後に露出した前記犠牲ゲート絶縁膜を選択的に除去し、前記シリコン基板に至る溝部を形成する工程と、
前記溝部の内面にゲート絶縁膜を形成する工程と、
前記ゲート絶縁膜形成後の前記溝部の内面に沿うようにして金属膜を形成する工程と、
前記金属膜形成後の前記溝部について、前記NMOSFETの領域にある前記溝部の内面に沿うようにして前記金属膜の上にシリコン膜を選択的に形成する工程と、
熱処理により前記シリコン膜と前記金属膜を反応させて、前記溝部の内面に沿うように金属シリサイド膜を形成する工程と、
前記PMOSFETの領域にある前記溝部の内面を除いて未反応の前記金属膜を除去する工程とを有することを特徴とする半導体装置の製造方法。
In a method for manufacturing a semiconductor device composed of an NMOSFET and a PMOSFET,
Forming a sacrificial gate insulating film on a silicon substrate provided with an element isolation region, an N-type well region and a P-type well region;
Forming a sacrificial gate electrode on the sacrificial gate insulating film;
Implanting impurities into the silicon substrate using the sacrificial gate electrode as a mask to form an N-type extension region and a P-type extension region;
Forming a sidewall insulating film on the sidewall portion of the sacrificial gate electrode;
Implanting impurities into the silicon substrate using the sacrificial gate electrode on which the sidewall insulating film is formed as a mask to form an N-type source / drain well and a P-type source / drain well;
Forming an interlayer insulating film on the silicon substrate so as to embed the sacrificial gate electrode;
Processing the interlayer insulating film to expose the surface of the sacrificial gate electrode;
Selectively removing the exposed sacrificial gate electrode;
Selectively removing the sacrificial gate insulating film exposed after removal of the sacrificial gate electrode, and forming a trench reaching the silicon substrate;
Forming a gate insulating film on the inner surface of the groove,
Forming a metal film along the inner surface of the groove after the gate insulating film is formed;
A step of selectively forming a silicon film on the metal film along the inner surface of the groove in the region of the NMOSFET with respect to the groove after the metal film is formed;
Reacting the silicon film and the metal film by heat treatment to form a metal silicide film along the inner surface of the groove;
And a step of removing the unreacted metal film except for the inner surface of the groove in the region of the PMOSFET.
NMOSFETとPMOSFETとで構成される半導体装置の製造方法において、
素子分離領域、N型ウェル領域およびP型ウェル領域が設けられたシリコン基板の上に犠牲ゲート絶縁膜を形成する工程と、
前記犠牲ゲート絶縁膜の上に犠牲ゲート電極を形成する工程と、
前記犠牲ゲート電極をマスクとして前記シリコン基板に不純物を注入し、N型エクステンション領域およびP型エクステンション領域を形成する工程と、
前記犠牲ゲート電極の側壁部に側壁絶縁膜を形成する工程と、
前記側壁絶縁膜が形成された前記犠牲ゲート電極をマスクとして前記シリコン基板に不純物を注入し、N型ソース・ドレインウェルおよびP型ソース・ドレインウェルを形成する工程と、
前記犠牲ゲート電極を埋め込むようにして、前記シリコン基板の上に層間絶縁膜を形成する工程と、
前記層間絶縁膜を加工して前記犠牲ゲート電極の表面を露出させる工程と、
露出した前記犠牲ゲート電極を選択的に除去する工程と、
前記犠牲ゲート電極の除去後に露出した前記犠牲ゲート絶縁膜を選択的に除去し、前記シリコン基板に至る溝部を形成する工程と、
前記溝部の内面にゲート絶縁膜を形成する工程と、
前記ゲート絶縁膜形成後の前記溝部の内面に沿うようにして金属窒化膜を形成する工程と、
前記金属窒化膜形成後の前記溝部について、前記NMOSFETの領域にある前記溝部の内面に沿うようにして前記金属窒化膜の上にシリコン膜および金属膜からなる積層膜を選択的に形成する工程と、
熱処理により前記シリコン膜と前記金属膜を反応させて、前記溝部の内面に沿うように金属シリサイド膜を形成する工程と、
前記金属シリサイド膜の下層と、前記PMOSFETの領域にある前記溝部の内面とを除いて前記金属窒化膜を除去する工程とを有することを特徴とする半導体装置の製造方法。
In a method for manufacturing a semiconductor device composed of an NMOSFET and a PMOSFET,
Forming a sacrificial gate insulating film on a silicon substrate provided with an element isolation region, an N-type well region and a P-type well region;
Forming a sacrificial gate electrode on the sacrificial gate insulating film;
Implanting impurities into the silicon substrate using the sacrificial gate electrode as a mask to form an N-type extension region and a P-type extension region;
Forming a sidewall insulating film on the sidewall portion of the sacrificial gate electrode;
Implanting impurities into the silicon substrate using the sacrificial gate electrode on which the sidewall insulating film is formed as a mask to form an N-type source / drain well and a P-type source / drain well;
Forming an interlayer insulating film on the silicon substrate so as to embed the sacrificial gate electrode;
Processing the interlayer insulating film to expose the surface of the sacrificial gate electrode;
Selectively removing the exposed sacrificial gate electrode;
Selectively removing the sacrificial gate insulating film exposed after removal of the sacrificial gate electrode, and forming a trench reaching the silicon substrate;
Forming a gate insulating film on the inner surface of the groove,
Forming a metal nitride film along the inner surface of the groove after forming the gate insulating film;
A step of selectively forming a laminated film made of a silicon film and a metal film on the metal nitride film along the inner surface of the groove in the region of the NMOSFET with respect to the groove after forming the metal nitride film; ,
Reacting the silicon film and the metal film by heat treatment to form a metal silicide film along the inner surface of the groove;
And a step of removing the metal nitride film except for a lower layer of the metal silicide film and an inner surface of the groove in the region of the PMOSFET.
JP2004329639A 2004-03-19 2004-11-12 Semiconductor device and manufacturing method therefor Pending JP2005303261A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2004329639A JP2005303261A (en) 2004-03-19 2004-11-12 Semiconductor device and manufacturing method therefor

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2004081136 2004-03-19
JP2004329639A JP2005303261A (en) 2004-03-19 2004-11-12 Semiconductor device and manufacturing method therefor

Publications (1)

Publication Number Publication Date
JP2005303261A true JP2005303261A (en) 2005-10-27

Family

ID=35334356

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004329639A Pending JP2005303261A (en) 2004-03-19 2004-11-12 Semiconductor device and manufacturing method therefor

Country Status (1)

Country Link
JP (1) JP2005303261A (en)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005311058A (en) * 2004-04-21 2005-11-04 Rohm Co Ltd Semiconductor device and its manufacturing method
JP2006080133A (en) * 2004-09-07 2006-03-23 Renesas Technology Corp Semiconductor device and its manufacturing method
JP2007184584A (en) * 2005-12-30 2007-07-19 Internatl Business Mach Corp <Ibm> Metal gate electrode and high-performance circuit having polygate electrode
WO2007122667A1 (en) * 2006-03-29 2007-11-01 Fujitsu Limited Semiconductor device and its manufacturing method
WO2007138692A1 (en) * 2006-05-31 2007-12-06 Fujitsu Limited Semiconductor device and method for manufacturing same
JP2008277753A (en) * 2007-04-06 2008-11-13 Panasonic Corp Semiconductor device and its manufacturing method
JP2012004455A (en) * 2010-06-18 2012-01-05 Sony Corp Method of manufacturing semiconductor device, and semiconductor device
WO2013082474A1 (en) * 2011-11-30 2013-06-06 Ulterius Technologies, Llc Computer chip architecture
US8581350B2 (en) 2006-11-06 2013-11-12 Renesas Electronics Corporation Field effect transistor and semiconductor device, and method for manufacturing same

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10303412A (en) * 1997-04-22 1998-11-13 Sony Corp Semiconductor device and fabrication thereof
JP2002093921A (en) * 2000-09-11 2002-03-29 Hitachi Ltd Method of manufacturing semiconductor device

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10303412A (en) * 1997-04-22 1998-11-13 Sony Corp Semiconductor device and fabrication thereof
JP2002093921A (en) * 2000-09-11 2002-03-29 Hitachi Ltd Method of manufacturing semiconductor device

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005311058A (en) * 2004-04-21 2005-11-04 Rohm Co Ltd Semiconductor device and its manufacturing method
JP4504727B2 (en) * 2004-04-21 2010-07-14 ローム株式会社 Semiconductor device and manufacturing method thereof
JP2006080133A (en) * 2004-09-07 2006-03-23 Renesas Technology Corp Semiconductor device and its manufacturing method
JP2007184584A (en) * 2005-12-30 2007-07-19 Internatl Business Mach Corp <Ibm> Metal gate electrode and high-performance circuit having polygate electrode
WO2007122667A1 (en) * 2006-03-29 2007-11-01 Fujitsu Limited Semiconductor device and its manufacturing method
JP5262711B2 (en) * 2006-03-29 2013-08-14 富士通セミコンダクター株式会社 Semiconductor device and manufacturing method thereof
WO2007138692A1 (en) * 2006-05-31 2007-12-06 Fujitsu Limited Semiconductor device and method for manufacturing same
US8581350B2 (en) 2006-11-06 2013-11-12 Renesas Electronics Corporation Field effect transistor and semiconductor device, and method for manufacturing same
JP2008277753A (en) * 2007-04-06 2008-11-13 Panasonic Corp Semiconductor device and its manufacturing method
JP2012004455A (en) * 2010-06-18 2012-01-05 Sony Corp Method of manufacturing semiconductor device, and semiconductor device
WO2013082474A1 (en) * 2011-11-30 2013-06-06 Ulterius Technologies, Llc Computer chip architecture

Similar Documents

Publication Publication Date Title
JP5326274B2 (en) Semiconductor device and manufacturing method of semiconductor device
JP5151303B2 (en) Manufacturing method of semiconductor device
TWI469262B (en) Manufacturing method of semiconductor device and semiconductor device
US10062769B2 (en) Methods of fabricating semiconductor devices
JP2007258267A (en) Semiconductor device and manufacturing method therefor
JP2009194352A (en) Semiconductor device fabrication method
TWI650798B (en) Semiconductor component structure and method of forming same
JP2009026997A (en) Semiconductor device, and manufacturing method thereof
US20070108530A1 (en) Semiconductor device and method for manufacturing the same
JP2008140853A (en) Semiconductor device and method of manufacturing the same
JP5117740B2 (en) Manufacturing method of semiconductor device
US7989896B2 (en) Semiconductor device and method of fabricating the same
JP2007201063A (en) Semiconductor device and manufacturing method thereof
JP2006196646A (en) Semiconductor device and its manufacturing method
JP2006128427A (en) Semiconductor device and manufacturing method therefor
JP2006156807A (en) Semiconductor device and its manufacturing method
JP2005303261A (en) Semiconductor device and manufacturing method therefor
JP4058022B2 (en) Manufacturing method of semiconductor device
JP2009267118A (en) Method for manufacturing semiconductor device, and semiconductor device
JP2008288364A (en) Semiconductor device, and manufacturing method of semiconductor device
JP2009059761A (en) Semiconductor device and manufacturing method of semiconductor device
JP2007173347A (en) Semiconductor device and its manufacturing method
JP2008103613A (en) Semiconductor device and manufacturing method thereof
JP2008171910A (en) Method for manufacturing semiconductor device
JP2006086467A (en) Semiconductor device and method of manufacturing the same

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20071015

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100222

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100302

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100629