JP2004534377A - 集積回路を平坦化するための粘性保護オーバレイ層 - Google Patents

集積回路を平坦化するための粘性保護オーバレイ層 Download PDF

Info

Publication number
JP2004534377A
JP2004534377A JP2002560191A JP2002560191A JP2004534377A JP 2004534377 A JP2004534377 A JP 2004534377A JP 2002560191 A JP2002560191 A JP 2002560191A JP 2002560191 A JP2002560191 A JP 2002560191A JP 2004534377 A JP2004534377 A JP 2004534377A
Authority
JP
Japan
Prior art keywords
copper
planarization
etching
viscous
metal surface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2002560191A
Other languages
English (en)
Inventor
マクハージー,シヤーマ
デベアー,ドナルド
リーバート,ジヨージフ
Original Assignee
ハネウエル・インターナシヨナル・インコーポレーテツド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ハネウエル・インターナシヨナル・インコーポレーテツド filed Critical ハネウエル・インターナシヨナル・インコーポレーテツド
Publication of JP2004534377A publication Critical patent/JP2004534377A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F3/00Brightening metals by chemical means
    • C23F3/04Heavy metals
    • C23F3/06Heavy metals with acidic solutions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • Y10S977/701Integrated with dissimilar structures on a common substrate
    • Y10S977/712Integrated with dissimilar structures on a common substrate formed from plural layers of nanosized material, e.g. stacked structures
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • Y10S977/788Of specified organic or carbon-based composition

Landscapes

  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Weting (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

本発明は、集積回路の作製において、通常経験する表面の平坦化、特に、ダマシン配線部およびデュアルダマシン配線部において経験する銅導体およびTa/TaN障壁層に関する。本発明は、通常、下部表面トポグラフィ8、9、10の領域にある傾向がある粘性オーバレイ層13を利用し、化学的作用と機械的作用の組合せによって、前記下部領域をエッチングから保護する、Cu/Ta/TaN配線部用の平坦化法を記載する。実施形態の中のあるものは、粘性オーバレイ層が、粘性層と接触する表面領域からの銅の除去を妨げる種を含有する。こうした種は、数ある添加剤の中の、銅イオンのほぼ飽和した溶液であってよく、それによって、配線部の銅が保護オーバレイ層内に溶解するのを妨げる。本発明の実施形態の中のあるものは、通常、ウェハが平坦化中に回転している間に、粘性オーバレイ層を、エッチャントの導入前にウェハ表面に付加するか、または、エッチャントと粘性オーバレイ層の両方を、ほぼ同時に導入することができる。

Description

【技術分野】
【0001】
本発明は、集積回路作製中の表面の平坦化、および窪んだ表面領域のエッチングを遅延させる(retarding)粘性保護オーバレイ層に関する。より詳細には、本発明は、銅表面およびタンタル/窒化タンタル障壁層の平坦化、ならびに、平坦化を促進し、かつ、大きな銅ダマシンフィーチャ(feature)および大きな銅デュアルダマシンフィーチャの平坦化において通常発生するディッシングを軽減する粘性オーバレイ層の使用に関する。
【背景技術】
【0002】
集積回路(「IC」)の性能を向上させることは、通常、ウェハ上の素子密度を上げること、およびICがその機能を実行する速度を上げることを要求する。素子密度を上げるには、通常、ウェハ上の導電性トレンチおよび導電性バイア(「配線部(interconnects)」)のサイズを減らすことが要求される。しかし、電流を運ぶ導体の断面積を減らすことは、同じ導電性材料に対する電気抵抗を増やし、電気抵抗の増加は、回路性能を劣化させ、配線部の加熱を増加させる。現在のIC技術は、通常、タングステン(W)配線およびアルミニウム(Al)配線および/またはこれらの材料を含有する合金を利用する。両方の金属、AlおよびW、ならびにそれらの通常の合金は、現在のデバイスで使用するのに適当な導電率を有するが、将来の世代のICは、好ましくは、高い導電率材料を利用するであろう。銅(Cu)は主要な候補の中の1つである。
【0003】
Cuは、現行のIC配線材料より導電率が高い利点を有するが、いくつかの欠点を有する。Cuは、IC作製時に通常使用される他の材料を通して、容易にかつ広く拡散する非常に拡散性のある汚染物質であり、拡散時にIC性能を著しく劣化させる。タンタル(Ta)および窒化タンタル(TaN)は、Cu蒸着の前に蒸着されることができ、それによって、Cuが周辺材料内に拡散するのを妨げる、有望な障壁材料、すなわち「ライナ」として確認されている。銅IC配線部および他の微小電子技術の最近の概観は、「Copper−Fundamental Mechanisms for Microelectronic Applications」(John Wiley、2000)において、Shyam P.Murarka、Igor V.VernerおよびRonald J.Gutmannによって示されている。
【0004】
平坦化は、現代のIC素子で必要とする精度でパターニングし、エッチすることができる平坦で、滑らかな表面を提供する、多層ICの作製において必要なステップである。従来の平坦化技法は、当技術分野で知られている化学機械平坦化(CMP)であり、教科書(たとえば、Joseph M.Steigerwald、Shyam P.MurarkaおよびRonald J.Gutmanによる「Chemical Mechanical Planarization of Microelectronic Materials」(1997))に記載されている。CMPは、アブレーシブスラリーが研磨パッドとウェハの間に介在した状態で平坦化されるはずのウェハと機械接触するようにされる研磨パッドを利用する。ウェハに対する研磨パッドの相対運動(通常は回転)によって、機械的アブレーションによるウェハの研磨がもたらされ、次に、エッチング溶液に含有される適当な反応性化学薬品によって、ウェハの化学的エッチングが行われる。非接触平坦化もまた提案されており、非接触平坦化では、事実上、ウェハ上に機械的アブレーションが生ぜず、化学的作用によって平坦化する。こうした非接触平坦化の一技法は、回転するウェハおよび適当なエッチング化学薬品を利用しており(「スピンエッチ平坦化」)、出願番号09/356,487(参照により本明細書に組み込まれる)に記載されている。非接触平坦化のいくつかの態様は、J.Levert、S.MukherjeeおよびD.DeBear著「Spin Etch Planarization Process for Copper Damascene Interconnects」Proceedings of SEMI Technology Symposium 99、December 1−3、1999、pp.4−73から4−82によって報告された。J.Levert、S.Mukherjee、D.DeBearおよびM.Fury著「A Novel Spin−Etch Planarization Process for Dual−Damascene Copper Interconnects」Electrochemical Society Conference、October 1999、p.162 ffもまた参照されたい。上述の全てにおいて引用された参考文献を含めて、Shyama P.Mukherjee、Joseph A.LevertおよびDonald S.DeBear著「Planarization of Copper Damascene Interconnects by Spin−Etch Process: A Chemical Approach」MRS Spring Meeting、San Francisco、Calfornia、April 27、2000もまた参照されたい。
【0005】
他の銅平坦化方法は、Moslehiの方法(WO99/14800号)、およびCantoliniおよび共同研究者によって述べられている電気化学技法または電解研磨技法(J.Electrochem.Soc.Vol.141、No.9、pp.2503−2510、Sept.1994およびWO92/07118)を含む。
【発明の開示】
【発明が解決しようとする課題】
【0006】
本発明は、ICの作製におけるCu/Ta/TaNを平坦化するための改良された方法に関する。本発明は、スピンエッチ平坦化、従来のCMP、または当業者に明らかな他の平坦化技法と共に使用することができる。
【課題を解決するための手段】
【0007】
本発明は、集積回路の作製において通常経験する表面、特に、ダマシン配線部およびデュアルダマシン配線部において経験する銅導体およびTa/TaN障壁層の平坦化に関する。議論を明確にするために、現在、技術的関心がかなりある例、銅表面およびTa/TaN障壁層の平坦化を考える。本明細書で開示する発明を他のシステムの平坦化へ適用することが、当業者には明らかになるであろう。
【0008】
銅層の蒸着に続いて、銅層の上面の表面トポグラフィが、平坦化プロセスによって除去されるはずであり、それによって、周辺の誘電体と同じ平面になるほぼ平坦な導体が得られる。「ディッシング」は、誘電体表面の平面の下にある銅配線部に凹部領域を形成する傾向に関連する。ディッシングは、大きな配線部の平坦化において、通常最も顕著な問題である。
【0009】
本発明は、通常、下部表面トポグラフィの領域にとどまる傾向がある粘性オーバレイ層を利用し、前記下部領域を、化学的および機械的作用の組合せによるエッチングから保護する、Cu/Ta/TaN配線部用の平坦化法を記載する。同時に、表面トポグラフィの保護の程度が低い***領域は優先的に(preferentially)除去される。いくつかの実施形態において、粘性オーバレイ層は、粘性層と接触している表面領域から銅が除去されるのを妨ぐ種を含有する。こうした種は、銅イオンのほぼ飽和した溶液であってよく、それによって、配線部の銅が保護オーバレイ層内に溶解するのが妨げられる。銅表面が溶解することを抑制する、または銅表面をパッシベートする、とりわけ、キノリンまたはベンゾトリアゾールを含む他の種を、粘性オーバレイ層に含むことができる。本発明のいくつかの実施形態において、粘性オーバレイ層を、ウェハ表面にエッチャントを導入する前に付加してもよいし、または、通常、ウェハが平坦化中に回転している時に、エッチャントおよび粘性オーバレイ層の両方を、ほぼ同時に導入してもよい。一実施形態は、集積回路の配線部の作製において金属表面を平坦化する方法に関連しており、その方法は、前記金属表面上に保護流体を導入すること、前記金属表面にわたって前記保護流体を分散させること、エッチング溶液を前記金属表面上に導入することであって、それによって、前記保護流体の粘度が前記エッチング溶液の粘度を超え、それによって、前記保護層が占める前記表面領域の前記表面のエッチングが妨げられること、ならびに、前記金属表面を平坦にエッチングすることを含む。
【0010】
本明細書の図面は、概略的であり、一定尺度になっていない。
【発明を実施するための最良の形態】
【0011】
以下の説明および図において、同じ参照番号は、同じ要素を特定するように使用されている。本明細書で提示されている図面は、概略的であり、一定尺度になっていない。
【0012】
ダマシンプロセスおよびデュアルダマシンプロセスの多くの変形形態が、当技術分野で知られている。たとえば、「Copper−Fundamental Mechanisms for Microelectronic Applications」(前掲書)、pp.265−311およびその中に引用されている参考文献(参照により本明細書に組み込む)を参照されたい。本明細書で提示されているダマシンプロセスおよびデュアルダマシンプロセスの例は、例示的であるだけであって、本発明の範囲すなわち適応性を制限することを意図しない。
【0013】
図1は、平坦化前の、典型的なダマシン金属配線構造(「配線部」)の断面図を示す。絶縁誘電体層1(通常、「層間誘電体」またはILDと呼ばれる)は、数ある技法の中で、通常、フォトリソグラフィ、プラズマエッチングによってパターニングされる。障壁層2は、通常、パターニングされた誘電体上に蒸着され、その後、導体3の蒸着が行われる。典型的な障壁層は、銅導体3と共に使用するために、通常、タンタル/窒化タンタル(「Ta/TaN」)である。説明を具体的にするために、Ta/TaN障壁層を用いた銅配線部の平坦化に関連する特定の例が説明されるが、それによって、本発明の範囲を制限することを意図しない。他のシステムに対する変更または拡張は、当業者には明らかであり、本発明の範囲内に含まれる。
【0014】
実際には、金属層3を蒸着する通常の手段(とりわけ電着、CVD、PVD)は、リップ部まで確実に(precisely)フィーチャを充填しないか、または誘電体と同じ平面になる導体表面を形成しない。そこで、一般的な手法は図1に示してある手法であって、導体3は、バイアおよびトレンチ5などの配線部フィーチャを充填するのに加えて、フィーチャの間の平坦な「フィールド」領域4をコーティングして、金属層が全誘電体表面の上にあるようにする。通常、コーティングプロセスは、コーティングされている下地のILDフィーチャのサイズのばらつきのために、銅層の平坦でない表面トポグラフィを生ずる。表面構造を図8、9および10に示す。後続の処理によって、銅材料および障壁層が除去されて、数ある処理ステップの中で追加の材料蒸着およびパターニングに適した配線部表面であって、誘電体1の表面と理想的に同じ平面になる配線部表面を形成するようにする。図2は、平坦化の理想的な結果を示しており、全ての銅および障壁層材料がフィールド領域4から除去され、銅導体3の上面は、平坦で、ILD1の上面と同じ高さになる。
【0015】
図3Aは、パターニング、フィーチャ内およびフィールド領域上での、障壁層の蒸着および金属層の蒸着後の典型的なデュアルダマシンフィーチャを示す。バイア6およびトレンチ7は、障壁層2でコーティングされ、銅3で充填され、その結果、下地のトレンチ構造およびバイア構造を反映する表面トポグラフィ20を生ずる。
【0016】
ダマシン配線部またはデュアルダマシン配線部のいずれかの平坦化の第1ステップは、フィールド領域およびフィーチャ領域上の金属の除去、すなわちフィールド領域内の障壁層の露出である。理想的には、フィールド金属は、フィーチャ内の金属の上面が周囲フィールドと同じ平面になるまで平坦にする方法で除去される。図3Bに示す一実施形態は、フィールド障壁層2について、コプラナリティ(coplanarity)が達成されるまで除去されたフィールド金属を示す。金属除去方法のうちのあるものは、異なる方法で金属を除去し、図3Bに示すフィールド領域上の露出した障壁層をもたらす。金属除去方法のうちのあるものは、銅フィールド平坦化ステップの終点を示す「エッチストップ」として障壁層を使用する。通常、障壁層を除去しない(または、障壁層を銅層よりずっと遅い速度で除去する)銅除去プロセスは、障壁層が露出した時に、フィールド領域上で効果的に終了するであろう。しかし、障壁層が露出した時にエッチャントが速く除去されなければ、エッチングが銅フィーチャ内に継続して、フィーチャ内の銅の上面に凹部構造をもたらすであろう(「ディッシング」)。ディッシングの低減または回避は、本発明の一目的である。
【0017】
図3Bに示す障壁層の露出に続いて、障壁層は、通常、図3Cに示す、金属充填フィーチャと誘電体のコプラナリティを保持するための、十分な金属の除去に加えて、後続の平坦化によって除去される。平坦化方法が障壁層と銅の除去における実質的に1:1の選択性を達成する場合、図3Aから図3Cまでの直接平坦化を、1ステップで行うことができる。
【0018】
図1、2、3Bおよび3Cに示す構造と同様な構造をもたらす方法によって、ダマシン配線部およびデュアルダマシン配線部を作成することは、実際にはまれに実現される理想化されたものである。銅ダマシン配線部および銅デュアルダマシン配線部の実際の平坦化は、いくつかの問題を含んでおり、その中の2つは、本発明の主要な関心事、すなわち、表面トポグラフィおよびディッシングである。
【0019】
表面トポグラフィ
図1は、パターニングした誘電体上に銅を蒸着する時(通常、障壁層2が銅3と誘電体1の間に介在した状態で)に生ずる可能性のある一タイプの不完全性の、概略的な(かつ、一定尺度ではない)断面図である。銅は、ほぼコンフォーマルに(conformally)、被覆され(plating)、または蒸着される傾向があり、銅層の表面に、より大きな下地のトポグラフィのフィーチャを再生する傾向がある。したがって、より大きなフィーチャ、通常はトレンチの窪んだ特徴が、銅表面において窪んだ表面トポグラフィ9および10として現れる可能性がある。しかし、バイアを含むより小さなフィーチャでさえも、何らかの表面トポグラフィ8を示す可能性がある。銅表面のこの不均一な構造は、平坦化プロセスにおいて除去されるべきであり、平坦化が材料のコンフォーマルな除去でないことが好ましいことを示している。すなわち、銅除去プロセスは、平坦化を達成するために、不均一な表面の高所の領域から材料を優先的に除去しなければならない。従来のCMPは、材料の化学的除去に加えて機械的力を与えることを含む。機械的力は、研磨パッドと近接するように突き出る表面の***部上で大きくなる傾向があり、***部における材料の除去速度が大きくなることにつながる。非接触化学エッチングは、***トポグラフィフィーチャの優先的な除去が起こり、平坦化される他のモードを必要とする。スピンエッチ平坦化における最近の開発は、参照により本明細書に組み込まれる、特許第09/356,487号に記載される平坦度を達成するために、拡散制御反応、平衡化酸化低減反応、セルフガルバニックマイクロカップル(self−galvanic microcouples)および/またはエッチ制御用添加剤を利用する。したがって、銅配線部の効果的な平坦化を達成する時に対処すべき1つの問題は、金属を除去しながら、表面トポグラフィを効果的に平滑化することである。
【0020】
ディッシング
図3Aは、平坦化前の、トレンチおよびバイアを含む典型的なデュアルダマシン配線部構造の断面図を示す。コンフォーマルな被覆から生ずる、表面トポグラフィ8、9および10が、デュアルダマシン配線部にも存在する可能性がある。この付加的な作用を省略して、ディッシングに的を絞ることで説明を単純にする。一般に、ディッシングおよび表面トポグラフィの両方が存在し、効果的で、実用的な平坦化方法の設計においては、両方の作用が同時に考慮される必要があるであろう。
【0021】
完全な平坦化は、フィーチャ7の上面が、フィールド領域上の障壁層の上面と同じ平面になるまで銅を除去する。理想的な平坦化は、銅層と同じ速度で障壁層2を除去するであろう。すなわち、実質的に1:1の選択性である。したがって、単一の処理ステップで銅層と障壁層の両方を除去する、1ステップ平坦化を使用することができる。表面トポグラフィが平坦化に影響を及ぼさず、障壁層が除去され、ILD1とのコプラナリティが生ずるとすぐエッチングが停止されるプロセスについて、理想的な結果が図3Cに示されるであろう。しかし、この理想的な状況は実際にはたびたびは得られない。図3Aの配線部の従来の平坦化は、フィーチャの内部領域の銅を除去して、図3Dで11として示すディッシュ状幾何学的形状を生ずる。ディッシングは、フィールド領域の上にある、フィールド領域銅4および障壁層2を除去することの一般的な好ましくない副作用である。
【0022】
しかし、障壁層は、通常、Ta/TaNであり、障壁層を除去するのにかなり強烈なエッチャントが必要となる。第1セットのエッチャントが銅を除去し、他のエッチャントが障壁層を除去する、2ステップ平坦化プロセスを使用することができる。こうしたエッチャントの使用は、そのエッチャントが保護されていないCu表面に塗布される場合、銅の除去速度がより速くなることが多い。11として示すディッシングは、好ましくない結果である。ディッシングは、より大きなフィーチャ、通常、約10μm(10ミクロン)を超えるフィーチャ寸法10の場合に、より深刻な問題になりがちであることが留意されるべきである。現代の集積回路技術は、50μmほどの、またはそれを超えるフィーチャ寸法を平坦化しようとする場合があり、その寸法によってディッシングが実用上の深刻な問題になる。
【0023】
平坦化が2ステッププロセスによって行われる場合、銅の除去とそれに続く障壁層の除去において、いくつかの要素を考慮する必要がある。第1ステップで採用した化学薬品は、銅を選択的に化学研磨または除去できなければならない。この例において、平坦化を受ける全表面にわたっての銅除去の不均一性は、ディッシングを回避する時に重要である。銅領域の中のある領域は、(障壁層まで、または障壁層と同じ平面になるように)銅を取り除かれるが、他の領域は取り除かれない場合、残った銅が、洗浄されなかったエリアから除去されると、取り除かれたエリアでのディッシングが予想される。したがって、2つの一般的なディッシングの原因がある。すなわち、1)第1除去ステップにおける銅除去の不均一性。2)より小さなフィーチャより速い速度での大きなフィーチャの優先的なエッチングまたは研磨。
【0024】
本発明は、ディッシングの問題を軽減し、またはなくす、銅ダマシン配線部または銅デュアルダマシン配線部の平坦化のためのいくつかの実施形態を提供する。さらに、本発明のいくつかの実施形態は、コンフォーマルな被覆(図1)から生ずる表面トポグラフィを有する銅表面を平坦化することができる。種々の実施形態が、種々の処理環境で種々の利点を提供する。
【0025】
粘性保護オーバレイ層
不均一な金属表面(たとえば、図1)の平坦化は、表面の***部の優先的なエッチングを必要とする。本発明の実施形態のうちのあるものは、パッシベートする金属、銅または化学的抑制化合物によって優先的に飽和した、粘性流体オーバレイ層の形態の保護オーバレイ層またはパシベーションオーバレイ層を利用する。本明細書で使用される「保護流体」は、被覆された表面領域(複数可)のエッチングを妨げるか、または遅延させる傾向のある、表面上のオーバレイ層を意味する。一実施形態は、図4Bで概略的に粘性流体13を示す。粘性流体13は、表面上に堆積し(通常、表面12上で回転させ、分散させることによって)、図4Bの13で示す窪んだ領域に集まる傾向があり、表面のほぼ平坦な上面を与える。下部表面領域に集中する傾向のある粘性流体の存在は、下部領域をエッチャントによる攻撃からシールドする、生成したオーバレイ層によって、表面のその部分からのエッチングを遅延させるのに加えて、抑制層を金属表面に近接するように組み込むことによって(通常、オキシダントは組み込まれない)金属除去を遅延させる。この抑制層の一実施形態は、パッシベートする金属イオンまたは銅イオン、あるいはエッチャントの存在下で銅除去を抑制することが知られている他の物質によって飽和した粘性層である。インヒビタの例は、とりわけ、キノリン、ベンゾトリアゾールを含む。
【0026】
一般に、粘性流体は、粘性流体とエッチング試薬の間の、はっきりとした境界のないグラジエント部(gradient)内に形成されるであろう。しかし、それでも、エッチャントより大きな(または大幅に大きな)粘度を有する保護層を選ぶことによって、粘性流体が下部表面領域に優先的に集中し、金属除去の速度が遅延することになるである。粘性オーバレイ層の使用は、特許出願第09/356,487号に記載されるスピンエッチ平坦化などの非接触平坦化と共に効果的に実施され、その特許では、ウェハの回転によって遠心力が生じ、粘性保護流体よりもエッチャントをより容易に拡散させる。
【0027】
銅配線部表面を保護するための、本発明の実施形態の中のあるものは、銅イオンで飽和した粘性溶液が、通常、エッチャントと共に使用するための、リン酸を含有するリン酸銅の形で調製される。本発明を実施する時の都合の良い1つの選択は、その中に銅塩、通常、とりわけリン酸銅、硝酸銅、酢酸銅、または銅アルコキシドが溶解するリン酸の溶液を使用することである。こうした溶液は、約1.75の密度を有し、オキシダントを欠いてよい。この粘性溶液は、通常、表面上にスピン蒸着され、下部表面領域におけるエッチングを優先的に遅延させる。リン酸銅(または、他のエッチャントと相性のよい(convenient)他の銅塩)でオーバレイ層を飽和させることによって、平坦化を受ける表面からの銅の溶解が妨げられる。
【0028】
本発明の実施形態の中のあるものは、通常、ウェハが回転している間に、ウェハ上に保護流体とエッチャントを同時に導入することを利用する。図5に概略的に示す材料の構成がその結果である。流体層14は、エッチャントおよび粘性流体を、混合物、または分散物として含有する。そして、より粘性のある流体(通常、より濃度の濃い)は、流体層14の下部領域に、そして窪んだ領域に集中する傾向があるであろう。したがって、エッチャントと保護流体の同時導入によって、保護流体がそれを必要とする窪んだ表面領域に優先的に集中することになる。
【0029】
銅を除去する典型的なエッチャント溶液は以下の通りであり、%は、十分に濃厚な試薬の体積%である。
【0030】
2成分試薬:
I.硝酸:1%〜20%、好ましくは3%〜10%
リン酸:残りの溶液
3成分試薬:
I.硝酸:1%〜20%、好ましくは3%〜10%
硫酸:20%〜50%
リン酸:残りの溶液
II.硝酸:10%
酢酸:40%
リン酸:50%
III.硝酸:6%
酢酸:24%
リン酸:70%
過酸化水素を使用して、上述したエッチャント混合物において、全体を、または一部を、硝酸に置換えることができる。
【0031】
エッチングに続いて、付加的な材料層の蒸着に備えて、残留エッチング試薬、保護流体、エッチング副産物などが、ウェハ表面から洗浄される。エッチング後の洗浄は当技術分野でよく知られており、数ある参考文献の中で、Steigerwald等著の、上掲のpp.289−305によって記載されている。
【0032】
添加剤:
本発明の実施形態の中のあるものは、銅の除去が、障壁層の露出およびオーバレイ層の除去につながり、図3Bの構成をもたらす。この時点で、銅層の平坦度を保持しながら、すなわち、ディッシングを回避しながら、障壁層2を除去する必要がある。障壁層、Ta/TaNの除去は、通常、とりわけ、フッ化水素酸、フッ化アンモニウム、臭化アンモニウムなどのかなり強烈な化学エッチャントを必要とする。こうした強烈なエッチャントは、銅をエッチングし、すなわち、障壁層より速い速度で銅をエッチングして、ディッシングを生ずる可能性がある。したがって、障壁層と比較して銅を選択的に保護することが、本発明のこれらの実施形態においては有益である。
【0033】
ディッシングを回避するための一手法は、エッチング溶液に、銅の上で選択的に吸着される選択的添加剤を導入することである。吸着される種は、障壁層の除去が進行することを可能にしながら、銅がさらにエッチングされるのを保護するように選ばれる。添加剤(通常、有機化合物)は、表面エネルギーの高い場所で吸着され、吸着サイトでの銅の蒸着を遅延させる傾向のある「サプレッサ」または「インヒビタ」として、電気めっき分野で知られている。同様な作用は、銅の溶解を妨げることに対して起こる。こうした添加剤は、エッチャントとの相溶性がなければならない(すなわち、エッチャントの存在下で分解されない、すなわち、効果がなくならない)。典型的な添加剤は、硫酸タイプの添加剤、グリコール、または典型的なエッチャントバスと相溶性のある他の添加剤(たとえば、リン酸)を含む。
【0034】
本明細書で記載した平坦化は、銅および電解エッチャント溶液に外部電圧を印加することによってさらに進み、それによって、本明細書で記載した化学的および機械的平坦化作用に、電気化学的作用を付加することができる。
【0035】
本明細書で記載したエッチング手法によって、IC作製時の、Cu/Ta/TaNの平坦化のための改良された方法が得られる。得られるICの性能の向上および/またはプロセススループットの向上が得られる。
【0036】
本発明を詳細に述べてきたが、本開示によって、本明細書で記載した発明の概念の精神から逸脱することなく、本発明に変更を行うことができることを、当業者は理解するであろう。したがって、本発明の範囲は、示され、また述べられた、特定で、好ましい実施形態に限定することは意図されていない。
【図面の簡単な説明】
【0037】
【図1】平坦化前の、典型的なダマシン配線部およびデュアルダマシン配線部を示す断面略図である。
【図2】理想的な平坦化プロセス後の典型的なダマシン配線部およびデュアルダマシン配線部を示す断面略図である。
【図3A】平坦化前の、典型的なダマシン配線部およびデュアルダマシン配線部を示す断面略図である。
【図3B】理想的な平坦化プロセスのために、フィールド銅を除去し、フィールド障壁層を露出させた後の、典型的なダマシン配線部およびデュアルダマシン配線部を示す断面略図である。
【図3C】理想的な平坦化プロセスのために、完全な平坦化を行った後の、典型的なダマシン配線部およびデュアルダマシン配線部を示す断面略図である。
【図3D】従来の(理想的でない)平坦化プロセスに続いて、完全な平坦化を行った後の、典型的なダマシン配線部およびデュアルダマシン配線部を示す断面略図である。
【図4A】全体を被覆する粘性オーバレイ層の塗布を含む、平坦化前の、表面トポグラフィを含む典型的なダマシン配線部およびデュアルダマシン配線部を示す断面略図である。
【図4B】部分的に被覆する粘性オーバレイ層の塗布を含む、平坦化前の、表面トポグラフィを含む典型的なダマシン配線部およびデュアルダマシン配線部を示す断面略図である。
【図5】表面を完全に被覆する粘性オーバレイ層の塗布を含む、平坦化前の、表面トポグラフィを含む典型的なダマシン配線部およびデュアルダマシン配線部を示す断面略図である。

Claims (10)

  1. 集積回路の配線部の作製において、金属表面を平坦化する方法であって、
    a)前記金属表面上に保護流体を導入すること、
    b)前記金属表面にわたって前記保護流体を分散させること、
    c)前記金属表面上にエッチング溶液を導入することであって、それによって、前記保護流体の粘度が、前記エッチング溶液の粘度を超え、それによって、前記保護層が占める前記表面領域において、前記表面のエッチングを妨げること、ならびに、
    d)前記金属表面を平坦にエッチングすることを含む方法。
  2. 前記保護流体は、前記金属表面をエッチングすることができるオキシダントを欠く請求項1に記載の方法。
  3. 前記保護流体の前記金属表面上への前記導入は、十分な量で、前記金属表面の窪んだ領域に対して優先的な保護を提供することである請求項2に記載の方法。
  4. 前記保護流体は、その中に溶解した、前記金属表面を形成する金属のイオンを飽和量だけ含有する請求項3に記載の方法。
  5. 前記金属は銅である請求項4に記載の方法。
  6. 前記保護流体は、その中に溶解した、飽和させる量の銅イオンを含有するリン酸である請求項5に記載の方法。
  7. 前記保護流体および前記エッチング溶液は、ほぼ同時に前記金属表面上に導入される請求項1に記載の方法。
  8. 集積回路用の前駆物質であって、
    a)絶縁誘電体層と、
    b)障壁層と、
    c)導体と、
    d)保護流体と、
    e)エッチング流体とを備え、それによって、前記保護流体の粘度が、前記エッチング流体の粘度を超える前駆物質。
  9. 前記障壁層はタンタル/窒化タンタルである請求項8に記載の前駆物質。
  10. 前記導体は銅である請求項8に記載の前駆物質。
JP2002560191A 2001-01-23 2002-01-22 集積回路を平坦化するための粘性保護オーバレイ層 Withdrawn JP2004534377A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/768,439 US6696358B2 (en) 2001-01-23 2001-01-23 Viscous protective overlayers for planarization of integrated circuits
PCT/US2002/001692 WO2002059962A2 (en) 2001-01-23 2002-01-22 Viscous protective overlayers for planarization of integrated circuits

Publications (1)

Publication Number Publication Date
JP2004534377A true JP2004534377A (ja) 2004-11-11

Family

ID=25082506

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002560191A Withdrawn JP2004534377A (ja) 2001-01-23 2002-01-22 集積回路を平坦化するための粘性保護オーバレイ層

Country Status (7)

Country Link
US (3) US6696358B2 (ja)
EP (1) EP1354347A2 (ja)
JP (1) JP2004534377A (ja)
KR (1) KR20030070127A (ja)
CN (1) CN1488167A (ja)
CA (2) CA2432012A1 (ja)
WO (1) WO2002059962A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019075546A (ja) * 2017-08-25 2019-05-16 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 半導体デバイスの製造中に窒化チタンに対して窒化タンタルを選択的に除去するためのエッチング液

Families Citing this family (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6413388B1 (en) * 2000-02-23 2002-07-02 Nutool Inc. Pad designs and structures for a versatile materials processing apparatus
US6610190B2 (en) * 2000-11-03 2003-08-26 Nutool, Inc. Method and apparatus for electrodeposition of uniform film with minimal edge exclusion on substrate
US6251235B1 (en) 1999-03-30 2001-06-26 Nutool, Inc. Apparatus for forming an electrical contact with a semiconductor substrate
US6497800B1 (en) * 2000-03-17 2002-12-24 Nutool Inc. Device providing electrical contact to the surface of a semiconductor workpiece during metal plating
US6902659B2 (en) * 1998-12-01 2005-06-07 Asm Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
US6534116B2 (en) * 2000-08-10 2003-03-18 Nutool, Inc. Plating method and apparatus that creates a differential between additive disposed on a top surface and a cavity surface of a workpiece using an external influence
US6940721B2 (en) * 2000-02-25 2005-09-06 Richard F. Hill Thermal interface structure for placement between a microelectronic component package and heat sink
US6852208B2 (en) 2000-03-17 2005-02-08 Nutool, Inc. Method and apparatus for full surface electrotreating of a wafer
US7754061B2 (en) * 2000-08-10 2010-07-13 Novellus Systems, Inc. Method for controlling conductor deposition on predetermined portions of a wafer
US6921551B2 (en) 2000-08-10 2005-07-26 Asm Nutool, Inc. Plating method and apparatus for controlling deposition on predetermined portions of a workpiece
US7134934B2 (en) * 2000-08-30 2006-11-14 Micron Technology, Inc. Methods and apparatus for electrically detecting characteristics of a microelectronic substrate and/or polishing medium
US7192335B2 (en) * 2002-08-29 2007-03-20 Micron Technology, Inc. Method and apparatus for chemically, mechanically, and/or electrolytically removing material from microelectronic substrates
US7112121B2 (en) * 2000-08-30 2006-09-26 Micron Technology, Inc. Methods and apparatus for electrical, mechanical and/or chemical removal of conductive material from a microelectronic substrate
US7160176B2 (en) * 2000-08-30 2007-01-09 Micron Technology, Inc. Methods and apparatus for electrically and/or chemically-mechanically removing conductive material from a microelectronic substrate
US7078308B2 (en) 2002-08-29 2006-07-18 Micron Technology, Inc. Method and apparatus for removing adjacent conductive and nonconductive materials of a microelectronic substrate
US7153410B2 (en) * 2000-08-30 2006-12-26 Micron Technology, Inc. Methods and apparatus for electrochemical-mechanical processing of microelectronic workpieces
US7129160B2 (en) * 2002-08-29 2006-10-31 Micron Technology, Inc. Method for simultaneously removing multiple conductive materials from microelectronic substrates
US7153195B2 (en) * 2000-08-30 2006-12-26 Micron Technology, Inc. Methods and apparatus for selectively removing conductive material from a microelectronic substrate
US7094131B2 (en) * 2000-08-30 2006-08-22 Micron Technology, Inc. Microelectronic substrate having conductive material with blunt cornered apertures, and associated methods for removing conductive material
US7220166B2 (en) * 2000-08-30 2007-05-22 Micron Technology, Inc. Methods and apparatus for electromechanically and/or electrochemically-mechanically removing conductive material from a microelectronic substrate
US20040170753A1 (en) * 2000-12-18 2004-09-02 Basol Bulent M. Electrochemical mechanical processing using low temperature process environment
US7172497B2 (en) * 2001-01-05 2007-02-06 Asm Nutool, Inc. Fabrication of semiconductor interconnect structures
US6783589B2 (en) * 2001-01-19 2004-08-31 Chevron U.S.A. Inc. Diamondoid-containing materials in microelectronics
US20040253809A1 (en) * 2001-08-18 2004-12-16 Yao Xiang Yu Forming a semiconductor structure using a combination of planarizing methods and electropolishing
AU2002365226A1 (en) * 2001-11-07 2003-09-02 Dow Global Technologies Inc. Planarized microelectronic substrates
US20040016962A1 (en) * 2002-04-30 2004-01-29 Hideki Okumura Semiconductor device
US6949389B2 (en) * 2002-05-02 2005-09-27 Osram Opto Semiconductors Gmbh Encapsulation for organic light emitting diodes devices
KR100559041B1 (ko) * 2002-07-11 2006-03-10 매그나칩 반도체 유한회사 반도체 소자의 구리 배선 형성 방법
KR100477365B1 (ko) * 2002-09-27 2005-03-22 주식회사 대우일렉트로닉스 원자힘 현미경의 팁 보호막 형성 방법
AU2003284197A1 (en) * 2002-10-31 2004-06-07 Georgia Tech Research Corporation Microstructures and methods of fabrication thereof
US6939796B2 (en) * 2003-03-14 2005-09-06 Lam Research Corporation System, method and apparatus for improved global dual-damascene planarization
US6821899B2 (en) * 2003-03-14 2004-11-23 Lam Research Corporation System, method and apparatus for improved local dual-damascene planarization
US7217649B2 (en) * 2003-03-14 2007-05-15 Lam Research Corporation System and method for stress free conductor removal
US7140374B2 (en) * 2003-03-14 2006-11-28 Lam Research Corporation System, method and apparatus for self-cleaning dry etch
US7078344B2 (en) * 2003-03-14 2006-07-18 Lam Research Corporation Stress free etch processing in combination with a dynamic liquid meniscus
US7009281B2 (en) * 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
US7232766B2 (en) * 2003-03-14 2007-06-19 Lam Research Corporation System and method for surface reduction, passivation, corrosion prevention and activation of copper surface
ATE418621T1 (de) * 2003-04-24 2009-01-15 Afshin Ahmadian Verfahren zur detektion allelspezifischer mutationen
US6777807B1 (en) * 2003-05-29 2004-08-17 Lsi Logic Corporation Interconnect integration
JP4499390B2 (ja) * 2003-09-09 2010-07-07 パナソニック株式会社 半導体装置及びその製造方法
US6936534B2 (en) * 2003-09-17 2005-08-30 Micron Technology, Inc. Method for the post-etch cleaning of multi-level damascene structures having underlying copper metallization
US7112122B2 (en) * 2003-09-17 2006-09-26 Micron Technology, Inc. Methods and apparatus for removing conductive material from a microelectronic substrate
US7064057B2 (en) * 2003-11-21 2006-06-20 Asm Nutool, Inc. Method and apparatus for localized material removal by electrochemical polishing
US7153777B2 (en) * 2004-02-20 2006-12-26 Micron Technology, Inc. Methods and apparatuses for electrochemical-mechanical polishing
DE102004014676B4 (de) * 2004-03-25 2009-05-14 Infineon Technologies Ag Verfahren zum Herstellen einer integrierten Schaltungsanordnung mit Hilfsvertiefung, insbesondere mit Ausrichtmarken, und integrierte Schaltungsanordnung
US7307013B2 (en) * 2004-06-30 2007-12-11 Sandisk 3D Llc Nonselective unpatterned etchback to expose buried patterned features
US7566391B2 (en) 2004-09-01 2009-07-28 Micron Technology, Inc. Methods and systems for removing materials from microfeature workpieces with organic and/or non-aqueous electrolytic media
US20060183321A1 (en) * 2004-09-27 2006-08-17 Basol Bulent M Method for reduction of gap fill defects
KR100620712B1 (ko) * 2004-12-24 2006-09-13 동부일렉트로닉스 주식회사 디싱 현상을 회복하는 방법
US7538434B2 (en) * 2005-03-08 2009-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Copper interconnection with conductive polymer layer and method of forming the same
US7550070B2 (en) * 2006-02-03 2009-06-23 Novellus Systems, Inc. Electrode and pad assembly for processing conductive layers
EP1839695A1 (en) * 2006-03-31 2007-10-03 Debiotech S.A. Medical liquid injection device
KR100793916B1 (ko) * 2006-04-05 2008-01-15 삼성전기주식회사 인쇄회로기판 내장형 커패시터의 제조방법
US7585760B2 (en) * 2006-06-23 2009-09-08 Intel Corporation Method for forming planarizing copper in a low-k dielectric
US20070298607A1 (en) * 2006-06-23 2007-12-27 Andryushchenko Tatyana N Method for copper damascence fill for forming an interconnect
US8500985B2 (en) 2006-07-21 2013-08-06 Novellus Systems, Inc. Photoresist-free metal deposition
US7732329B2 (en) * 2006-08-30 2010-06-08 Ipgrip, Llc Method and apparatus for workpiece surface modification for selective material deposition
DE102007009902A1 (de) * 2007-02-28 2008-09-04 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Reduzieren von Ungleichmäßigkeiten während des chemisch-mechanischen Polierens von überschüssigem Metall in einer Metallisierungsebene von Mikrostrukturbauelementen
US9443763B2 (en) * 2013-09-12 2016-09-13 Micron Technology, Inc. Methods for forming interconnections between top electrodes in memory cells by a two-step chemical-mechanical polishing (CMP) process
US9343408B2 (en) * 2013-11-08 2016-05-17 Intermolecular, Inc. Method to etch Cu/Ta/TaN selectively using dilute aqueous HF/H2SO4 solution
CN106971974A (zh) * 2016-01-14 2017-07-21 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制备方法、电子装置
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
JP2020088069A (ja) * 2018-11-20 2020-06-04 凸版印刷株式会社 半導体パッケージ基板およびその製造方法
US11508617B2 (en) 2019-10-24 2022-11-22 Applied Materials, Inc. Method of forming interconnect for semiconductor device
US11257677B2 (en) * 2020-01-24 2022-02-22 Applied Materials, Inc. Methods and devices for subtractive self-alignment
CN113611663A (zh) * 2021-08-23 2021-11-05 上海芯物科技有限公司 一种半导体表面平坦化的方法及制得的半导体和用途
CN114752938B (zh) * 2022-04-07 2024-07-16 中国科学院赣江创新研究院 一种完整去除Nb3Sn超导线稳定层和阻挡层的方法

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01108746A (ja) * 1987-10-21 1989-04-26 Toshiba Corp 半導体装置の製造方法
US5173130A (en) * 1989-11-13 1992-12-22 Shikoku Chemicals Corporation Process for surface treatment of copper and copper alloy
US5098860A (en) * 1990-05-07 1992-03-24 The Boeing Company Method of fabricating high-density interconnect structures having tantalum/tantalum oxide layers
US5096550A (en) * 1990-10-15 1992-03-17 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for spatially uniform electropolishing and electrolytic etching
US5486234A (en) * 1993-07-16 1996-01-23 The United States Of America As Represented By The United States Department Of Energy Removal of field and embedded metal by spin spray etching
US5593927A (en) * 1993-10-14 1997-01-14 Micron Technology, Inc. Method for packaging semiconductor dice
US5766379A (en) * 1995-06-07 1998-06-16 The Research Foundation Of State University Of New York Passivated copper conductive layers for microelectronic applications and methods of manufacturing same
US5744399A (en) * 1995-11-13 1998-04-28 Lsi Logic Corporation Process for forming low dielectric constant layers using fullerenes
US6387805B2 (en) * 1997-05-08 2002-05-14 Applied Materials, Inc. Copper alloy seed layer for copper metallization
US5969422A (en) * 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US6351039B1 (en) * 1997-05-28 2002-02-26 Texas Instruments Incorporated Integrated circuit dielectric and method
US6280644B1 (en) * 1998-06-05 2001-08-28 Agere Systems Guardian Corp. Method of planarizing a surface on an integrated circuit
US6127258A (en) * 1998-06-25 2000-10-03 Motorola Inc. Method for forming a semiconductor device
US6150269A (en) * 1998-09-11 2000-11-21 Chartered Semiconductor Manufacturing Company, Ltd. Copper interconnect patterning
US6315883B1 (en) * 1998-10-26 2001-11-13 Novellus Systems, Inc. Electroplanarization of large and small damascene features using diffusion barriers and electropolishing
US6159842A (en) * 1999-01-11 2000-12-12 Taiwan Semiconductor Manufacturing Company Method for fabricating a hybrid low-dielectric-constant intermetal dielectric (IMD) layer with improved reliability for multilevel interconnections
TW400619B (en) * 1999-03-05 2000-08-01 United Microelectronics Corp The manufacture method of dual damascene structure
US6083822A (en) * 1999-08-12 2000-07-04 Industrial Technology Research Institute Fabrication process for copper structures
US6080656A (en) * 1999-09-01 2000-06-27 Taiwan Semiconductor Manufacturing Company Method for forming a self-aligned copper structure with improved planarity
US6146991A (en) 1999-09-03 2000-11-14 Taiwan Semiconductor Manufacturing Company Barrier metal composite layer featuring a thin plasma vapor deposited titanium nitride capping layer
US6096649A (en) * 1999-10-25 2000-08-01 Taiwan Semiconductor Manufacturing Company Top metal and passivation procedures for copper damascene structures
US6265321B1 (en) * 2000-04-17 2001-07-24 Chartered Semiconductor Manufacturing Ltd. Air bridge process for forming air gaps
US6352921B1 (en) * 2000-07-19 2002-03-05 Chartered Semiconductor Manufacturing Ltd. Use of boron carbide as an etch-stop and barrier layer for copper dual damascene metallization
US6358842B1 (en) * 2000-08-07 2002-03-19 Chartered Semiconductor Manufacturing Ltd. Method to form damascene interconnects with sidewall passivation to protect organic dielectrics
US6417093B1 (en) * 2000-10-31 2002-07-09 Lsi Logic Corporation Process for planarization of metal-filled trenches of integrated circuit structures by forming a layer of planarizable material over the metal layer prior to planarizing

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019075546A (ja) * 2017-08-25 2019-05-16 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 半導体デバイスの製造中に窒化チタンに対して窒化タンタルを選択的に除去するためのエッチング液

Also Published As

Publication number Publication date
US6600229B2 (en) 2003-07-29
US20040192052A1 (en) 2004-09-30
CA2432012A1 (en) 2002-08-01
US20020117758A1 (en) 2002-08-29
EP1354347A2 (en) 2003-10-22
KR20030070127A (ko) 2003-08-27
CN1488167A (zh) 2004-04-07
WO2002059962A2 (en) 2002-08-01
US20020096770A1 (en) 2002-07-25
CA2435623A1 (en) 2002-08-01
US6696358B2 (en) 2004-02-24
WO2002059962A3 (en) 2003-04-17

Similar Documents

Publication Publication Date Title
JP2004534377A (ja) 集積回路を平坦化するための粘性保護オーバレイ層
US5897375A (en) Chemical mechanical polishing (CMP) slurry for copper and method of use in integrated circuit manufacture
KR100715073B1 (ko) 결함 감소를 위해 평탄화처리된 구리 세정 방법
US6001730A (en) Chemical mechanical polishing (CMP) slurry for polishing copper interconnects which use tantalum-based barrier layers
US6071809A (en) Methods for forming high-performing dual-damascene interconnect structures
USRE39126E1 (en) Two-step chemical mechanical polishing process for producing flush and protruding tungsten plugs
US6218290B1 (en) Copper dendrite prevention by chemical removal of dielectric
WO2000019524A9 (en) Ic interconnect structures and methods for making same
KR20010030437A (ko) 대머신 상호연결을 위한 이중 에칭 멈춤/분산 방어막
JP2001156029A (ja) 少ない欠陥のための後CuCMP
JP3904578B2 (ja) 半導体装置の製造方法
CA2456225A1 (en) Forming a semiconductor structure using a combination of planarizing methods and electropolishing
KR100783223B1 (ko) 반도체 장치 제조 방법
US6503828B1 (en) Process for selective polishing of metal-filled trenches of integrated circuit structures
US6413869B1 (en) Dielectric protected chemical-mechanical polishing in integrated circuit interconnects
US6197690B1 (en) Chemically preventing Cu dendrite formation and growth by double sided scrubbing
US6596637B1 (en) Chemically preventing Cu dendrite formation and growth by immersion
JP2005072238A (ja) 半導体装置の製造方法
KR19980048378A (ko) 반도체소자의 평탄화방법
JP2001345324A (ja) 半導体装置の製造方法
US6699785B2 (en) Conductor abrasiveless chemical-mechanical polishing in integrated circuit interconnects
JP2006120664A (ja) 半導体装置の製造方法
JP4064595B2 (ja) 半導体装置の製造方法
EP1350266B1 (en) Conductor chemical-mechanical polishing in integrated circuit interconnects
KR100476707B1 (ko) 반도체 소자의 제조 방법

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20050405