JP2004533090A - プラズマ励起コイル用電流センサを含む誘導プラズマ処理装置 - Google Patents

プラズマ励起コイル用電流センサを含む誘導プラズマ処理装置 Download PDF

Info

Publication number
JP2004533090A
JP2004533090A JP2002578537A JP2002578537A JP2004533090A JP 2004533090 A JP2004533090 A JP 2004533090A JP 2002578537 A JP2002578537 A JP 2002578537A JP 2002578537 A JP2002578537 A JP 2002578537A JP 2004533090 A JP2004533090 A JP 2004533090A
Authority
JP
Japan
Prior art keywords
processing apparatus
current
lead
coil
toroidal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2002578537A
Other languages
English (en)
Inventor
ジー ヴェルトロープ ロバート
ジェイ チェン ジエン
イー ヴィッケル トーマス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2004533090A publication Critical patent/JP2004533090A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

誘導プラズマ処理装置は、複数の巻線を有するRFプラズマ励起コイルを含み、各巻線は、単一のマッチング・ネットワークを介して単一のRF供給源によって駆動される、並列に接続された第1の端部を有する。巻線の第2の端部は、リード線によって接地された終端キャパシタによって接地されている。各終端キャパシタと接地との間で、トロイダル・コアの回りの巻線を含む電流センサが、リード線に接続されている。この電流センサは、接地されたシールドで取り囲まれている。周辺RF環境から電流センサへの電磁干渉は最小であり、正確な電流センサが提供される。

Description

【技術分野】
【0001】
本発明は、一般にRF励起コイルを含む誘導プラズマ処理装置に関し、より詳細には、コイルの巻線を含む分岐の低電圧部分に結合された、シールドされた電流センサを含む処理装置に関する。
【背景技術】
【0002】
真空チャンバ内でRFプラズマを用いて加工物を処理する処理装置の一形式は、RF供給源に応答するコイルを含む。このコイルは、RF供給源に応答して電磁場を生成し、これがチャンバ内のイオン化可能な気体を励起してプラズマを生成する。通常、このコイルは誘電体窓上にあるかそれに隣接しており、この誘電体窓は加工された加工物の水平に延びる平らな表面に概して平行な方向に延びている。励起されたプラズマは、チャンバ内の加工物と相互作用して、加工物をエッチングするか、またはその上に物質を堆積させる。この加工物は、一般的には、平らな円形表面を有する半導体ウエハか、または固体誘電体プレート、例えばフラット・ディスプレイに使用される長方形ガラス基板または金属プレートである。
【0003】
Ogleの米国特許第4,948,458号は、上記の結果を達成するための多重旋回スパイラル平面コイルを開示している。このスパイラルは、一般にアルキメデス型であり、インピーダンス・マッチング・ネットワークを介してRF供給源に接続されたその内部端子および外部端子の間で、半径方向かつ円周方向に延びる。コイルは、磁場成分および電場成分を有する振動RF場を生成し、これが誘電体窓を貫通して窓に近いプラズマ・チャンバの部分において電子およびイオンを加速する。窓に近いプラズマ部分における磁場の空間分布は、コイルの各点における電流によって生成される個々の磁場成分の合計の関数である。電場の誘導成分は、時間変動磁場によって生成されるのに対して、電場のキャパシタンス成分はコイル中のRF電圧によって生成される。誘導電場は、加工物に対して方位方向(azimuthal)であるのに対して、キャパシタンス電場は垂直下方向である。電流および電圧は、RF供給源の周波数におけるコイルの伝送線路効果が原因で、場所によって異なる。
【0004】
Ogle458号特許が開示し、かつそれに基づくスパイラル設計に対して、スパイラル・コイル中のRF電流は、リング形電場を生成するように分布し、窓の近傍のトロイダル・プラズマを生じ、この場所は、電力がガスに吸収されて気体をプラズマに励起する場所である。1.0から10ミリトール範囲の低圧力において、プラズマ濃度が最大となるリング形領域からのプラズマの拡散は、プラズマの非均一性を不鮮明化し(smear out)、加工物の中心直上のチャンバ中央でのプラズマ密度を増加させる傾向がある。しかしながら、一般に拡散のみでは十分にチャンバ壁へのプラズマ損失を補償することができず、また加工物周囲付近のプラズマ密度を独立して変化させることができない。10〜100ミリトールの中間圧力範囲においては、プラズマ中の電子、イオンおよび中性子の気相衝突によって、トロイダル領域からのプラズマ荷電粒子の実質的な拡散がさらに妨げられる。その結果、加工物のリング形領域ではプラズマ密度は比較的高いが、加工物の中央部分および周辺部分ではプラズマ密度が低くなる。
【0005】
このような異なる作動状態に起因して、トロイド内側とトロイド外側の間と共に、加工物ホルダの面に直角であるチャンバの中心線(すなわちチャンバ軸)に対して異なる方位角においても、実質的に大きなプラズマ流束(すなわちプラズマ密度)変動が生じる。これらのプラズマ流束変動によって、結果的に、加工物に入射するプラズマ流束の大きな標準偏差、すなわち6%を超える標準偏差を生じる。加工物に入射するプラズマ流束の大きな標準偏差によって、不均一加工物処理、すなわち加工物の異なる部分を異なる程度にエッチングし、かつ/または異なる量の材料をその上に堆積させる傾向がある。
【0006】
本願出願人の同時譲渡され、同時係属中の「INDUCTIVE PLASMA PROCESSOR HAVING COIL WITH PLURAL WINDINGS AND METHOD OF CONTROLLING PLASMA DENSITY (Lowe Hauptman Gilman and Berner Docket No.2328−050」という名称の出願は、加工物に入射するプラズマ流束の均一性を高める装置を開示している。この同時係属中の出願に開示された好ましい装置では、コイル中に適正な電流が流れていることを確認し、必要であれば、プラズマ濃度の制御を支援するために、コイル電流振幅が計測される。
【0007】
過去においては、RFコイル励起プラズマ処理装置の動作の制御を支援する電気的パラメータには、コイル電流振幅、電圧振幅および電圧と電流との位相角度などのパラメータの計測を含んでいた。また、前進電力および反射電力などのその他のパラメータも監視されていた。これらのパラメータは、マッチング・ネットワークまたはコイルを駆動する回路の高電圧部分で計測されていた。その結果として、コイル巻線を含む分岐内のリード線を取り囲むトロイダル・コイルを含む電流センサは、通常、ノイズ誘起RF場に結合される。その結果、これらのセンサは、周辺RF場の影響を受け、不正確なコイル電流の示度を導きやすい。
【0008】
これらの従来技術構成における、センサ・トロイダル・コイルに結合された電気ノイズを低減または実質的に除去するためのコイル・トロイダル巻線のシールドは、通常、実現不可能であった。この理由は、シールドを有効にするには、シールドが、接地されているとともに、回路の高電圧部分に結合されたセンサ・トロイダル・コイルに近接していなくてはならないからである。この高電圧およびシールド近接性の要件によって、シールドとコイルの間、またはシールドとコイルを駆動する回路の他の部品の間に放電が起こりやすい。さらに、接地シールドは、高電圧の近傍に強力に結合されて、電場分布に外乱を与える可能性がある。
【発明の開示】
【発明が解決しようとする課題】
【0009】
したがって、本発明の目的は、コイルに流れる電流用の改良型センサを備えたRF励起コイルを有する、新規の改良型誘導プラズマ処理装置を提供することである。
【0010】
本発明のさらなる目的は、改良型高精度電流センサを備えるRFプラズマ励起コイルを含む、誘導プラズマ処理装置を提供することである。
【0011】
本発明の別の目的は、電流センサを備えるRFプラズマ励起コイルを含む誘導プラズマ処理装置であって、電流センサが、電磁場からシールドされているとともに、シールドが接地されているにもかかわらず、特に絶縁破壊を起こしやすくはないように配設されている、誘導プラズマ処理装置を提供することである。
【課題を解決するための手段】
【0012】
本発明によれば、誘導処理装置は、入力端子および出力端子を有する巻線を含むRFプラズマ励起コイルを含む。コイルに結合されたRF供給源は、入力端子にRF励起電流を供給する。巻線は、一端をRF供給源およびマッチング・ネートワークに接続され、他端をリード線によって接地されている。電流センサはリード線に結合されるとともに、周辺RF場がセンサに結合されるのを防止するために、接地シールドによって取り囲まれている。
【0013】
好ましくは、コイルは複数巻線を含み、それぞれの巻線が別の分岐にあるとともに入力端子および出力端子を含む。入力端子のそれぞれは、RF供給源とマッチング・ネットワークによって並列に駆動されるように接続される。出力端子のそれぞれは、別個のリード線によって接地されている。別個の電流センサは、各接地リード線に接続されて、各センサに結合されたシールド機構がセンサからRF場を減結合する。
【0014】
好ましい一実施形態においては、各分岐は分岐のコイル出力端子と接地リード線の間に接続されたキャパシタを含む。電流センサは、好ましくは、キャパシタと接地リード線との間に、RF電圧とともにFR場が実質的にゼロに近くなるように配置し、電流センサに対する電磁干渉(EMI)を最小にする。
【0015】
一般にセンサは、トロイダル構造、例えばトロイダル・コイル、トロイダル磁気コアとともに、整流およびフィルタリング回路を含み、接地されたシールドはトロイダル構造を実質的に取り囲んでいる。
【発明を実施するための最良の形態】
【0016】
添付図面の図1の真空プラズマ加工物処理装置は、研磨加工された金属壁12、金属底部エンド・プレート14、およびその中心から周辺まで同一厚さの誘電体窓構造19からなる円形トップ・プレート構造18を有する、円筒に成形された真空チャンバ10を含む。真空チャンバ10のシーリングは、従来型のガスケット(図示せず)によって提供される。図1の処理装置は、半導体、誘電体または金属基板のエッチング、またはそのような基板に材料を堆積させるのに使用することができる。
【0017】
プラズマ状態に励起することのできる好適な気体は、気体供給源(図示せず)から側壁12のポート20を介してチャンバ10の内部に供給され、さらに気体配送機構(図示せず)を介して均一に分布させる。チャンバの内部は、エンド・プレート14内のポート22に接続された真空ポンプ(図示せず)によって、1〜1000ミリトールの範囲で変動可能な圧力で、真空状態に維持される。
【0018】
チャンバ10内の気体は、好適な電気供給源によって、制御された空間密度を有するプラズマに励起される。電気供給源は、窓19の直上に装着されて、一般に13.56MHzの固定周波数を有する可変電力RF発生装置26によって励起される、平面、または球形、またはドーム状コイル24を含む。
【0019】
インピーダンス・マッチング・ネットワーク28は、RF発生装置26の出力端子とコイル24の励起端子との間に接続されて、発生装置からのRF電力をコイルに結合する。インピーダンス・マッチング・ネットワーク28は、可変リアクタンスを含み、この可変リアクタンスを、検出器43によって検知されるマッチング・ネットワークの入力端末に反射された電圧の振幅と位相角の指示値に応答して、コントローラ29が公知の方法で変化させる。コントローラ29は、ネットワーク28のリアクタンス値を変化させ、その結果、供給源26と、コイル24およびコイルが駆動するプラズマ負荷を含む負荷とのインピーダンス・マッチングを達成する。
【0020】
コントローラ29はまた、入力デバイス41に応答して、コイル24に結合された可変リアクタンスを制御する。入力デバイス41は、ポテンショメータまたはキーパッドのキーなどの手操作デバイスとするか、または加工物32の異なる処理処方に対してコンピュータ・メモリに記憶させた信号に応答するマイクロプロセッサとすることができる。処方の変数には、(1)ポート20からチャンバ10中に流入する気体種、(2)ポート22に接続された真空ポンプにより制御されるチャンバ10内の圧力、(3)コイル24に供給される電力と実質的に等しいRF供給源26の全出力電力、および(4)コイル24に接続されたキャパシタの値がある。
【0021】
加工物32は、チャンバ10内の加工物ホルダ(すなわち圧盤またはチャック)30の表面に強固に装着されており、加工物32を担持するホルダは窓19の表面と平行である。加工物32は、通常、DC電源(図示せず)がホルダ30のチャック電極(図示せず)に印加するDC電圧によって、ホルダ30表面に静電気的に締め付けられている。RF供給源45は、可変リアクタンス(図示せず)を含むインピーダンス・マッチング・ネットワーク47に無線周波数電磁波を供給する。マッチング・ネットワーク47は、供給源45の出力をホルダ30に結合する。コントローラ29は、振幅および位相検出器49が導き出した信号に応答してマッチング・ネットワーク47の可変リアクタンスを制御し、供給源45のインピーダンスをホルダ30の電極(図示せず)のインピーダンスにマッチングさせる。ホルダ30内の電極に結合された負荷は、主としてチャンバ10内のプラズマである。周知のように、供給源45がホルダ30の電極に印加するRF電圧は、プラズマ中の荷電粒子と相互作用して加工物32上にDCバイアスを生成する。
【0022】
コイル24を取り囲み、かつ上部エンド・プレート18上方に延びているのは、壁12の内径よりもいくぶん大きな内径を有する金属チューブまたは缶状シールド34である。シールド34は、コイル24内に発生する電磁場を周囲環境から減結合する。円筒状に成形されたチャンバ10の直径は、コイル24によって生成される電磁場の境界を画定する。誘電体窓構造19の直径は、チャンバ10の全上部表面が誘電体窓構造19で構成される程度に、チャンバ10の直径よりも大きい。
【0023】
加工物32の処理表面と誘電体窓構造19の底部表面の間の距離は、露出され、処理された加工物の表面上に最も均一なプラズマ流束を提供できるように選択する。本発明の好ましい実施態様に対して、加工物処理表面と誘電体窓の底部との距離はチャンバ10の直径の約0.2〜0.4倍である。
【0024】
コイル24は、複数の平行巻線を含み、各巻線は、供給源26の13.56MHzにおいて、電気的に十分な長さであり、約30°〜45°の全電気的長さ有する伝送線路として機能して、巻線の長さに沿って定常波パターンを生成する。この定常波パターンは、巻線の長さに沿った定常波RFの電圧および電流の絶対値に変動を生じる。巻線によって生成される磁束が、これらのRF電流に依存するために、コイル24の異なる巻線の下の、チャンバ10の異なる部分において異なるプラズマ密度が生成されることになる。
【0025】
コイルの異なる巻線を流れるRF電流の大きさにおける変動が、空間的に平均化されて、これによってプラズマ濃度空間分布を制御するのを支援する。コイルの異なる巻線のこれらの異なる電流値を空間的に平均化することによって、特に巻線における低RF電流領域において、プラズマ密度における方位非対称を実質的に防止することができる。別の選択肢としては、発生装置26の周波数を4.0MHzとするが、この場合にはコイル24の巻線は電気的に短く、約10°〜15°であり、巻線内の定常波電流および電圧は実質的に一定になる。
【0026】
コントローラ29は、マイクロプロセッサ33(図2)を含み、このマイクロプロセッサは、(1)入力デバイス41、(2)検出器31が導き出す電圧振幅および位相角信号、および(3)マイクロプロセッサ33を制御するプログラムとともに、コイル24に接続された可変キャパシタの値と、RF生成装置26の出力電圧とを制御する信号を記憶するメモリ・システム35に応答する。メモリ・システム35が記憶するプログラムには、マッチング・ネットワーク28、47の可変リアクタンス値の制御プログラムがある。供給源26の出力電圧およびコイル24に接続されるキャパシタ24の値は、処理装置が製造さるとき、または特に処理装置が単一処方の専用である場合には、それが実装されるときに、事前設定することができる。
【0027】
図2に示すように、コイル24は2つの並列巻線40、42を含み、これらの両方とも中央コイル軸44と概して同心であり、軸44に対して半径方向および円周方向に延びるらせん状旋回(spiral−like turn)を含む。内部巻線40は、全体が外部巻線42の内部にあり、その結果巻線42は巻線40を完全に取り囲む。巻線40は、内部端子46および外部端子48を含むのに対して、巻線42は外部端子50および内部端子52を含む。
【0028】
内部巻線40は、異なる半径を有する3つの同心旋回54、56、58とともに、2つの直線セグメント60、62を含む。旋回54、56、58のそれぞれは、約340°の角度範囲の軸44を中心とする円のセグメントである。旋回54および56の隣接する端部は、直線セグメント60で接続され、同時に直線セグメント62は旋回56および58の隣接する端部を互いに接続する。直線セグメント60および62は、実質的に平行な経路に沿って半径方向かつ円周方向に延びている。
【0029】
外部巻線42は、異なる半径を有する2つの旋回64および66とともに、直線セグメント68を含む。旋回64および66のそれぞれは、約340°の角度範囲の軸44を中心とする円のセグメントである。直線セグメント68は、半径方向かつ円周方向に延びて、旋回64および66の隣接する端部を互いに接続する。
【0030】
巻線40の旋回54、56、58およびセクタ60、62の長さの合計は、巻線42の旋回64、66、とともにセクタ68の長さの合計にほぼ等しい。巻線40および42は、実質的に長さが同じであるので、これらの巻線はその長さに沿って、発生装置26から供給される周波数に関係なく、実質的に同一の定常波電圧および電流の変動を有する。
【0031】
コイル24の巻線40および42は、制御された可変出力電力を有する一台の固定周波数RF生成装置26によって得られるRF電流によって並列に駆動される。以下に記述するように、発生装置26の低周波数(例えば4.0MHz)または高周波数(例えば13.56MHz)のいずれかにおいて、巻線40および42のそれぞれにおいて、単一の電流最大値がある。高周波数においては、電流最大値は、各巻線の端子間のほぼ中間の位置にある。電流最大値は、軸44に対して半径方向に反対側の巻線40および42の位置で生じ、この結果、発生装置26による巻線40、42のRF励起によって生じるトロイダル電場に対して、およその方位対称性(azimuthal symmetry)を与える。
【0032】
巻線40および42はそれぞれ、別個の並列回路分岐81および83にある。分岐81は、直列接続の巻線40および可変キャパシタ80、84を含み、分岐83は、直列接続の巻線42および可変キャパシタ82、86を含む。コイル24の巻線40および42の旋回は、マッチング・ネットワーク28の出力端子からの電力で並列に駆動される、入力端子46、50が、コイル軸44の反対側にあるように配設され、その結果、電流は端子46、50から巻線40、42の残部に同一方向に流れる。端子46は、コイル24の最小半径旋回54上にあり、端子58は最大半径旋回66上にある。端子46および50はそれぞれ、直列可変キャパシタ80および82によって、マッチング・ネットワーク28の出力端子に接続されている。
【0033】
コイル24の出力端子48および52は、軸44に対して直径方向反対側にあり、直列可変キャパシタ84および86を介して共通接地端子に接続されている。
【0034】
供給源26の高周波数出力に対して、キャパシタ84および86の値は、定常波電圧が最大となる巻線40の出力端子46、48および巻線42の出力端子50、52において、巻線40および42における定常波電流が最小振幅を有するように設定される。巻線40および42における定常波電流は、キャパシタ84、86の値を調整した結果として定常波電圧が最大となる、巻線40および42の半径方向反対位置で最大となる。定常波電流最大値の位置は、定常波電圧を監視することによって、特定することができる。電流最大値は、電圧が最小値(ゼロボルトに近い)になる場所に生じる。巻線40および42における定常波電流最大値を、互いに半径方向に反対側に配置することによって、方位対称なプラズマ密度の提供が支援される。
【0035】
キャパシタ80、82の値は、巻線40、42それぞれのインピーダンスをマッチング・ネットワーク28に同調させておくのを助ける。巻線40、42の定常波電流の最大振幅はそれぞれ、キャパシタ80、82の値によって制御される。巻線40、42の物理的構成および端子46、48、50、52の位置は、巻線40、42における最大定常波電流の位置に影響を与える。
【0036】
キャパシタ80、82、84および86の値とともに、発生装置26の全出力電力、すなわち発生装置26が巻線40、42に並列に印加する電力を適切に制御することによって、巻線40または42の一方における電流を実質的に一定に保ち、同時に他方の巻線において電流を変化させる。巻線40または42の1つにおける電流を実質的に一定に維持しながら全体電力を変化させる能力によって、巻線を励起した結果得られる電磁場分布を実質的に制御することを可能にする。巻線40または42の一方における電流を実質的に一定に維持することによって、その巻線によって生成されて、チャンバ10内のプラズマに供給される電磁場は比較的不変となる。他方の巻線40または42を変化させることによって、その巻線がチャンバ10内のプラズマに供給する電磁場が変化する。前述のように、工作物の処理処方が異なると、巻線40によって投入される電磁力は実質的に一定に保たれ、巻線42がプラズマに結合する電力が変化することが要求される。他の処方に対しては、巻線42がチャンバ10内のプラズマに供給する電力分布が一定に保たれ、分岐40がチャンバ10内のプラズマに供給する電力が変化することが望ましい。
【0037】
キャパシタ80、82、84、86および発生装置26の出力電力は、異なる処方に対して、入力コントローラ41からの処方信号に応答して、マイクロプロセッサ33によって読み出されてメモリ・システム35内に記憶された信号に応答して、これらの部分を手操作で調整するか、またはそれを自動的に調整することによって制御する。代替手法として、特定のコイルが常に同一の処方を有する処理装置と接続されて作動する場合には、キャパシタ80、82、84、86の値、および発生装置26の出力電力は、工場において、または処理装置の製造時、または処理装置の設置時に設定することができる。
【0038】
巻線40および42のそれぞれの抵抗が、概して6オームであるとすると、これによって巻線42におけるRMS(自乗平均)電流値を実質的に一定に維持することが可能となり、かつ巻線40におけるRMS電流値を、発生装置26の出力電力および分岐81、83の全リアクタンス(X1)、(X2)を表Iにしたがって調整することによって、変化させることができる。
【0039】
【表1】
Figure 2004533090
【0040】
同様に、内部巻線40において実質的に一定の電流値を維持し、外部巻線42において変動電流値を維持することが望ましい場合には、分岐81および分岐82のリアクタンス(X1)、(X2)、ならびに発生装置26の出力電圧を表IIに従って調整する。
【0041】
【表2】
Figure 2004533090
【0042】
キャパシタ80、82、84、86の値および供給源26の電力を変化させることによって、加工物に入射するプラズマ密度の制御が、方位(azimuthal)座標方向および半径座標方向の両方において達成される。
【0043】
電流およびインピーダンスが、それぞれ、分岐81に対してI1およびz1、分岐83に対してI2、z2とする。各分岐は、直列結合の入力キャパシタ、巻線および出力キャパシタから構成されるので、インピーダンスz1またはz2は、入力キャパシタ(C1またはC2)および出力キャパシタ(C3またはC4)のインピーダンス、ならびに分岐81および分岐83の巻線(L1またはL2)からの全インピーダンスの総和である。したがって、z1=R1+j[ωL1−1/(ωC1)−1/(ωC3)]=R1+jX1、ここでR1およびX1=ωL1−1/(ωC1)−1/(ωC3)は、インピーダンスz1の実(抵抗)部および虚(反動)部を表す。同様に、z2=R2+jX2、ここでR2およびX2=ωL2−1/(ωC2)−1/(ωC4)は、それぞれインピーダンスz2の抵抗部および反動部を表す。表IおよびIIに示す結果の定量的分析は、本願出願人の同時係属中の「INDUCTIVE PLASMA PROCESSOR HAVING COIL WITH PLURAL WINDINGS AND METHOD OF CONTROLLING PLASMA DENSITY」(Lowe Hauptman Gilman and Berner Docket No.2328−050)という名称の出願に記載してある。
【0044】
簡単のために、RF供給源26の周波数を13.56MHz、分岐40および42のそれぞれの電気的長さを13.56Hzで77°とし、キャパシタ80、82、84、86の値は適切に調整されて、巻線40および42には等しい電流が流れるとする。このような状況に対して、巻線40および42のそれぞれの長さに沿っての定常波電流振幅を、図3の曲線90によって示してある。曲線90には、巻線40および42のそれぞれの入力端子および出力端子の間でサイン波状の変動がある。曲線90には、曲線の中央点、すなわち38°において約14.5アンペアRMSのピークがあるとともに、巻線40および42の入力および出力端子において約10.7アンペアRMSの等しい最小値を示す。したがって、巻線40および42のそれぞれにおける、最大定常波電流は、最小定常波電流よりも約3.8アンペアRMS、すなわち約21%大きい。
【0045】
図2に示す装置を周波数13.56MHzで作動させる上で起こり得る問題は、巻線40および42から得られる電磁場分布の所望の関係を維持するために、キャパシタ80、82、84、86を同時に、または繰り返して調整しなくてはならない可能性があることである。例えば、加工物上での方位対称密度を維持するには、各コイルの最大電流を、軸44に対して互いに半径方向に反対側に配置する必要がある。このことは、巻線40、42の出力端子と接地との間に接続された、キャパシタンス84および86の値を調整することによって達成される。キャパシタンス84および86の値を調整するには、表IおよびIIに示す値を達成するためには、定常波の所望の値を提供するために、キャパシタ80および82の調整を必要とすることがある。しかしながら、キャパシタ80および82の値を調整することによって、巻線40および42における電流定常波パターンがさらに移動する可能性があり、それによって電流定常波パターンの最大点が、コイル軸44に対して、直径方向に反対側にはなくなる。電流定常波最大点が、このように移動すると、キャパシタ84および86の値の調整がさらに必要となる可能性がある。
【0046】
この問題を克服するために、我々は、巻線40および42に沿った電流変動を実質的に低減することができる場合には、巻線40および42における定常波電流最大点の位置が重要ではなくなる、すなわち最大点がコイル軸44に直径方向反対側にある必要がなくなり、単一の可変キャパシタのみを巻線40および42のそれぞれに接続すればよいことに気づいた。言い換えると、4つすべてのキャパシタ80、82、84、86を同時、または繰り返して調整する必要がなくなることになる。
【0047】
このような目的で、巻線40および42の伝送線路効果が大幅に低減されるように、RF供給源26の周波数を低減する。巻線40、42のそれぞれの電気的長さが、実質的に45°未満であれば、定常波電流の最大値と最小値の間の変化割合が、十分に低減されて、単一の可変キャパシタ84、86を巻線40、42とそれぞれ直列に接続することが可能となり、各巻線入力端子とマッチング・ネットワーク28の電力出力端子との間にキャパシタを接続することが不要となる。
【0048】
前述したように、RF供給源26の周波数は、13.56MHzから4.0MHzに低減されて、その結果として巻線40、42の電気的長さが3.4分の1に減少する。図3の、曲線92は、巻線40、42に等しい定常波電流が流れるようにキャパシタ84、86が調整された状況を表している。13.56MHz(曲線90に図示)で分析された同一の物理的巻線が、4.0MHz(曲線92で図示)で再分析される。分岐40および42のそれぞれの電気的長さは、22.6°(すなわち77°割る3.4)となる。曲線92では、巻線40、42の入力および出力端子において約25.7アンペアRMSの定常波電流最小点があり、最大定常波電流は、巻線の中央において約26アンペアRMSである。曲線90の長い伝送線路条件に対するよりも曲線92の短い伝送線路条件に対して、巻線40および42における実質的に大きな電流が流れるということにもかかわらず、供給源24の出力電力は、両方の条件について同じ2400ワットである。図3の例示的な等電流曲線90および92に対して、キャパシタ84、86のキャパシタンスは互いに等しく、供給源26の周波数13.56MHzに対して137ピコファラッド(pF)の値となるのに対して、キャパシタ84、86の値は、供給源26の4.0MHz励起に対して1808pFである。
【0049】
曲線92の最大および最小定常波電流の間の変化割合は、曲線90の21%の変化と対照的に、約2%である。励起供給源26の比較的低い周波数によって、巻線40および42の最小および最大定常波電流値間の変化が比較的小さいために、比較的均一な方位電磁場が巻線40および42のそれぞれによって生成されてチャンバ10内のプラズマに供給される。結果として、巻線40および42における最大定常波電流の位置を調整するために、キャパシタ80および82を含める必要性はなくなる。表IおよびIIは、キャパシタ84、86のキャパシタンスおよびRF供給源26の出力電力を調整し、コイル40および42それぞれにおいて一定電流を達成するための低周波数励起に必要な情報を提供する。
【0050】
巻線40および42内の最大定常波電流の比(I1/I2)は、供給源26の4.0MHz励起電力に対して、20:1から1:1まで、次いで1:1から1;10まで、キャパシタ86の値を一定に維持しつつキャパシタ84の値を調整することによって、連続的に変化させることができ、ここでI1は巻線40における最大定常波電流であり、I2は巻線42における最大定常波電流である。キャパシタ84および86の値を変化させると、供給源26の出力電力が変わり、表IおよびIIで示すのと同じ効果が得られる。
【0051】
キャパシタ80、82、84、86の値を、マイクロプロセッサ33の出力信号に応答して制御するために、キャパシタのそれぞれを、別個の1つのDCモータ87で駆動する。モータ87のそれぞれは、マイクロプロセッサ33の異なる出力信号に応答する。マイクロプロセッサ33がモータ87に供給する信号は、キャパシタ80、82、84、86の所望のキャパシタンス値を達成するためにモータの出力シャフトが回転される量に対応する値を有する。マッチング・ネットワーク28は、モータ88によって駆動される可変リアクタンス(好ましくはキャパシタ、図4)を含む。モータ88は、メモリ・システム35に記憶されたプログラムと検出器43とによって得られる信号に応答してマイクロプロセッサ33が導き出す異なる信号に応答する。検出器43は、(1)マッチング・ネットワーク28によって発生装置26に向かって反射された電圧振幅、および(2)反射電圧と電流の位相差を表す信号を導き出す。マイクロプロセッサ33は、発生装置26に適切なDC信号を供給し、発生装置の出力電力を制御する。マイクロプロセッサ33は、RF供給源26およびマッチング・ネットワーク28によって分岐81、82に並列に印加される電圧を示す信号とともに、図4に関連して記述した回路によって得られる、分岐81、83の出力端子48、52における定常波電流を示す信号に応答する。
【0052】
次いで添付図面の図4の、コイル24の4.0MHz駆動に関連する電子回路の好ましい実施形態の回路図を参照する。RF供給源26は、位相および振幅検出器43と好ましくは2000pFのキャパシタンスを有する、固定直列キャパシタ100とを介してマッチング回路28を駆動する。マッチング・ネットワーク28は、モータ88によって変えられるキャパシタンス値を有する、可変分路キャパシタ102および可変直列キャパシタ104を含む。
【0053】
マッチング回路28の出力電力は、直列インダクタ106、RF電圧検出器108および位相検出器109を介して、分岐81および82に並列に結合されている。RF電圧検出器108は、分岐81、83の共通入力端子におけるRF電圧のピーク振幅を示すDC電圧を導き出し、これに対して位相検出器109は、分岐81、83の共通入力端子におけるRF電圧および電流の位相差を示すDC電圧を導き出す。検出器108および109の出力は、マイクロプロセッサ33にフィードバックされ、このマイクロプロセッサはモータ87および発生装置26の出力電圧を制御して先述の結果を達成する。分岐81および83を通過する電流は、可変キャパシタ84および86を介してそれぞれ接地結合されている。
【0054】
分岐81および83における定常波電流の大きさは、キャパシタ84、86の低電圧端と接地との間に接続されたリード線111、113にそれぞれ誘導結合された電流振幅センサ110、112によってそれぞれ検出される。図5に示すように、電流センサ110、112のそれぞれは、トロイダル・コア216の回りに巻かれたトロイダル巻線214からなる電流変換器を含む。リード線111または113を流れるRF電流I(t)は、時間変化磁場B(t)(ループ126で示す)をコア216内に生成する。磁場B(t)は、時間変化電場、または等価的に、トロイダル巻線214の各旋回124内に誘起電流i(t)を誘起する。図5に示す誘起電流i(t)の方向は、i(t)が
【0055】
【数1】
Figure 2004533090
【0056】
に比例し、この項もまた
【0057】
【数2】
Figure 2004533090
【0058】
に比例するので、リード線111または113中を流れる駆動電流I(t)の大きさが時間と共に増加、すなわちdI(t)/dt>0となると仮定している。コア216は、フェリ磁性材料(フェライトと呼ばれる)または強磁性材料(例えば鉄)で製作して、リード線111または113中を流れる駆動電流と巻線214中を流れる誘起電流との間の互いの誘導結合をさらに強化してもよい。
【0059】
誘起電流i(t)は、回路130の2つの入力端子221、222間のレジスタ220中を流れて、レジスタ220の両端でサイン波形を生成する。このサイン波形は、ダイオード224で整流されて、その結果ダイオード閾値電圧(通常は0.6〜0.7v)より大きな正電圧のみがダイオードを通過することができる。キャパシタ226、誘導チョーク228、およびキャパシタ230がローパス・フィルタを形成し、このフィルタが回路出力端子233および234間でDC電圧Vを生成する。電圧Vを、マイクロプロセッサ33に供給して、端子48および52をそれぞれ流れる電流を示すことができる。
【0060】
好ましい一実施形態における電流センサ110または112用の機械式装着具の概略を図7に示してある。キャパシタ84または86は、端子48または52に接続された1つのエンド・プレート140およびシールド119に接続された第2のエンド・プレート142を有する。リード線111または113は、電気伝導性金属、好ましくは銀メッキした銅で製作して、ねじ切りした中心穴を備えるシリンダに加工されている。リード線111または113は、電流センサ110または112の中央開口に挿入され、この電流センサは絶縁ディスク148に支持され、かつそれに装着されている。電気接続は、金属ねじ144および145を、リード線111または113のねじ切り穴に締結することによって達成され、その結果、端子48または52からの同一電流が、キャパシタ84または86、シールド119、リード線111または113、および最終的にハウジング117内の接地プレート115を通過して流れる。前述のように、リード線111または113を通過する電流は、時間変化する磁場を生成し、この磁場が、トロイダル巻線214内に誘起電流を生成する。センサ110または112のリード線線は、円筒シールド121で包囲された領域内の接地プレート115に装着された、電気コネクタ(図示せず)に接続されている。
【0061】
接地された電磁シールド114または116は、電流センサ110および112とキャパシタ84および86との間にそれぞれ置かれて、残りの装置のRF場、特に巻線40および42からの電磁干渉を最小化する。シールド114または116は、リング形金属プレート119とシールド121で構成されている。シールド119は、リード線111または113が貫通する開口を有する。シールド121は、センサ110または112、およびリード線111または113を水平に包囲する金属円筒である。シールド119およびセンサを縦方向に挟むプレート115と共に、センサ110、112およびリード線111、113は周辺RF場から完全にシールドされて、それによって電流センサの精度を大幅に向上させる。シールド119および121は、好ましくは銀メッキした銅で製作される。シールド121は、プレート115にのみ機械的かつ電気的に接続されている。巻線40および42の出力端子におけるすべての電圧は、キャパシタ84および86の両端の電圧であり、したがって、リード線111および113に接続されたキャパシタのエンドプレート142は実質的に接地されている。シールド114および116、ならびに電流検出器110および112は、検出器43、キャパシタ100、102、104、コイル106および検出器108、109と共に、金属ハウジング117内に配設されている。
【0062】
添付図面の図4に戻ると、キャパシタ84、86、100、102、104は、RF供給源26から巻線40、42へと流れる比較的大きな電流を処理できる真空キャパシタである。4MHzにおける巻線40および42のそれぞれの電気的長さが比較的短いために、比較的大きなキャパシタンス値が、キャパシタ84および86に必要となり、典型的なキャパシタの最大値は2500pFである。分路装荷キャパシタ102は、1400pFの比較的大きな最大値を有し、並列分岐40および42の低インピーダンスに適合する。直列キャパシタ104は、1500pFの最大値を有して並列分岐81および83の低誘導リアクタンスに同調する、比較的大きなキャパシタである。
【0063】
固定入力直列接続キャパシタ100は、好ましくは200pFの値を有し、供給源26とコイル24の並列巻線40、42との間のインピーダンス変換の一部を提供する。キャパシタ100は、分路装荷キャパシタ102がより合理的な値を持つことを可能にし、そうでない場合には、キャパシタ102は、最大値1400pFを有するキャパシタに関連する値よりも相当に大きなキャパシタンス値を持つことになる。固定キャパシタ100はまた、良好な同調分解能を提供し、その結果、マッチング回路28とコイル24の並列巻線40、42との共振同調を改善する。
【0064】
固定インダクタ106は、好ましくは3.5マイクロヘンリーの比較的大きな値を有し、マッチング・ネットワーク28の同調範囲を拡張する。インダクタ110は、ハウジング117の外部にあり、任意選択で内部巻線40に接続されており、巻線40および42に関連する並列分岐81および83に実質的に等しいインピーダンスを提供するのに使用することができる。インダクタ110は、巻線42が巻線40のインダクタンスよりも実質的に大きなインダクタンスを有する場合に使用される。
【0065】
電圧検出器108および電流センサ110、112は、マイクロプロセッサ33に信号を供給する。マイクロプロセッサ33は、電圧検出器108、電流センサ110、112、および位相示度検出器109からの信号に応答して、RF供給源26の全出力電力の示度を導き出す。全電力の示度は、RF発生装置26の出力電圧を制御して、表IおよびIIに示す電力を達成することを可能にする。電流センサ110および112が得る信号は、マイクロプロセッサ33に使用されて、キャパシタ84、86のキャパシタンスを変化させて、表IおよびIIに設定された電流を達成するのに適正な電流が、巻線40、42を流れることを保証する。
【0066】
処理装置の製作中には、方位電場分布および方位プラズマ密度分布の制御を支援するために、内部巻線40が外部巻線42に対して旋回される。巻線40を軸44の回りに旋回させて、端子46および48を、図2に示す場所と異なる場所にすることもできる。巻線40は、同じタイプの処理装置が、処理装置ごとに一定の方位電場およびプラズマ密度分布を有する場合には、所定の位置に旋回させることができる。しかしながら、同じタイプの別個の処理装置が、処理装置ごとに異なる方位電場分布およびプラズマ密度分布を有する場合には、巻線40は、それぞれの特定の処理装置において最適な均一なプラズマ分布が達成されたことが試験によって示されるまで、巻線42に対して旋回される。
【0067】
本発明の具体的な実施形態を記述し説明したが、添付の請求の範囲に定義する本発明の真の趣旨と範囲から逸脱することなく、具体的に説明し記述した実施形態の詳細の変更が、可能であることは明らかであろう。例えば、本発明の多くの原理は、2つの同じ巻線を有するコイルに限定されることなく、1つまたは複数の巻線を有するコイルにも適用できる。
【図面の簡単な説明】
【0068】
【図1】本発明と関係して用いる形式の真空プラズマ処理装置の概略図である。
【図2】図1の処理装置に含まれるコイルの電気概略図であって、RF供給源、マッチング・ネットワーク、ならびにコイル駆動用および(1)コイルに接続された可変キャパシタのキャパシタンスと(2)コイルに供給される全電力の制御用の電子制御回路を組み合わせた電気概略図である。
【図3】13.56MHzおよび4.0MHzでの励振に対して、図2に示すコイルの巻線中の定常波電流の振幅計算値をプロットしたグラフである。
【図4】図2のコイルを駆動する電流用のセンサを備える、図2のマッチング・ネットワークの好ましい一実施形態の回路図である。
【図5】図4の回路で使用される電流センサとその回路の概略図である。
【図6】図5の電流センサで駆動される検出回路の回路図である。
【図7】電流センサ用の機械的装着構造の好ましい実施形態の概略図である。

Claims (14)

  1. 入力端子および出力端子を有する巻線であって、巻線が、分岐内でマッチング・ネットワークおよびRF供給源に直列に接続された一端と、接地されたリード線を含む他端を有し、その結果、巻線出力端子を通過するのと同じ電流がリード線を通過する巻線と、リード線に結合された電流センサと、電流センサに結合されて、周辺RF環境からの電磁干渉をシールドするための接地シールドとを含む、RFプラズマ励起コイルを備える誘導プラズマ処理装置。
  2. コイル出力端子と、リード線を介して巻線から接地に電流を結合するリード線との間に、直列に接続されたキャパシタをさらに含む、請求項1に記載の処理装置。
  3. センサが、トロイダル構造を含んで、キャパシタと接地の間にあると共に、RF電圧およびRF場が実質的にゼロである、請求項2に記載の処理装置。
  4. センサが、リード線を取り囲むトロイダル構造を含み、接地シールドがトロイダル構造を実質的に取り囲む、請求項2に記載の処理装置。
  5. トロイダル構造が、トロイダル・コアを含む、請求項3に記載の処理装置。
  6. センサが、リード線を取り囲むトロイダル構造を含み、接地シールドがトロイダル構造を実質的に取り囲む、請求項1に記載の処理装置。
  7. トロイダル構造が、トロイダル・コアを含む、請求項6に記載の処理装置。
  8. コイルが、複数巻線であって、複数巻線のそれぞれが別個の分岐にあるとともに、入力端子および出力端子を含み、入力端子のそれぞれが、RF供給源によって並列に駆動されるように接続され、出力端子のそれぞれが、別個のリード線によって接地されている複数巻線と、別個のリード線のそれぞれに結合された別個の電流センサと、各電流線に結合されて電流センサからRF場を減結合する接地シールド機構とを含む、請求項1に記載の処理装置。
  9. 各分岐が、それぞれの分岐の巻線の出力端子とそれぞれの分岐のリード線との間に接続されて、それぞれの分岐の巻線からの電流を、それぞれの分岐のリード線に結合するためのキャパシタを含む、請求項8に記載の処理装置。
  10. センサが、トロイダル構造を含み、キャパシタとリード線の間にあるとともに、センサのRF電圧およびRF場が実質的にゼロである、請求項9に記載の処理装置。
  11. センサのそれぞれが、リード線を取り囲むトロイダル構造を含み、接地されたシールドのそれぞれが、その関連するトロイダル構造を実質的に取り囲む、請求項9に記載の処理装置。
  12. トロイダル構造のそれぞれが、トロイダル・コイルを含む、請求項10に記載の処理装置。
  13. センサのそれぞれが、リード線を取り囲むトロイダル構造を含み、接地シールドのそれぞれが、その関連するトロイダル構造を実質的に取り囲む、請求項8に記載の処理装置。
  14. トロイダル構造のそれぞれが、トロイダル・コイルを含む、請求項13の処理装置。
JP2002578537A 2001-03-30 2002-03-29 プラズマ励起コイル用電流センサを含む誘導プラズマ処理装置 Pending JP2004533090A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/820,709 US6583572B2 (en) 2001-03-30 2001-03-30 Inductive plasma processor including current sensor for plasma excitation coil
PCT/US2002/009564 WO2002080220A1 (en) 2001-03-30 2002-03-29 Inductive plasma processor including current sensor for plasma excitation coil

Publications (1)

Publication Number Publication Date
JP2004533090A true JP2004533090A (ja) 2004-10-28

Family

ID=25231519

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002578537A Pending JP2004533090A (ja) 2001-03-30 2002-03-29 プラズマ励起コイル用電流センサを含む誘導プラズマ処理装置

Country Status (9)

Country Link
US (1) US6583572B2 (ja)
EP (1) EP1374277B1 (ja)
JP (1) JP2004533090A (ja)
KR (1) KR100863470B1 (ja)
CN (1) CN1287414C (ja)
AT (1) ATE364896T1 (ja)
DE (1) DE60220652T2 (ja)
TW (1) TWI255671B (ja)
WO (1) WO2002080220A1 (ja)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007514300A (ja) * 2003-11-07 2007-05-31 ラム リサーチ コーポレーション プラズマ処理システムにおいて基板を最適化する方法および装置
KR100845917B1 (ko) * 2006-09-27 2008-07-11 최대규 대면적 플라즈마 처리를 위한 유도 결합 플라즈마 반응기
JP2013196971A (ja) * 2012-03-21 2013-09-30 Mitsui Eng & Shipbuild Co Ltd プラズマ形成装置
JP2014216318A (ja) * 2013-04-25 2014-11-17 ピーエスケーインコーポレイテッド プラズマ発生装置及びその制御方法、及びプラズマ発生装置を含む基板処理装置
KR101532376B1 (ko) * 2013-11-22 2015-07-01 피에스케이 주식회사 상호 유도 결합을 이용한 플라즈마 생성 장치 및 그를 포함하는 기판 처리 장치
KR20160106226A (ko) * 2015-02-05 2016-09-12 (주)브이앤아이솔루션 유도 결합 플라즈마 처리 장치의 안테나
US9583313B2 (en) 2013-08-20 2017-02-28 Panasonic Intellectual Property Management Co., Ltd. Plasma processing apparatus and plasma processing method
KR20200104269A (ko) * 2016-07-25 2020-09-03 램 리써치 코포레이션 복수의 스테이션들에서 웨이퍼 보우 제어
JP2020202113A (ja) * 2019-06-11 2020-12-17 日新電機株式会社 プラズマ処理装置、プラズマ処理方法、及びプラズマ処理装置用プログラム

Families Citing this family (313)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200300649A (en) * 2001-11-27 2003-06-01 Alps Electric Co Ltd Plasma processing apparatus, its driving method, matching circuit design system, and plasma processing method
US7298091B2 (en) * 2002-02-01 2007-11-20 The Regents Of The University Of California Matching network for RF plasma source
US6838832B1 (en) * 2002-03-08 2005-01-04 Lam Research Corporation Apparatus and methods for improving the stability of RF power delivery to a plasma load
US7480571B2 (en) * 2002-03-08 2009-01-20 Lam Research Corporation Apparatus and methods for improving the stability of RF power delivery to a plasma load
JP3723783B2 (ja) * 2002-06-06 2005-12-07 東京エレクトロン株式会社 プラズマ処理装置
US6876155B2 (en) * 2002-12-31 2005-04-05 Lam Research Corporation Plasma processor apparatus and method, and antenna
US6781317B1 (en) 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
US6972560B2 (en) * 2003-04-22 2005-12-06 Delphi Technologies, Inc. Method for detecting a change in permeability of a magnetostrictive object
US6803773B1 (en) 2003-07-08 2004-10-12 Delphi Technologies, Inc. Method and circuit for detecting a change in inductance
JP4551081B2 (ja) * 2003-11-12 2010-09-22 三菱重工業株式会社 プラズマcvd装置の給電システム及び給電方法
JP4344886B2 (ja) * 2004-09-06 2009-10-14 東京エレクトロン株式会社 プラズマ処理装置
US7666464B2 (en) * 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US20070170867A1 (en) * 2006-01-24 2007-07-26 Varian Semiconductor Equipment Associates, Inc. Plasma Immersion Ion Source With Low Effective Antenna Voltage
US20070279053A1 (en) * 2006-05-12 2007-12-06 Taylor William P Integrated current sensor
CA2550904A1 (en) * 2006-06-27 2007-12-27 Malcolm B. Stephens Method and apparatus to generate thrust by inertial mass variance
US7722778B2 (en) * 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
US7975448B2 (en) * 2007-03-29 2011-07-12 Chicago Metallic Corporation Drywall channel with pre-punched locating tabs
US20090230089A1 (en) * 2008-03-13 2009-09-17 Kallol Bera Electrical control of plasma uniformity using external circuit
US20090250335A1 (en) * 2008-04-07 2009-10-08 Hoffman Daniel J Method of controlling plasma distribution uniformity by superposition of different constant solenoid fields
KR101325052B1 (ko) * 2008-12-02 2013-11-05 메이덴샤 코포레이션 진공 콘덴서
US8319436B2 (en) 2009-02-02 2012-11-27 Advanced Energy Industries, Inc. Passive power distribution for multiple electrode inductive plasma source
JP5592098B2 (ja) * 2009-10-27 2014-09-17 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP5554047B2 (ja) * 2009-10-27 2014-07-23 東京エレクトロン株式会社 プラズマ処理装置
JP5757710B2 (ja) * 2009-10-27 2015-07-29 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20110133732A1 (en) * 2009-12-03 2011-06-09 Allegro Microsystems, Inc. Methods and apparatus for enhanced frequency response of magnetic sensors
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP2013105543A (ja) * 2011-11-10 2013-05-30 Tokyo Electron Ltd 基板処理装置
US8629539B2 (en) 2012-01-16 2014-01-14 Allegro Microsystems, Llc Methods and apparatus for magnetic sensor having non-conductive die paddle
CN103311084B (zh) * 2012-03-13 2016-03-30 中微半导体设备(上海)有限公司 一种调节等离子体处理腔电场分布的供电***
US9666788B2 (en) 2012-03-20 2017-05-30 Allegro Microsystems, Llc Integrated circuit package having a split lead frame
US10234513B2 (en) 2012-03-20 2019-03-19 Allegro Microsystems, Llc Magnetic field sensor integrated circuit with integral ferromagnetic material
US9494660B2 (en) 2012-03-20 2016-11-15 Allegro Microsystems, Llc Integrated circuit package having a split lead frame
US9812588B2 (en) 2012-03-20 2017-11-07 Allegro Microsystems, Llc Magnetic field sensor integrated circuit with integral ferromagnetic material
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9401264B2 (en) * 2013-10-01 2016-07-26 Lam Research Corporation Control of impedance of RF delivery path
US9620334B2 (en) * 2012-12-17 2017-04-11 Lam Research Corporation Control of etch rate using modeling, feedback and impedance match
US9337000B2 (en) 2013-10-01 2016-05-10 Lam Research Corporation Control of impedance of RF return path
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6417390B2 (ja) 2013-03-15 2018-11-07 プラズマビリティー, エルエルシー Cvdプラズマ処理の方法
US10345343B2 (en) 2013-03-15 2019-07-09 Allegro Microsystems, Llc Current sensor isolation
US9190606B2 (en) 2013-03-15 2015-11-17 Allegro Micosystems, LLC Packaging for an electronic device
US9411025B2 (en) 2013-04-26 2016-08-09 Allegro Microsystems, Llc Integrated circuit package having a split lead frame and a magnet
CA2928376C (en) * 2013-10-23 2023-03-07 Perkinelmer Health Sciences, Inc. Hybrid generators and methods of using them
US9496122B1 (en) 2014-01-10 2016-11-15 Reno Technologies, Inc. Electronically variable capacitor and RF matching network incorporating same
US9755641B1 (en) 2014-01-10 2017-09-05 Reno Technologies, Inc. High speed high voltage switching circuit
US9697991B2 (en) 2014-01-10 2017-07-04 Reno Technologies, Inc. RF impedance matching network
US10455729B2 (en) 2014-01-10 2019-10-22 Reno Technologies, Inc. Enclosure cooling system
US9865432B1 (en) 2014-01-10 2018-01-09 Reno Technologies, Inc. RF impedance matching network
US9196459B2 (en) 2014-01-10 2015-11-24 Reno Technologies, Inc. RF impedance matching network
US10431428B2 (en) 2014-01-10 2019-10-01 Reno Technologies, Inc. System for providing variable capacitance
US9844127B2 (en) 2014-01-10 2017-12-12 Reno Technologies, Inc. High voltage switching circuit
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9306533B1 (en) 2015-02-20 2016-04-05 Reno Technologies, Inc. RF impedance matching network
US10340879B2 (en) 2015-02-18 2019-07-02 Reno Technologies, Inc. Switching circuit
US9729122B2 (en) 2015-02-18 2017-08-08 Reno Technologies, Inc. Switching circuit
US11017983B2 (en) 2015-02-18 2021-05-25 Reno Technologies, Inc. RF power amplifier
US9525412B2 (en) 2015-02-18 2016-12-20 Reno Technologies, Inc. Switching circuit
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10654365B2 (en) * 2015-04-29 2020-05-19 Aptiv Technologies Limited Bifurcated balanced electromagnetic resonator
WO2016187166A1 (en) 2015-05-21 2016-11-24 Plasmability, Llc Toroidal plasma processing apparatus with a shaped workpiece holder
US10211030B2 (en) * 2015-06-15 2019-02-19 Applied Materials, Inc. Source RF power split inner coil to improve BCD and etch depth performance
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11150283B2 (en) 2015-06-29 2021-10-19 Reno Technologies, Inc. Amplitude and phase detection circuit
US11342160B2 (en) 2015-06-29 2022-05-24 Reno Technologies, Inc. Filter for impedance matching
US11335540B2 (en) 2015-06-29 2022-05-17 Reno Technologies, Inc. Impedance matching network and method
US11342161B2 (en) 2015-06-29 2022-05-24 Reno Technologies, Inc. Switching circuit with voltage bias
US11081316B2 (en) 2015-06-29 2021-08-03 Reno Technologies, Inc. Impedance matching network and method
US10692699B2 (en) 2015-06-29 2020-06-23 Reno Technologies, Inc. Impedance matching with restricted capacitor switching
US10984986B2 (en) 2015-06-29 2021-04-20 Reno Technologies, Inc. Impedance matching network and method
US9754769B2 (en) * 2015-09-15 2017-09-05 Lam Research Corporation Metrology methods to detect plasma in wafer cavity and use of the metrology for station-to-station and tool-to-tool matching
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN107180737B (zh) * 2016-03-11 2019-10-08 北京北方华创微电子装备有限公司 用于实现阻抗匹配和功率分配的装置及半导体加工设备
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR101848908B1 (ko) * 2016-09-19 2018-05-15 인베니아 주식회사 유도 결합 플라즈마 처리 장치
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN108271307B (zh) * 2016-12-30 2019-11-05 中微半导体设备(上海)股份有限公司 电感耦合等离子体处理装置与等离子体产生装置
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
CN109148073B (zh) * 2017-06-16 2022-10-21 北京北方华创微电子装备有限公司 线圈组件、等离子体发生装置及等离子体设备
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11651939B2 (en) 2017-07-07 2023-05-16 Advanced Energy Industries, Inc. Inter-period control system for plasma power delivery system and method of operating same
US11615943B2 (en) 2017-07-07 2023-03-28 Advanced Energy Industries, Inc. Inter-period control for passive power distribution of multiple electrode inductive plasma source
US10727029B2 (en) 2017-07-10 2020-07-28 Reno Technologies, Inc Impedance matching using independent capacitance and frequency control
US10483090B2 (en) 2017-07-10 2019-11-19 Reno Technologies, Inc. Restricted capacitor switching
US11476091B2 (en) 2017-07-10 2022-10-18 Reno Technologies, Inc. Impedance matching network for diagnosing plasma chamber
US11315758B2 (en) 2017-07-10 2022-04-26 Reno Technologies, Inc. Impedance matching using electronically variable capacitance and frequency considerations
US11114280B2 (en) 2017-07-10 2021-09-07 Reno Technologies, Inc. Impedance matching with multi-level power setpoint
US11101110B2 (en) 2017-07-10 2021-08-24 Reno Technologies, Inc. Impedance matching network and method
US11289307B2 (en) 2017-07-10 2022-03-29 Reno Technologies, Inc. Impedance matching network and method
US10714314B1 (en) 2017-07-10 2020-07-14 Reno Technologies, Inc. Impedance matching network and method
US11398370B2 (en) 2017-07-10 2022-07-26 Reno Technologies, Inc. Semiconductor manufacturing using artificial intelligence
US11393659B2 (en) 2017-07-10 2022-07-19 Reno Technologies, Inc. Impedance matching network and method
US11521833B2 (en) 2017-07-10 2022-12-06 Reno Technologies, Inc. Combined RF generator and RF solid-state matching network
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US10536130B2 (en) * 2017-08-29 2020-01-14 Mks Instruments, Inc. Balancing RF circuit and control for a cross-coupled SIMO distribution network
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) * 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11437221B2 (en) 2017-11-17 2022-09-06 Advanced Energy Industries, Inc. Spatial monitoring and control of plasma processing environments
EP3711081B1 (en) 2017-11-17 2024-06-19 AES Global Holdings, Pte. Ltd. Spatial and temporal control of ion bias voltage for plasma processing
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11538662B2 (en) 2019-05-21 2022-12-27 Reno Technologies, Inc. Impedance matching network and method with reduced memory requirements
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
JP2022541004A (ja) 2019-07-12 2022-09-21 エーイーエス グローバル ホールディングス, プライベート リミテッド 単一制御型スイッチを伴うバイアス供給装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
US10991644B2 (en) 2019-08-22 2021-04-27 Allegro Microsystems, Llc Integrated circuit package having a low profile
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN110867406A (zh) * 2019-11-27 2020-03-06 北京北方华创微电子装备有限公司 静电卡盘及半导体加工设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11768230B1 (en) 2022-03-30 2023-09-26 Allegro Microsystems, Llc Current sensor integrated circuit with a dual gauge lead frame
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5304279A (en) 1990-08-10 1994-04-19 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
US5226967A (en) 1992-05-14 1993-07-13 Lam Research Corporation Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
US5277752A (en) 1992-10-19 1994-01-11 At&T Bell Laboratories Method for controlling plasma processes
KR100238627B1 (ko) 1993-01-12 2000-01-15 히가시 데쓰로 플라즈마 처리장치
US5401350A (en) 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
JP3105403B2 (ja) 1994-09-14 2000-10-30 松下電器産業株式会社 プラズマ処理装置
US5589737A (en) 1994-12-06 1996-12-31 Lam Research Corporation Plasma processor for large workpieces
US5874704A (en) 1995-06-30 1999-02-23 Lam Research Corporation Low inductance large area coil for an inductively coupled plasma source
EP0756309A1 (en) 1995-07-26 1997-01-29 Applied Materials, Inc. Plasma systems for processing substrates
US5731565A (en) 1995-07-27 1998-03-24 Lam Research Corporation Segmented coil for generating plasma in plasma processing equipment
US5573595A (en) * 1995-09-29 1996-11-12 Lam Research Corporation Methods and apparatus for generating plasma
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US6252354B1 (en) 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US5759280A (en) 1996-06-10 1998-06-02 Lam Research Corporation Inductively coupled source for deriving substantially uniform plasma flux
US5800619A (en) 1996-06-10 1998-09-01 Lam Research Corporation Vacuum plasma processor having coil with minimum magnetic field in its center
US5808415A (en) * 1997-03-19 1998-09-15 Scientific Systems Research Limited Apparatus for sensing RF current delivered to a plasma with two inductive loops
US6028395A (en) 1997-09-16 2000-02-22 Lam Research Corporation Vacuum plasma processor having coil with added conducting segments to its peripheral part
US6164241A (en) 1998-06-30 2000-12-26 Lam Research Corporation Multiple coil antenna for inductively-coupled plasma generation systems
JP4046207B2 (ja) * 1998-08-06 2008-02-13 株式会社エフオーアイ プラズマ処理装置
US6319355B1 (en) * 1999-06-30 2001-11-20 Lam Research Corporation Plasma processor with coil responsive to variable amplitude rf envelope
US6388383B1 (en) * 2000-03-31 2002-05-14 Lam Research Corporation Method of an apparatus for obtaining neutral dissociated gas atoms
US6507155B1 (en) * 2000-04-06 2003-01-14 Applied Materials Inc. Inductively coupled plasma source with controllable power deposition

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4866243B2 (ja) * 2003-11-07 2012-02-01 ラム リサーチ コーポレーション プラズマ処理システムにおいて基板を最適化する方法および装置
JP2007514300A (ja) * 2003-11-07 2007-05-31 ラム リサーチ コーポレーション プラズマ処理システムにおいて基板を最適化する方法および装置
KR100845917B1 (ko) * 2006-09-27 2008-07-11 최대규 대면적 플라즈마 처리를 위한 유도 결합 플라즈마 반응기
JP2013196971A (ja) * 2012-03-21 2013-09-30 Mitsui Eng & Shipbuild Co Ltd プラズマ形成装置
JP2014216318A (ja) * 2013-04-25 2014-11-17 ピーエスケーインコーポレイテッド プラズマ発生装置及びその制御方法、及びプラズマ発生装置を含む基板処理装置
KR101517489B1 (ko) * 2013-04-25 2015-05-07 피에스케이 주식회사 플라즈마 발생 장치 및 그 제어 방법, 그리고 플라즈마 발생 장치를 포함하는 기판 처리 장치
US9536708B2 (en) 2013-04-25 2017-01-03 Psk Inc. Plasma generating device, method of controlling the same, and substrate processing device including the plasma generating device
US9583313B2 (en) 2013-08-20 2017-02-28 Panasonic Intellectual Property Management Co., Ltd. Plasma processing apparatus and plasma processing method
KR101532376B1 (ko) * 2013-11-22 2015-07-01 피에스케이 주식회사 상호 유도 결합을 이용한 플라즈마 생성 장치 및 그를 포함하는 기판 처리 장치
US10312060B2 (en) 2013-11-22 2019-06-04 Psk Inc. Plasma generating apparatus using mutual inductive coupling and substrate treating apparatus comprising the same
KR101666933B1 (ko) * 2015-02-05 2016-10-17 (주)브이앤아이솔루션 유도 결합 플라즈마 처리 장치의 안테나
KR20160106226A (ko) * 2015-02-05 2016-09-12 (주)브이앤아이솔루션 유도 결합 플라즈마 처리 장치의 안테나
KR20200104269A (ko) * 2016-07-25 2020-09-03 램 리써치 코포레이션 복수의 스테이션들에서 웨이퍼 보우 제어
KR102188339B1 (ko) 2016-07-25 2020-12-09 램 리써치 코포레이션 복수의 스테이션들에서 웨이퍼 보우 제어
JP2020202113A (ja) * 2019-06-11 2020-12-17 日新電機株式会社 プラズマ処理装置、プラズマ処理方法、及びプラズマ処理装置用プログラム
JP7298320B2 (ja) 2019-06-11 2023-06-27 日新電機株式会社 プラズマ処理装置、プラズマ処理方法、及びプラズマ処理装置用プログラム

Also Published As

Publication number Publication date
WO2002080220A1 (en) 2002-10-10
US6583572B2 (en) 2003-06-24
US20020179250A1 (en) 2002-12-05
EP1374277B1 (en) 2007-06-13
CN1511335A (zh) 2004-07-07
ATE364896T1 (de) 2007-07-15
TWI255671B (en) 2006-05-21
KR100863470B1 (ko) 2008-10-16
DE60220652T2 (de) 2007-10-25
EP1374277A1 (en) 2004-01-02
CN1287414C (zh) 2006-11-29
KR20040018344A (ko) 2004-03-03
DE60220652D1 (de) 2007-07-26

Similar Documents

Publication Publication Date Title
JP2004533090A (ja) プラズマ励起コイル用電流センサを含む誘導プラズマ処理装置
US8454794B2 (en) Antenna for plasma processor and apparatus
KR100938784B1 (ko) 복수의 권선들을 갖는 코일을 구비하는 유도성 플라즈마프로세서 및 플라즈마 밀도의 제어방법
TWI239794B (en) Plasma processing apparatus and method
US6229264B1 (en) Plasma processor with coil having variable rf coupling
US7571697B2 (en) Plasma processor coil
US6027603A (en) Inductively coupled planar source for substantially uniform plasma flux
JP4540758B2 (ja) 真空プラズマ加工機
JP2929275B2 (ja) 透磁コアを有する誘導結合型−平面状プラズマの発生装置
KR20120032436A (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
US11094509B2 (en) Plasma processing apparatus

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050325

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070411

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070626

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070920

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20071211

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080310

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080317

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080407

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090113