JP2004502535A - Heat treatment system and method for forming a low dielectric constant dielectric film suitable for incorporation into a microelectronic device - Google Patents

Heat treatment system and method for forming a low dielectric constant dielectric film suitable for incorporation into a microelectronic device Download PDF

Info

Publication number
JP2004502535A
JP2004502535A JP2002508580A JP2002508580A JP2004502535A JP 2004502535 A JP2004502535 A JP 2004502535A JP 2002508580 A JP2002508580 A JP 2002508580A JP 2002508580 A JP2002508580 A JP 2002508580A JP 2004502535 A JP2004502535 A JP 2004502535A
Authority
JP
Japan
Prior art keywords
substrate
cooling
processing
coated
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2002508580A
Other languages
Japanese (ja)
Other versions
JP2004502535A5 (en
Inventor
クーマー、デヴェンドラ
ウォーマック、ジェフリー ディー.
グエン、ボング ピー.
カサハラ、ジャック エス.
イブラニ、ソコル
Original Assignee
エフエスアイ インターナショナル インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エフエスアイ インターナショナル インコーポレイテッド filed Critical エフエスアイ インターナショナル インコーポレイテッド
Priority claimed from PCT/US2001/021927 external-priority patent/WO2002004134A1/en
Publication of JP2004502535A publication Critical patent/JP2004502535A/en
Publication of JP2004502535A5 publication Critical patent/JP2004502535A5/ja
Pending legal-status Critical Current

Links

Images

Landscapes

  • Formation Of Insulating Films (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)
  • Coating Apparatus (AREA)

Abstract

低誘電率特性及び低屈折率を有する薄膜を製造するための単一のウエハ処理方法及びシステム。この方法は、硬化及び硬化後の両方でその場所でガス冷却が起こるようにした処理ステーションを含んでいる。A single wafer processing method and system for producing thin films having low dielectric properties and low refractive index. The method includes a processing station in which gas cooling occurs in-situ both at cure and after cure.

Description

【0001】
(技術分野)
本発明は、たとえばロジックデバイスやメモリーデバイス内の相互接続構造の形成における使用などの、マイクロエレクトロニクスへの応用に好適な誘電体膜を形成するシステムおよび方法に関する。特に、本発明は、そのような膜が、マイクロエレクトロニックデバイスの基板上に、処理室で誘電体前駆材料を熱硬化させ、次に、基板がチャンバから取り出される前に、硬化した材料をその場で冷却することによって形成される、統合化されたシステムおよび方法に関する。
【0002】
(背景技術)
電子デバイスの動作周波数がギガヘルツ領域に入り、デバイス形状の寸法が小さくなるにつれて、高性能ULSI回路において、消費電力の増大を抑え、信号遅延を低減し、相互接続クロストークを最小化するために、低誘電率の絶縁材料(低k 誘電体)が必要とされている。低誘電率材料は、ギャップ充填およびダマシン(Damascene)プロセスへの応用を含む、多くのマイクロエレクトロニックデバイスへの応用において強く望まれている。誘電体の誘電率は、その品質を示す特性の1つである。誘電体の品質を示すその他の特性には、機械的特性、屈折率、均一性、熱安定性、製造性、CuまたはAlとの集積性などが含まれる。
【0003】
大部分の誘電体膜は、スピンコーティング法か、または化学蒸着法(たとえば、PECVDやHDP)のいずれかを使用して形成される。スピンコーティング法では、適切な溶媒中に溶けた誘電体膜の前駆材料(通常、硬化性モノマー、オリゴマー、およびポリマーのうちの少なくとも1つ)を含むスピンコート可能な溶液が、マイクロエレクトロニックデバイスの前駆材料(たとえば、シリコンウエハ)やその類似物のような回転する基板上にスピンコーティングされ、その基板上に、均一で、相対的に薄い被膜が形成される。次に、溶液を除去し、被膜を乾燥し、誘電体を重合のB段階に進行させるために、被膜が形成された基板は、典型的には100℃〜約325℃の範囲の温度で焼成される。次に、典型的には約350℃〜約450℃の範囲の適切な硬化温度で基板を加熱することによって、被膜は硬化し、重合のC段階に進行する。
【0004】
硬化した誘電体膜は、一般に低kスピンオン誘電体と総称される。さまざまな企業から種々の低kスピンオン誘電体の前駆材料が購入でき、それらは、マイクロエレクトロニックデバイス中への誘電体膜の形成用として販売されている。そのような製品の代表的な例は、次のような商標で市販されている。すなわち、Honeywell, Inc. の FLARE、Dow Chemical Co. の SILK、Schumacher の VALOX、Honeywell, Inc. の HOSP、Dow Corning Company の HSQ である。FLARE および SILK は、それぞれポリアリールエーテルおよび芳香族炭化水素であり、有機材料である。HOSP はメチル置換シルセスキオキサンであり、無機−有機複合材料である。HSQ は水素化シルセスキオキサンであり、無機材料である。デュアルダマシンプロセスにおいて、これらの材料を多層化されたアルミニウムもしくは銅、またはその両方と正常に集積するためには、それぞれの材料に固有のプロセス工程が必要であるが、それぞれの材料は、一般に約350℃〜約450℃の範囲の温度で熱硬化される。
【0005】
硬化によって多孔質誘電体膜(好ましくは、超低k膜および極低k膜)を形成する誘電体前駆材料も知られている。これらの材料は、一般に、硬化性の誘電体前駆材料だけではなく、1つまたは複数の相対的に揮発性の高い成分(「ポロジェン(porogens)」と呼ばれる)も含むものである。これらのポロジェンは、硬化時にガスを発生させる傾向を有し、膜の多孔性の要因となる。このような材料の代表的な例は、それぞれ次のような商標で知られている。すなわち、Dow Chemical Co. の NAUTILUSTM、Honeywell, Inc. の POROUS FLARETM、Schumacher(Carlsbad, CA)の POLY ELKTM および MONO ELKTM、JSR Corp. の LKDTM、Catalysts and Chemicals, Ltd.(日本)の ISPTM、Hitachi Chemical Co., Ltd. の HSGTM である。
【0006】
低kスピンオン誘電体の硬化方法は、合成される誘電体膜の品質に大きな影響を及ぼす。硬化が不注意に実行されると、膜の品質が害される。たとえば、膜の品質が低く、ブランケット膜としては使用できない場合、アルミニウムもしくは銅の集積プロセスには耐え得ない場合、またはその両方である場合などがあり得る。硬化に影響を及ぼす要因には、硬化処理の際の時間と、温度と、処理環境との制御方法が含まれる。
【0007】
従来から、コーティング工程と焼成工程とは、同じ装置において、一体化された方法で実行されてきた。しかしながら、硬化工程は、通常、被膜が形成されたマイクロエレクトロニックデバイスの基板のバッチを、独立した炉内で加熱することによって達成されてきた。炉が好まれたのは、加熱プラテンによる硬化処理では、マイクロエレクトロニクス産業が要求する品質の誘電体膜を製造できないというのが、従来の常識であったからである。
【0008】
【発明が解決しようとする課題】
しかし、本発明者の見解では、炉による処理は最適な方法ではない。炉による硬化処理はバッチ処理になることが多く、30枚から100枚、またはそれ以上の枚数のウエハを一度に硬化することになるが、製造業者達は、それぞれの、そしてすべての工程内ウエハを、確実に同じ条件下で処理することに役立つ、単一ウエハ処理を望んでいる。単一ウエハ処理は、処理に不具合が生じた場合にも、危険に晒される基板は1枚のみであるため、望ましいものである。それに対して、炉による硬化処理時に不具合が生じた場合には、基板のバッチ全体が損壊する可能性がある。1枚の工程内300mmウエハが、100万ドルまたはそれ以上に及ぶ価値を有する場合があるとすれば、処理のリスクを最小化することは重要である。
【0009】
本発明者は、本発明の開発の過程で、炉による処理では、誘電体膜の形成の際にいくらかの不均一性および品質の問題が不可避的に発生することを確認した。本発明者の見解では、これらの不均一性および品質の問題が発生する理由の少なくとも一部は、焼成工程と硬化工程との間の時間の基板ごとのばらつきが大き過ぎることである。一般に、先に焼成された基板は、バッチ全体が炉内に投入される前に、後の基板が焼成されるまで待たなければならない。確かに、従来の処理では、焼成工程と硬化工程との間の時間の管理は不十分であった。その他のステーション間およびステーション内における時間の管理も不十分であった。従来の処理における例を挙げれば、コーティング工程と焼成工程との間の遅延、硬化工程とそれに続く冷却工程との間の遅延がある。本発明者は、この種の時間の変動が、ロット間、さらには基板間の膜の品質および均一性に対して有害な影響を及ぼし得ることを発見した。さらに、コンパクトで空間節約型の加熱プラテンとは違い、炉の多くは、貴重なクリーンルームの床面積を占有する、かなりの大きさの独立した装置である。
【0010】
以上により、低誘電率誘電体膜を製造する方法を改善すること、特に、単一ウエハ処理を使用することによって、処理の変動が複数のウエハに有害な影響を及ぼす危険性を最小化することには、依然として強い要求がある。また、加熱プラテンによる 低kスピンオン誘電体の硬化処理を効果的に使用することも、依然として望まれている。
【0011】
(発明の開示)
本発明は、低誘電率特性および低屈折率を有する膜を製造するための、改善された単一ウエハ処理の方法およびシステムを提供するものである。本発明の 低kスピンオン誘電体膜は、例外的に高いレベルの均一性、熱安定性、および機械的特性によっても特徴付けられる。重要なことに、これらの特徴は高い生産性をもって達成され、それは、たとえばクリーンルームの床面積64平方フィート当たり、1時間当たりの基板数が100枚に及ぶものである。さらに、好ましい実施形態では、200mmの基板と300mmの基板の両方を同じツールで処理することができる。
【0012】
【課題を解決するための手段】
本発明は、単独で、およびその組み合わせでもって、これらの利点を提供するために役立ついくつかの技術革新に基づくものである。まず、コーティング、焼成、硬化、冷却の、各工程間の時間の少なくとも1つを制御することによって、低k誘電体膜の生産性、均一性、および品質が大幅に高まることが発見された。このような均一性によって、1つまたは複数の処理法を使用して、基板を、必要に応じて直列にも、並列にも、または顧客仕様に応じた方法によっても処理することができる。その際に、特定の法に従って形成された膜が、実質的にはお互いに同一であり、膜に残る処理の影響によるばらつきは、たとえあったとしてもごく僅かであるという自信をもって処理することができる。
【0013】
さらに、低kスピンオン誘電体の品質および均一性は、コーティング、焼成、硬化、および冷却の各作用を、一体化された単一の処理システムに統合することによっても、大幅に高められている。そのような統合化によって、誘電体膜の形成過程において実行されるすべての処理工程の一貫性とタイミングとを制御することが可能となる。従来の炉による処理と比較して、検出可能なばらつきがほとんど現れることなく、基板ごとまたはロットごとに、特定の処理法を実行することができる。
【0014】
本発明の、高品質の誘電体膜の提供に役立つもう1つの特徴は、硬化処理と、硬化後のその場での冷却処理とが実行される革新的な処理ステーションである。硬化処理と冷却処理とを同じ処理ステーションに統合化することによって、硬化処理および冷却処理における、時間のばらつきを含む任意の種類のばらつきが事実上消滅する。これは、誘電体膜の形成における硬化工程は、処理中の最も重要な工程になることが多いとすれば、極めて有利な点である。硬化処理と冷却処理とを単一の処理ステーションに統合化することによって、低kスピンオン誘電体膜が熱酸化による損傷を受ける可能性がある程度に熱い状態において、常に、酸素照射量を正確に制御することも可能となる。誘電体膜を有する高温のデバイスをロボットによって取り扱う状況とは対照的に、その場での冷却処理では、デバイスがロボットによって装置から取り出される時に、冷却されたデバイスに残るロボットの影響を最小化することも可能となる。
【0015】
本発明は、統合化された硬化/現場冷却処理ステーションの革新的な構成も含むものであり、これによって、熱硬化工程において、炉の代りに単純な加熱プラテンを使用することができる。加熱プラテンは、炉と比較してコンパクトであるため、加熱プラテンが使用できることによって、この処理ステーションを被膜ステーションおよび焼成ステーションと同じ一群のツールに統合化することが可能となる。このことによって、今度は、基板ごと、およびロットごとの個々の処理工程におけるばらつきをほとんど起こすことなく、一貫性をもって誘電体膜を形成することが容易になる。
【0016】
この革新的な構成の多くの特徴によって、生産もしくは研究開発、またはその両方において、低kスピンオン誘電体の加熱プラテンによる硬化処理が実現される。これらの革新的な特徴には、次の事項が含まれるが、これらに限定されるものではない。すなわち、
(a)チャンバ内のガスフロー力学を注意深く制御できる、複数のプレナムを伴う革新的なリッド機構、
(b)工程内のマイクロエレクトロニックデバイスの基板をロードおよびアンロードする時に、周囲環境に晒されることを最小化するサイドドア機構、
(c)加熱プラテンをそのハウジングから熱絶縁するために役立つ、加熱プラテンを支持する中空のベース、
(d)加熱プラテンと処理室との環境からの熱絶縁を強化する、二重壁を備えたハウジング、
(e)加熱プラテンユーティリティ通路とハウジングとの間の冷却されたシール(これによって高分子材料のシールが使用でき、そうでなければスピンオン誘電体の硬化処理で生じる高温によって損傷する可能性がある)、
(f)硬化および冷却の作動段階における任意の所望の時間について、処理室内の酸素含量を制御する機能、
(g)多孔質スピンオン誘電体のような材料を取り扱う時に、処理室内を真空にする機能、および
(h)ガスを使用してその場でデバイスを冷却する機能
である。
【0017】
本発明の1つの特徴は、硬化した誘電体組成物を基板上に形成する方法に関し、以下の工程を含むものである。すなわち、
(a) 熱的に硬化可能な誘電体の前駆材料からなる組成物を前記基板の少なくとも一部分に被膜する工程、
(b) 処理室内に前記被膜された基板を配置する工程、
(c) 前記被膜された基板が前記処理室内に配置されている間に、
(i) 前記誘電体の前駆材料を熱的に硬化処理して、硬化した誘電体組成物を形成し、
(ii) 冷却用ガスを前記硬化した誘電体組成物に接触させる工程、
(d) 前記冷却用ガスを前記硬化した誘電体組成物に接触させた後、前記被膜された基板を処理室から排出する工程を有することを特徴としている。
【0018】
本発明の別の特徴は、複数の基板上に誘電体組成物を形成する方法に関し、以下の工程を含むものである。すなわち、
(a) 第1の基板上に硬化可能な誘電体の前駆材料からなる組成物を被膜する工程、
(b) 前記被膜工程の終了から第1の時間間隔の後に予め焼成処理を開始して、この被膜された基板を予め焼成する工程、
(c) 前記予め焼成処理の終了から第2の時間間隔の後に熱的な硬化処理を開始して、前記被膜された基板を熱的に硬化する工程、
(d) 前記熱的な硬化処理の終了から第3の時間間隔の後に前記冷却処理を開始して、前記熱的に硬化した基板を冷却する工程、
(e) 少なくとも1つの付加的な基板に対して、前記(a)〜(d)の工程を繰り返す工程を有しており、前記被膜された第1の基板と前記少なくとも1つの付加的に被膜された基板の各々に対するそれぞれの第2の時間間隔がほぼ等しいことを特徴としている。
【0019】
本発明の別の特徴は、硬化した誘電体組成物を基板上に形成する方法に関する。熱硬化性の誘電体前駆材料と、その組成物がコーティング可能な粘性を有するような量の溶媒とを含む組成物が、基板上の少なくとも一部にコーティングされる。コーティングされた基板は、まず、少なくともコーティングされた誘電体前駆材料の一部は硬化せず、コーティングされた組成物は残留溶媒を含むような条件の下で、相対的に低い温度プロファイルで予め焼成される。誘電体前駆材料は、少なくとも実質的にはすべての誘電体前駆材料が硬化して誘電体組成物を形成するような条件の下で、第2の相対的に高い温度プロファイルで熱硬化される。硬化した誘電体組成物は、次に冷却される。
【0020】
本発明の別の特徴は、硬化した誘電体組成物を基板上に形成する方法に関する。熱硬化性の誘電体前駆材料を含む組成物が、基板上の少なくとも一部にコーティングされる。コーティングされた基板は、処理室内に配置される。コーティングされた基板が処理室内に配置されている間、誘電体駆動物質は熱硬化されて硬化した誘電体組成物を形成し(この際、少なくとも一部の熱硬化は、非酸素性の条件の下に生じる)、硬化した誘電体組成物はガスとの接触によって冷却される。硬化した誘電体組成物がガスとの接触によって冷却された後、コーティングされた基板は処理室から取り出される。
【0021】
別の構成によれば、本発明は、複数の基板上にそれぞれの誘電体組成物を形成する方法に関し、以下の工程を含むものである。すなわち、
(a) 第1基板上に第1誘電体の前駆材料からなる第1組成物を被膜する工程、
(b) 処理室内に前記被膜された前記第1基板を配置させる工程、
(c) 前記被膜された第1基板が前記処理室内に配置されている間に、
(i) 前記被膜した第1基板を熱硬化できる状態の下で、前記第1基板を熱源と熱的に接触させ、
(ii) 冷却用ガスを前記熱硬化した第1基板に接触させる工程、
(d) 前記第2基板に対して前記(a)〜(c)の工程を繰り返す工程を有することを特徴としている。
【0022】
別の構成によれば、本発明は、複数の基板上にそれぞれの誘電体組成物を形成する方法に関し、以下の工程を含むものである。すなわち、
(a) 少なくとも1つの処理されるべき基板を含んでいる第1、第2群の基板を準備する工程、
(b) 第1処理方法に従って、
(i) 前記第1群の各基板上に第1誘電体の前駆材料からなる組成物を被膜し、
(ii) 被膜された前記第1群の基板の各々を処理室内に配置し、
(iii) 前記第1群の基板の各々が前記処理室内に配置されている間、第1群の被膜された各基板が、熱硬化できる状態の下で熱源と熱的に接触させ、さらに、硬化した各第1基板に冷却用ガスを接触させる工程、
(c) 前記第1の処理方法と異なる第2の処理方法に従って、前記第2群の各基板に対して工程(b)を繰り返す工程を有することを特徴としている。
【0023】
別の構成によれば、本発明は、マイクロエレクトロニックデバイスの前駆材料を熱処理する装置に関する。この装置は、処理中に前駆材料が配置される処理室を含む。熱源は、処理中に前駆材料が加熱できるような方法で、処理室に結合される。冷却ガス源は、処理中に冷却ガスが前駆材料と接触して冷却するような方法で、処理室と流体的に連結される。制御システムは、前駆材料を、処理中に少なくとも1つの加熱工程と少なくとも1つの冷却工程とを含む所望の熱処理プロファイルに露出するために、熱源および冷却ガス源を制御する。
【0024】
別の構成によれば、本発明は、少なくとも1つの複合型加熱/冷却処理ステーションを含むクラスターツールを提供するものである。このステーションは、処理中に前駆材料が配置される処理室を含む。熱源は、処理中に前駆材料が加熱できるような方法で、処理室に結合される。冷却ガス源は、処理中に冷却ガスが前駆材料と接触して冷却するような方法で、処理室と流体的に連結される。
【0025】
本発明の上述した利点とその他の利点、およびそれらの利点を達成する方法は、付随する図面と関連させて以下の本発明の好適な実施形態の説明を参照することによってより明らかになり、本発明自体もより良く理解されるであろう。
【0026】
【発明の実施の形態】
(好適な実施形態の説明)
本発明の原理は、低kスピンオン誘電体を硬化させ、次に、ガスを使用してその場で冷却することができる革新的な処理ステーションと関連して有利に実施される。そのような処理ステーションの代表的な実施形態は、本発明の譲受人の同時係属中の米国特許出願であり、Womack およびその他を発明者とし、“ウエハ状物体の加熱及び冷却処理用熱処理室”と称する、1999年7月12日に出願された米国特許出願第09/351586号に開示されており、この特許の全体は参考として本説明に含まれる。そのような処理ステーションのもう1つの特に好適な実施例は、商標を“INSTACURE”と称し、FSI International, Inc.(Fremont, CA) から CALYPSOTM クラスターツールのモジュールとして購入できる。
【0027】
INSTACURE 処理ステーションは、上記本発明の譲受人の同時係属中の出願に記載された処理ステーションと概ね同一のものであるが、次のような5つの相違がある。第1に、INSTACURE 処理ステーションのチャンバおよびベークプレートは、200mm半導体ウエハおよび300mm半導体ウエハの両方を含む、ある範囲のサイズのデバイスを取り扱う大きさに作られている。第2に、INSTACURE 処理ステーションに含まれるベークプレートは、独立に制御可能な内側加熱領域と外側加熱領域とを含んでいる。第3に、INSTACURE 処理ステーションのリッド機構は、内部リッドプレナムおよび外部リッドプレナムの複数のガスポートを含むシャワーヘッド機構を通じて、1種類または複数種類のガスを処理室内に導入するように変更されている。第4に、INSTACURE 処理ステーションのベークプレート支持層のベースにおける冷却構造および排出構造は、よりコンパクトで効率的である。第5に、INSTACURE 処理ステーションのハウジングの底部は二重壁を備え、ハウジングの熱絶縁特性をさらに改善している。
【0028】
これら5つの変更点は、“INSTACURE”処理ステーション10モジュールの代表的な実施形態を示す図1〜図4に図示されている。処理ステーション10の部品および構成が、上記本発明の譲受人の同時係属中の出願における図1〜図6に示された熱処理ステーションの部品および構成と同様である限りにおいて、その特徴および機能は同様のものであるが、ここでは、それについて再び詳述することはしない。しかし、以下の説明は、好適な作動態様の詳細な説明と共に、上述した5つの相違点についてのより詳細な説明を含むものである。さらに、これらの各相違点はいずれも、独立に、または他との組み合わせでもって、本発明の処理ステーションに組み込めるものであることを理解されたい。
【0029】
図1〜図4には、処理ステーション10を含む装置が示されている。処理ステーション10は、支持板12上に支持され、これによって処理ステーション10を CALYPSOTM クラスターツールのモジュールキャビネットに容易に据え付けることができる。ステーションとして有利に使用されるのはそのようなクラスターツールであるが、この処理ステーション10は、独立なシステムとして提供することもでき、その場合には、処理ステーション10および選択的な支持板12は、適切なハウジング(図示せず)に支持、かつ収容されるものである。熱防護シールド15は、処理ステーション10上に装着され、処理ステーション10の高温時に操作者が誤って処理ステーション10に触れることを防ぐものである。
【0030】
処理ステーション10は円筒形をなし、円状の半導体ウエハに適応するものであるが、そうである必要はない。好ましくは、処理ステーション10はハウジング11を含み、ハウジング11は、二重パネルの底壁20、二重パネルの円筒状側壁22、および二重パネルのリッド24から形成される。これらのハウジング部品の好適な二重パネル構造は、処理室14を環境から熱絶縁するために役立つものである。
【0031】
処理ステーション10の部品は、金属、セラミックス、それらの組み合わせ、およびその類似物を含む、任意の適切な耐熱材料から形成することができる。好ましくは、処理ステーション10の部品は、アルミニウム、アルミニウム合金、ステンレス鋼、それらの組み合わせ、およびその類似物のような金属材料から形成される。さらに好ましくは、各部品はお互いに溶接され、そのような溶接を容易にするために同様の金属からなるものである。その結果、熱的にも機械的にも堅牢な構造となる。もちろん、他の応用に対しては、他の材料が適切である場合もあり、他の接合技術が使用できる。たとえば、十分低い処理温度で実行される処理のためには、高分子材料を使用し、接着剤によって部品を接合してもよい。
【0032】
搬送スロット26は、側壁22の一部を通じて提供され、処理室14への出入を提供する。好ましくは、この搬送スロット26は、処理室14に対する基板(図示せず)のロードおよびアンロードに使用されるロボット機構(図示せず)に適合するサイズおよび形状をなすものである。しかしながら、搬送スロットは、搬送スロット26の開放時の環境への過剰な露出を防ぐために、そのような出入に必要な程度以上に大き過ぎないことが好ましい。たとえば、処理室14内を無酸素性の環境に管理することが望ましい場合がしばしばある。このような状況では、環境から内部処理室14内への不要な酸素の流入を防ぐために、搬送スロットのサイズを最小化することが有益である。
【0033】
また、搬送スロット26は、チャンバドア28によって密閉可能であることが好ましい。チャンバドアは、ドア閉鎖機構30によって、その開放位置および閉鎖位置を含む可動域内を動作可能なものである。好ましくは、チャンバドア28は、開放方向に駆動する力が働いていない場合には、閉鎖位置の方向への偏りを有するものである。チャンバドア28は、処理ステーション10の外側に向かって開き、閉鎖時にはハウジングアダプタ29に装着される。ハウジングアダプタは、チャンバドア28を係合して閉鎖するために適した表面を備えるものである。ハウジングアダプタ29は、内部処理室14の密封性を損なうことなしに、任意の通常の方法でもって製造されたものであってよい。ハウジングアダプタ29は、処理ステーション10の外部に付属する別の部品として示されているが、所望の場合には、処理ステーション10のハウジング11と一体のものであってもよい。
【0034】
ドア閉鎖機構30は、チャンバドア28の開閉を制御する。ドア閉鎖機構30は、チャンバドア28の開放および閉鎖のための、任意の公知の機構を含むものであってよいが、好ましくは、このようなドア閉鎖機構30は、チャンバドア28をその開放位置および閉鎖位置の間で移動させる(すなわち、搬送スロット26の前へ、またはその前から移動させる)だけでなく、チャンバドア28が搬送スロット26の前の閉鎖位置にある場合には、チャンバドア28に対してチャンバの側壁22の方向への強制力をかけ得るものである。このようなドア閉鎖機構30は、チャンバドア28の内部面33上の周縁溝内に備えられたシール32と共に、内部処理室14を確実に密閉するものである。
【0035】
この密閉構成を容易にするために、搬送スロット26の周囲の側壁22に周縁フランジ34を固定し、チャンバドア28の閉鎖位置においてシール32が係合する、外部環状シール面35を提供することも好ましい。あるいは、空気圧式、水圧式、機械式および電気機械式の駆動装置を含むその他の閉鎖機構を代りに使用してもよい。しかし、チャンバドア28は、搬送スロット26を開放および閉鎖する位置の間のみを移動可能とするのではなく、側壁22に向かう移動およびそこから離れる移動を可能とし、良好な密閉構成を備えることが好ましい。そのような動作は、1つまたは複数の独立した駆動機構によって付与することができる。
【0036】
本発明の1つの観点に従って、側壁22は多重壁構造(すなわち、2つまたはそれ以上の間隙を有する複数の壁)を備えることが好ましい。たとえば、図1〜図4に示された実施形態に従えば、側壁22は、半径方向に延びた環状頂部36、半径方向に伸びた環状中間部38、半径方向に伸びた環状底部40を備えている。さらに、外壁42が備えられて環状頂部36、環状中間部38、環状底部40に接合し、二重壁構造を規定している。外壁42は、上部壁44と下部壁46を含んでいてもよく、これらは、別々に、または単一の一体化した部品として組み立てられるものであってもよい。この点に関連して、環状中間部38は側壁22の周囲全体に渡って延びる場合もあり、または、散在する複数の突起のようなものとして側壁22の回りに配される場合もある。あるいは、環状中間部38はなくてもよいが、二重壁構造が機械的構造強度を備えることに役立つため、それが存在することが好ましい。
【0037】
いずれの場合においても、二重壁構造は1つまたは複数の内部壁空間を規定し、図に示されるように、上部壁空間48と下部壁空間50に分けられる。上部壁空間48は、搬送スロット26を規定する周縁フランジ34が側壁22の一部で上部壁空間を遮断するため、内部処理室14の回り全体に延在するものではない。下部壁空間50は、好ましくは、内部処理室14の回り全体に延在するものである。外壁42は側壁22と接合され、周縁フランジ34は側壁22と接合される。この接合には、任意の適切な接合技術を使用してもよいが、好ましくは溶接によるものである。
【0038】
処理室の底壁20は、好ましくは、側壁22と一体に形成されるものである。しかしながら、そうでない場合には、別々に形成されて、溶接のような任意の通常の方法によって側壁22に固定される構造であってもよい。本実施形態では、底壁20は、内壁層21と外部パネル23を含む二重壁構造を備えている。外部パネル23は、内壁層21から空隙を有するように、リブ25に固着される。空間27は、この空隙構造によって規定される。底壁20は、好ましくはその中央部に位置する開口部52を備え、それによって、加熱プラテンポスト94を通じて加熱プラテン18に対して出入する部品の通過を容易にする。
【0039】
加熱プラテン18は、処理ステーション10の処理室14内に位置し、工程内のマイクロエレクトロニックデバイスの基板(図示せず)と加熱プラテンとが熱的に接触している時に、基板に移行する熱を発生するものである。以下に説明する、処理ステーション10の好適な作動態様と関連して、熱的な接触は必ずしも基板と支持表面90とが直接物理的に接触することを意味しない。実際、好適な方法では、支持表面90と基板との間には小さな間隙があり、それでも熱の伝達は発生する。定常状態作動では、加熱プラテン18は、通常、使用している特定の種類のスピンオン誘電体の硬化に効果的な温度に維持される。この温度は、典型的には約350℃〜450℃の範囲である。
【0040】
加熱プラテン18は、好ましくは、基板へ熱を移行させる加熱機構を備えるものである。図4に、好適な加熱機構を示す。この好適な加熱機構は、ホットプレート18に埋め込まれた、一組の螺旋状電気抵抗発熱素子130、131を備えている。各発熱素子130、131は、それぞれ内側加熱領域および外側加熱領域を均一に横断し、各領域の温度を独立に制御することができる。このような方法で複数の加熱領域を使用することによって、支持表面90全体に渡って均一な温度を設定し、維持することが容易になる。
【0041】
所望の場合には、その他の加熱機構を使用してもよい。たとえば、上述した本発明の譲受人の同時係属中の特許出願には、均一な螺旋状をなして加熱プラテンに埋め込まれた単一の発熱線を備える加熱プラテンが開示されている。発熱線の代りに、表面にヒータ回路が印刷されたフィルム層またはマイカ層を含むような面状発熱体を使用してもよい。そのような面状発熱体を、支持表面90上に形成することもできる。あるいは、加熱プラテン18の頂面上、印刷を用いてその積層内の層上、またはその底面上に、1つまたは複数のヒータ回路を直接印刷してもよい。さらなる代替手段として、本発明の譲受人が所有する、1998年3月5日に出願された同時係属中の米国特許出願第09/035628号に開示されている加熱基板を使用してもよい。その他の選択肢として、加熱プラテン18は、所望の熱を発生させるための高温の処理流体が流れる、1つまたは複数の内部通路を備えていてもよい。
【0042】
加熱プラテン18は、少なくともその一部は、中空のペデスタルベース54上に支持されている。中空のペデスタルベース54は、底壁20のチャンバ内部側に形成された環状逃げ部56内に装着されている。ペデスタルベース54は、底壁20に通常のボルト58によって固定してもよい。ペデスタルベース54の中空性は、加熱プラテン28を底壁20から熱絶縁するために役立つ。内部処理室14を効率的に密閉するために、ペデスタルベース54の下部面62と、環状逃げ部56の底部との間にシールリング60が備えられ、これによって、良好な密閉性が得られる。この構成を容易にするために、底壁20の中央部64はより肉厚であることが好ましい。
【0043】
底壁20の肉厚の中央部64には、排気チャンネル66も位置し、処理室14から除去される処理流体のための通路となる。排気チャンネル66は、内部チャンバ側に開口した中央部64の環状逃げ部と同様に環状とすることが好ましい。中央部64の残りの厚み部分を通じて延在する1つまたは複数の通路(図示せず)も備えられ、これによって、排出流体を通常の配管などによって排気チャンネル66から処理ステーション10の外部へ排出することができる。処理室14から排気チャンネル66に通じる吸気通路のサイズを変更するために、着脱自在な排気プレート70が備えられ、この排気プレートは、全開放領域に任意の所望のパターンで排気プレート70に沿って配された一群のオリフィス72を備えている。したがって、排気チャンネル66を排出システム(たとえば、真空)に、流体的に連結することによって、流体は、処理室14からオリフィス72を通じて排気チャンネル66へ、そして処理ステーション10の外部へと排出される。着脱自在な排気プレート70を使用することによって、排気プレート70を、異なるサイズもしくはパターン、またはその両方を有する別の排気プレートと交換するだけで、オリフィス72のサイズ、パターン、配置を、処理ステーション10の特定の用途によって容易に変更することが可能となる。排気プレート70は、好ましくは環状逃げ部69の段差部分に装着されるものであり、また好ましくはペデスタルベース54が重なる部分によって固定されるものである。
【0044】
底壁20の中央部64は、冷却流体(たとえば、気体または液体)を循環させる冷却チャンネル78も備え、これはシールリング60の冷却に役立つ。冷却チャンネル78は、好ましくは底壁20の中央部64に形成された溝を含み、この溝は、底壁20の外側に対して開口するものである。冷却チャンネル78は、好ましくは平面図で見た場合に概ね円状のチャンネルをなし、シールリング60に熱的に近接するものであるが、完全な円には達しないように留め、冷却チャンネル78の一端を注入口として、他端を排出口として使用できるようにすることが好ましい。冷却チャンネル78を外部から閉鎖するため、底壁20の中央部64にプレート80が固定され、これによって冷却チャンネル78は密閉されて、注入通路および排出通路(図示せず)が提供される。この注入および排出通路によって、冷却チャンネル78を、任意の通常の方法によって、適切に冷却システムの入出ラインに流体的に連結することができる。
【0045】
また、底壁20の中央部64は、その内部でリフトピン機構84を往復運動させる何本かの(好ましくは3本の)通路82(図2には、1本だけ図示する)を備えている。単にリフトピン機構84の往復運動を容易にするだけでなく、通路82は、内部処理室14を環境から効果的に密閉した状態で、この運動を可能にしなければならない。これを実行するために、中央部64の外側に形成された溝内において通路82の回りにシールリング86が装着され、これによって、リフトピン機構84に伴う密閉性と移動性とを同時に与えるものである。このようなシールリング86は、装着プレートによって、次に、複数の締結具またはその他の任意の通常の手段によって固定されるもであってもよい。
【0046】
通路82、したがってリフトピン機構84は、開口部52と同心に配されることが好ましいが、必ずしもその必要があるわけではない。リフトピンは通路88(図2には、1本のみを示す)内に延在し、通路88は、加熱プラテン18の厚肉部を貫通している。加熱プラテン18は、ペデスタルベース54によって直接的に支持され、工程内のマイクロエレクトロニックデバイスの基板(図示せず)を熱伝達接触状態で配置できる支持表面90を備えている。リフトピン機構84は、その先端部85が基板を熱伝達接触位置に配置する場所から移動可能である。
【0047】
また、リフトピン機構84は、往復運動機構(図示せず)によって駆動されて、その先端部85が支持表面90の十分上方であって、工程内基板を加熱プラテン18との熱伝達接触なしに支持できる位置にまで移動可能である。リフトピン機構84の移動によって、どの程度支持表面90から基板を物理的に分離しなければならないかは、冷却処理における必要性および内部処理室14の流体フロー特性に依存する。つまり、リフトピン機構84は、工程内基板を物理的および熱的に加熱プラテン18から分離できる程度に上昇させることができ、また基板を支持表面90との熱接触がもたらされる程度に下降させることができる。
【0048】
複数のリフトピン機構84の同時動作を達成するために、各リフトピン84は、プレートまたはリング(図示せず)のような共通の部材に接続され、駆動機構92は、その1つの部材を駆動するだけですべてのリフトピン機構92を同時に上昇および下降させられることが好ましい。駆動機構92は、ステップモータで駆動されるリードスクリュー機構などの、直線移動を可能にする任意の公知の機構を備えていてもよい。さらに好ましくは、各リフトピン84は、真空ラインもしくは真空システムに通常の方法によって連結された内部通路92を備え、先端部85から吸引することによって、先端部85に基板を保持するものである。
【0049】
温度検知機構93は、加熱プラテン18に結合して加熱プラテンの温度を監視する。温度検知用機構は、アパーチャ95を通じて処理室14内に入れられ、カラー97によってその場所に保持される。オーリング99は、この開口部の密閉に役立つものである。温度検知機構93は、RTDまたは熱電対のような任意の通常の機構であってもよい。温度検知機構93は、制御回路(図示せず)に接続されて、加熱プラテンの温度は、通常の方法で監視および制御される。制御機構自体は本発明の特定の部分を構成するものではなく、温度検知情報に基づいて発生させられる熱の制御の基本作動と矛盾しない任意の公知の方法によって達成することができる。
【0050】
リッド24は、処理ステーション10の頂部を密閉する。リッド24は、好ましくは、二重パネル構造をなし、概ね、円形のパネル98が空隙を介してカバープレート100に接合されることによって形成されるものである。パネル98とカバープレート100との間の空隙は、外周壁フランジ102および内部の環状壁フランジ104によって保持され、環状壁フランジは、概ね、外周壁フランジ102と同心であって、半径方向に内側の位置に配されている。リッド24の強度を増大させるため、カバープレート100は、さらに、間隔を有して配される複数の柱部材106によって支持されている。柱部材106と環状壁フランジ104との間に延在する放射状強化リブ108によって、リッド24はさらに強化されている。カバープレート100は、複数の締結具110を使用してその場所に固定される。ガスケット112(図2には図示せず)は、カバープレート100とそれに接合されるリッド24のその他の部分との間の良好な密閉性を保つために役立つものである。
【0051】
したがって、リッド24の構造は、外側の環状プレナム114および内側プレナム116に区画される。外側プレナム114は、複数のポート118を通じて処理室14と流体をやり取りし、ポートは、好適な環状配列によってパネル98の環状部分122の回りに一様に散在する。各プレナム114、116は、処理ガスが、制御されたシャワー状の形態において独立にまたは集合的に処理室14に導入される通路を、それぞれ独立に提供する。このために、1つまたは複数の処理ガス源(図示せず)を、通常の配管によって外側プレナム114および内側プレナム116に独立に連結してもよい。
【0052】
図1〜図4に示す複合型硬化/現場冷却処理ステーション10は、第1に、硬化性の誘電体前駆材料を含む膜を硬化して誘電体膜を形成すること、第2に、硬化した膜が形成された基板を装置10から取り出す前に、硬化した膜をその場で冷却することにおいて、有利に使用される。膜のその場での冷却は、膜の熱酸化分解を最小化するために重要である。硬化処理およびその場での冷却処理を達成する好適な作動態様には、ロード段階、ガスパージ段階、硬化段階、冷却段階、アンロード段階が含まれる。ロード段階では、ドア28が開き、基板を処理室14内に配置することが可能となる。この時、1種類または複数種類の非酸化ガスの環状のカーテンが、リッド24の外側プレナム108を通じてチャンバ内を下方に流れ、環境から処理室14を絶縁する。ここで、ロード段階の間に、1種類または複数種類の非酸化ガスを、内側プレナム110からも処理室内に流れるように追加できるが、このことは必ずしも必要ではない。乾燥した、または実質的に乾燥した誘電体前駆材料を含む、焼成された膜が形成された基板は、上昇したリフトピン機構84上であって、一般には、基板と加熱プラテン18との実質的な熱接触が存在しない位置に配置される。次に、ドア28が閉鎖されて、処理室14を環境から密閉する。
【0053】
この段階および作動の任意の段階に渡って、外側プレナム114もしくは内側プレナム116、またはその両方を通じて流れる非酸化ガスは、場合に応じて、窒素、ヘリウム、アルゴン、NおよびHを含むフォーミングガス、これらの組み合わせ、および類似物から、独立に選択することが好ましい。現在、好んで使用されるのは窒素である。ガスは、広い範囲内の任意の温度で供給することができる。代表的な温度範囲は、約0℃〜約300度に及び、好ましくは約15℃〜約250℃、さらに好ましくは、おおよそ環境温度、すなわち約20℃から約25℃である。硬化処理とその場での冷却処理との全体に渡って、外側プレナム114および内側プレナム116からの各ガスの流れは、0.5立方フィート/時間〜100立方フィート/時間の範囲内のそれぞれの流量をもって、独立に供給される。外側のガスの流れに対する好適な流量は40立方フィート/時間、内側のガスの流れに対する好適な流量は約50立方フィート/時であり、これとは反対の設定が好適な場合もある。
【0054】
作動の次の段階は、ガスパージ段階である。この段階では、酸素の含量が制御されて低減化された非酸素性の処理環境を、制御可能な態様で設定して維持するために、処理室14が、1種類または複数種類の非酸化ガスの流れでもってパージされる。多くの誘電体前駆材料だけでなく、合成される誘電体膜も、酸素が存在する高温環境における熱酸化を受け易いため、硬化段階において、酸素レベルを制御する機能は重要である。多くの誘電体前駆材料、特に有機成分を含む誘電体前駆材料では、特定の材料によって約325℃から約375℃の範囲の温度においては、通常の空気中においてさえも熱酸化がリスクとなる。誘電体前駆材料は、一般にこの温度範囲よりも高い温度で硬化されるため、これらの材料を保持する基板は、熱酸化が大きなリスクである程度にその基板が高温である限り、非酸素性の環境中に維持されることが望ましい。したがって、使用する誘電体が有機材料であある場合には、酸素含量は可能な限り低いことが望ましい。実際には、非酸素処理中の酸素含量は、好ましくは最大200ppm、さらに好ましくは1〜200ppm、最も好ましくは5〜20ppmである。
【0055】
誘電体の種類によっては、硬化を優先方向へ確実に進行させるために、いくらか高い酸素濃度が望ましい場合もある。たとえば、無機誘電体前駆材料に対しては、硬化に伴う副反応を最小化するために、酸素の存在が有利に働く。したがって、使用する誘電体材料が無機材料、または無機―有機複合材料の場合には、処理室14は、100ppm〜2000pp m、好ましくは約200ppmの酸素を含む非酸素性の処理環境を設定するために効果的な条件の下に、パージされる。
【0056】
処理室14内の酸素レベルを制御することに加えて、所望の場合、このパージ段階で処理室14内を真空にし、硬化される膜の誘電特性を改善してもよい。このような真空の設定が特に望ましいのは、多孔質誘電体膜を形成する場合である。多孔質誘電体膜の種類によっては、誘電体前駆材料のみではなく、硬化条件下で揮発する傾向が高く、硬化時にアウトガスを発生して多孔性を作る1種類または複数種類の材料(“ポロジェン”)を含んでいる。真空は、そのようなアウトガスの発生を促進するために使用される。設定すべき真空レベルは、誘電体の性質によって変化し、通常その材料の製造業者によって指定される。1つの指針として、1torr から環境気圧程度までの範囲の真空を設定する機能が適切であろう。現在、好んで使用される真空レベルは、約20torr である。
【0057】
窒素パージの間に、非酸化ガスは、好ましくはリッド24の内側プレナム116を通じ、処理室内部のガスを一掃しながら処理室14に流入する。外側プレナム114を通じた非酸化ガスの流入を追加的に使用することもできるが、必ずしも必要ではない。内側プレナム116を通じた50立方フィート/時間の流入では、パージは約10秒間〜約5分間、好ましくは約15秒〜60秒で発生する。
【0058】
処理室中の酸素含量が所望のレベルに達した後、作動における硬化段階が開始する。基板を支持するリフトピン機構84は、基板を加熱プラテン18との熱接触の位置まで下降させる。本発明の実施例では、熱接触は、基板と加熱プラテン18との実際の物理的接触を含んでいてもよいが、実際の物理的接触は必ずしも必要ではない。基板を加熱プラテン18に近接させる(たとえば、6mil〜50milの距離)ことによって、効果的な加熱を達成することができる。実際、用途によってはこのような空隙が好ましく、それは、実際の物理的接触によって基板の裏面が汚染される場合があるからである。結果として、基板は、誘電体前駆材料を硬化して基板上に誘電体膜を形成するために効果的な条件の下で加熱される。硬化温度は、硬化される誘電体前駆材料の種類に依存して変化する。市販されている誘電体前駆材料に対しては、加熱プラテン18は、典型的には約350℃〜約450℃の範囲内の温度に維持される。基板の効果時間も、硬化される誘電体前駆材料の種類によって変化する。一般に、本発明の処理ステーション10は、非常に高速に硬化を達成する能力を有し、硬化時間は10分以内、好ましくは1〜3分で十分であろう。
【0059】
硬化段階の完了後、冷却段階が開始される。誘電体膜は、高温において環境に露出されると熱酸化を受け易いため、基板は、処理ステーション10から取り出される前に、十分低いしきい値温度までその場で冷却される。しきい値温度は、通常200℃〜約325℃の範囲内であり、好ましくは250℃である。所望の場合、より低い温度を使用することができるが、この場合にはサイクル時間が増大する。また、所望の場合、より高い温度を使用することもできるが、この場合には硬化した膜が熱酸化を被る危険性が増大する。
【0060】
本発明の実施例では、このようなその場での冷却は、リフトピン機構84を上昇させ、基板と加熱プラテン18との熱的な結合を解除することによって達成される。実際には、加熱プラテン18と上昇した基板と間の物理的な間隔は、通常100mil〜600milであり、好ましくは約530milである。次に、その場での冷却は、基板が処理ステーション10内に残っている間に、内側プレナム116を通じて流入するガスが基板と接触し、冷却することによって達成される。所望の場合、外側プレナム114を通じた処理室内へのガスの流入を追加的に使用することもできるが、これは必ずしも必要ではない。冷却処理は比較的高速であり、約1〜2分で達成される。
【0061】
望ましい目的温度まで基板を冷却した後、作動のアンロード段階において、基板が処理ステーション10から取り出される。上昇したリフトピン処理機構84上に支持された硬化処理および冷却処理後の基板に対して、(これ以前に、ガスが流れていない場合には)外側プレナム116を通じたガスの流れのカーテンが形成され、処理室14と環境との間の障壁を形成する。内側プレナム116を通じたガスの流入を追加的に維持することもできるが、これは必ずしも必要ではない。流れのカーテンが形成された後、ドア28が開放され、適切なハンドラ(たとえば、ロボットなど)によって処理室14から基板が取り出される。
【0062】
図5に、図1〜4に示す複合型硬化/現場冷却処理ステーション10において、酸素レベルを制御できる本発明の方法の一実施形態を示す。図5には、処理ステーション10が簡略化した形式で示されている。処理ステーション10には、処理室14が含まれ、処理室には、加熱プラテン18上のリフトピン84上に工程内のマイクロエレクトロニックデバイスの基板16が支持されている。ドア28の開閉によって、処理ステーション14に対するロードおよびアンロードが可能となる。本発明に従ってその場での冷却を実行するために、冷却ガス源170は、供給ライン172を介して処理室14に流体的に連結されている。ライン172を通じた冷却ガスの流れは、バルブ174、176によって制御される。ガス源178からの(環境中の空気のような)酸素含有ガスは、ライン180を介してライン172に流体的に連結されている。バルブ182は、冷却ガスと組み合わせる酸素含有ガスの量を制御し、これによって、処理室14内に流入する冷却ガス中の酸素レベルを、硬化処理時およびその場での冷却処理時における所望の処理レベルに維持制御できる。バルブ176は、処理室14に流入する酸素含有冷却ガスの流れを制御する。1つまたは複数の他の処理ガスを、処理室14に流体的に連結することもできる。説明のため、窒素と水素とを含む通常のフォーミングガスの源184は、供給ライン186を介して処理室14に流体的に連結するものとする。バルブ188は、フォーミングガスの流れを制御する。処理ガスは、排気ライン190を介してチャンバ14から排出される。所望の場合、排気ライン190が真空ポンプ192に連結するように操作することによって、処理室14を真空とすることができる。バルブ193は、処理室14からの排気ガスを制御する。
【0063】
処理室14内の酸素レベルを監視および制御するために、排気ガスの一部がライン194を通じて酸素センサ196に引き込まれている。バルブ198は、この流れを制御する。酸素センサ196は、少なくとも約5ppm〜約500ppm の範囲の酸素レベルを正確に測定できる、任意の適切なセンサであってよい。このような種々のセンサが市販されており、いずれを使用しても構わない。本発明の実施例にとって適切と思われる酸素センサの例は、販売名を Oxygen Analyzer No.60−0329 と称し、Illinois Instruments, Inc. から購入できる。
【0064】
酸素センサ196は、排気ガス中の酸素レベルを示す出力信号200を発生させる。出力信号200はコントローラ202に送信される。通常の制御方法を使用して、コントローラ202は、排気ガス中の酸素含量が所望のレベルからどの程度はずれているかを示す制御信号204を発生させる。適切な制御信号204は、バルブ182に送信され、測定された酸素レベルが高すぎる場合には、ライン172への酸素含有ガスの流量を低減させ、または測定された酸素レベルが低すぎた場合には、ライン172への酸素含有ガスの流量を増大させる。
【0065】
図5には、処理室14内の酸素レベルを制御するためのフィードバック方法が示されているが、任意の他の適切な制御方法を使用してもよい。たとえば、処理室14に流入するガス中の酸素レベルを測定し、その測定値を使用してバルブ182に送信する適切な制御信号を導出することによって、フィードフォワード制御が実装できる。その他の方法として、入口と出口の両方のガス中の酸素レベルを監視して、フィードバック制御方法とフィードフォワード制御方法との組み合わせの基礎とすることもできる。
【0066】
本発明の複合型硬化/現場冷却ステーションは、コーティング、焼成、硬化、冷却の各処理のすべてを1つの統合化システムで実行するクラスターツールに有利に組み込むことができる。本発明の硬化及びその場での冷却能力を組み込んだ、特に好適な統合化クラスターツールは、CALYPSOの登録商標で、FSI International, Inc.(Fremont, CA)から購入できる。このクラスターツールは、マイクロエレクトロニックデバイスの基板上に低kスピンオン誘電体膜を形成するために、有利に使用される。このクラスターツールは、スピンコーティング、焼成、硬化、その場での冷却、および更なる冷却処理を、生産力の大きな単一のプラットフォームに統合化するものである。複数の種類のステーションを追加して、設置面積を増大させることなく生産性を高めることもできる。このステーションを使用して形成された誘電体膜は、炉による処理で形成された同様の膜と比較して、より低い屈折率と誘電率を有する傾向がある。
【0067】
特に、硬化およびその場での冷却処理能力によって、硬化処理のために別の炉を所有する必要性はなくなり、さらに 低kスピンオン誘電体膜を形成するために必要なクリーンルームの全床面積は最小化される。つまり、このツールは、貴重なクリーンルームの床面積を非常に効率的に使用しながら、高い生産性を提供するものである。このツールによれば、約64平方フィートの設置面積を占有するのみであるにもかかわらす、100枚に及ぶ1時間当たりの処理基板数が容易に達成できる。コーティング処理、焼成処理、硬化処理、および冷却処理を1つのツーツに統合化するこによって、低kスピンオン誘電体の品質および均一性が大幅に高まるだけでなく、デバイスの汚染も低減化される。硬化処理およびその場での冷却処理は、図1〜4または上述した本発明の譲受人の同時係属中の特許出願で示された、単一の複合型硬化/現場冷却装置によって達成するのが好ましい。
【0068】
CALYPSO(登録商標)のクラスターツールは、処理能力の点で大いに融通性がある。基板は、処理される1つまたはそれ以上の基板に対する同一の処理法又はカスタムメイドの処理法を用いて、個別処理、順次処理、または並行処理することができる。このツールは、異なるサイズの基板を容易に取り扱うことができる。例えば、中央に位置したロボット上にエンドエフェクタを単に切り替えることによって、コーティングステーションでのスピンコートシールドを行い、次に、PCベースの制御装置において、適当な処理法を選択することによって、クラスターツールは、200mm処理能力から300mm処理能力に変換でき、またその逆への変換も可能である。200mmのウエハと300mmのウエハをそれぞれ処理するために、個別の装置を備える必要を省くことができる。これは、また別の方法があり、クラスターツールは、クリーンルームの床スペースを節約することができる。クラスターツールは、また、多孔性の誘電体膜を含む誘電体被膜を形成することができる。この膜は、低kスピンオン誘電体前駆材料上の広範囲から選択され、商業的に有益なPOLY ELK,LKD,HSG,ポーラスSILK2,ISP,及びポーラスFLARE,誘電体前駆材料を含んでいるが、これらに制限されない。
【0069】
CALYPSO(登録商標)のクラスターツールは、また、従来の炉を用いる処理に比較して、より低k誘電体膜を準備する。このクラスターツール上で実施される本発明の原理を用いて製造される誘電体膜は、より低い屈折率を有し、ウエハ同士の驚異的な厚さの均一性を示す。例えば、FLARE材料から誘電体膜を形成するとき、ウエハ間の厚さの均一性は、0.2%又はそれ以上(1シグマ)の範囲と成ることが容易に達成される。本発明の実施では、厚さの均一性は、ナノメトリックスツースを用いて測定された49個の厚さデータ点から決定される。他の同一の炉ベースの処理と比較すると、本発明の誘電体膜は、より熱的な安定性を有する傾向がある。誘電体膜の高い品質によって、最終の膜は、LSI応用のためのマルチレベルのアルミニウム(減法金属処理[subtractive metal processing])および/または銅(デュアル ダマスク象眼 処理[dual damascene processing])とを用いて統合することができる。
【0070】
可変性のCALYPSO(登録商標)の代表的な実施形態のクラスターツール300は、図6に概略的に示されており、このクラスターツール300は、一般的に、中央ロボット310の回りに配置されて作動可能になる複数のモジュール302,303,304,305,306,307,308を含んでいる。有利なことに、各モジュール302,303,304,305,306,307,308は、フレーム、スキン、電子機器、制御ハードウエアおよびプロセッサ、設備接続部を有する完全に自立型のモジュールである。設備接続部は、ロボット310の下側中央に配置された設備プラットフォームに個別に連結される。このモジュール方式により、個々のモジュールをサービス、アップグレート、交換等のために容易に取り除くことができる。
【0071】
壁312は、1つまたはそれ以上のドア、パネル等のアクセスポートを有し、サービスまたはメンテナンスのためにクラスターツール300内に接近することができる。壁312は、また、1つ以上の透明パネルを有し、クラスターツール300の内部領域316を目視することができる。
【0072】
ロボット310は、ベース322、ジョイント326でベースに取り付けたジョイントアーム324、およびアーム324の遠方端330に取外し自在に取り付けたエンドエフェクタ328を備えている。エンドエフェクタ328は、処理されるべきウエハを取外し可能に把持する。いくつかの実施形態では、アーム324上に設けられるエンドエフェクタは、特定の寸法または寸法範囲を有するデバイスを把持しかつ開放することができるように設計されている。
【0073】
このような実施形態では、エンドエフェクタは、200mmウエハから300mmウエハの処理またはその逆に切り替えるために交換される。他の実施形態では、いわゆるユニバーサルのエンドエフェクタが用いられ、このエンドエフェクタは、種々のサイズのウエハを把持しかつ開放することができる。このような実施形態では、同じエンドエフェクタが、別のエンドエフェクタに置き換えることなく200mm及び300mmのウエハの両方を取り扱えるために用いることもできる。有利なことに、ロボット310は、クラスターツール300内のどのステーションに到達することもできる。この十分な、作動範囲は、付加的および/または第2のロボットの必要を省く。1つまたはそれ以上のこのような付加的なロボットは、所望であれば、クラスターツール300内に包含させることができる。
【0074】
モジュラー式処理ステーションの統合した選択が可能となるように、ロボット310が、種々のモジュール302,303,304,305,306,307,308に到達できる作業範囲内に配置される。1つ以上のモジュール302,303,304,305,306,307,308におけるこの種のステーション、各ステーションの数、及び各ステーションの配置は、所望の処理能力、使用される処理方法、利用可能な設備、製造られるデバイスの形式等の要因に従う。各モジュールには、1つ以上のステーションが配置され、特定のモジュールに配置されたステーションは、同一又は異なるものとすることができる。説明のために、クラスターツール300におけるステーションの1つの適当な配置が図6に示されている。
【0075】
特に、1つ以上の入力/出力(I/O)ステーションが、モジュール302内に挿入される。1つ以上のウエハ344を保持する1つまたはそれ以上のカセット342が、ドア(図示略)またはポッド(図示略)を介してI/Oステーション340内に載置することができる。ロボット310は、一般的に一度に1つのウエハをキャビネット302の内面350上のドア(図示略)を介して、カセット342内に保持されるウエハ344にアクセスすることができる。説明のために、3つのI/Oステーションが示されている。
【0076】
1つ以上のスピンコート用ステーションがモジュール303内に含まれている。製造のために、2〜3のスピンコート用ステーションが配置されることが望ましい。モジュール304は、種々のステーションに用いられる1つ以上の処理薬品のリザーバ349(説明のために4つのリザーバ349が示されている。)に貯蔵するのに用いることができる。例えば、1つのリザーバ349は、溶媒のために使用され、別のリザーバは、誘電体前駆材料の流体を保持するのに使用される。これらのリザーバ349は、適当な鉛管類(図示略)によって1つ以上のスピンコート用ステーションに流体連結される。
【0077】
1つ以上の焼成ステーションがモジュール306に包含される。この焼成ステーションは、焼成プレートばかりでなくステーション内の冷却プレートを含むことができ、焼成作業後の冷却を完了させる。モジュール306の好ましい実施形態では、6つの焼成ステーションを含む。モジュール306は、また、1つの冷却ステーションを含む。本発明の硬化/冷却の組合せステーションがモジュール307に包含される。モジュール307の好ましい実施形態では、6つの硬化/冷却の組合せステーションを含む。キャビネット308は、クラスターツール300を操作するための中央コントローラを備えることもできる。
【0078】
他の実施形態におけるCALYPSO(登録商標)のクラスターツール300’が図7に示され、このツールの形状は、リサーチ及び現像処理のために適切なものである。クラスターツール300’は、一般的に、複数のモジュール302’,303’,304’,305’,306’,307’,308’を含み、中央ロボット310’の回りで作動可能に配置される。壁312’は、内部領域316’を分離するのに役立つ。モジュール302’は、一対のI/O(FOUP)ステーションを内装する。モジュール303’は、単一のスピンコート用ステーションを内装し、かつスピンコート薬品、例えば、ある実施形態では1つの溶媒と、3つの異なるスピンコート用流体を内装するモジュール304’の隣に配置される。最近のCALYPSO(登録商標)の設計において、2つまでのスピンコート用ステーションをモジュール303’に包含させることができる。より多くのスピンコート用ステーションを望むのであれば、1つ以上の付加的なモジュールをクラスターツール300’に付加することができる。モジュール305’は、現在は空で将来の拡張のために付加的な処理ステーションを内装することもできる。モジュール306’は、3つの焼成ステーションと1つの冷却ステーションを内装する。4つ以上の焼成ステーションまではモジュール306’に付加することができる。モジュール307’は、一対の硬化/冷却ステーションを内装し、かつこれらを4つ以上まで保持することができる。コントローラは、モジュール308’に内装される。
【0079】
本発明のクラスターツールの好ましい作業モードが、図6および図8に示されたフローチャートに関連して以下に記載される。ステップ402において、ロボット310がI/Oステーション340からウエハ344を引き出す。このウエハを引き出す前に、その時、またはその後に、ステップ404において、ウエハのために1つの処理法が選択される。フローチャート400における残りの処理ステップは、このような処理法の選択により実行される。ステップ406において、ロボット310は、スピンコート用ステーションにウエアを運ぶ。ステップ408に従うステーションでは、スピンコート可能な組成物は、ウエハが回転するときウエハ上に注がれる硬化可能な誘電体の前駆材料と溶媒を含んでいる。これは、使用されるコーティング材料に従って、1つ以上のコーティングステップにより達成することができる。スピンコートは、比較的薄く、均一な、流体による被膜をウエハ上に形成し、この被膜は、誘電体前駆材料と溶媒からなる。
【0080】
一般的に、スピンコートの作業において、この組成物は、回転する基板の中央に分配される。例えば、CALYPSO(登録商標)のクラスターツールのスピンコートステーションは、同一または異なる基板上に3つまでの異なる薬品を通常連続して分配するために利用できる3つのノズルを含んでいる。この3つのノズル構造は、プライミング(priminig)、溶媒を用いるプレ−ウェッティング(pre−wetting)、及び製造業者により推奨される上層コーティングの3ステップ処理において、基板上にSILK材料をコーティングするために役立つ。各例において使用されるノズルは、基板を回転する中心軸に接近して転換されて分配を実行する。
【0081】
中央分配は適切な技術であるが、いくつかの他のアプローチを用いて、効果的にスピンコートされる基板に必要な分配される材料の量を減少させることができる。このような1つの技術は、基板に溶媒を注いで濡らし、その後、誘電体組成物が分配される量を減少させる。プレ−ウェッティングは、誘電体組成物の必要量を減少することができる。別の技術では、回転する基板に対して外側又は内側のいずれかから半径方向にノズルが移動して誘電体組成物を分配することを含んでいる。半径方向に分配することは、プレ−ウェッティングのステップと組み合わせて用いることができる。半径方向への分配は、中心部への分配と比較すると、誘電体組成物の必要量を25%だけ減少することができる。
【0082】
スピンコートの後、エッジビード除去(EBR)および/またはバックサイドリンス(BSR)処理が選択的に行われる。このEBR処理は、適当な技術を用いて実施できる。好ましいEBR処理は、被膜されたウエハを適当な速度で回転させながら被膜されたウエハ上に溶媒のジェットを吹付けてエッジビードを切断することにより行われる。この方法で、エッジビードを切断するとき、誘電体材料の一部分は、ウエハの背面に移動する。従って、EBR処理に続いてBSR処理を用いることが望ましく、ウエハの回転中に、背面側の破片が溶媒によって洗い流される。
【0083】
好ましくは、EBR処理/BSR処理は、被膜された誘電体の前駆材料が適度に乾燥された後に実施される。1つのアプローチによれば、被膜されたウエハは、スピンコート用ステーション内に乾燥することができる。しかし、ウエハの大きさ及び薄膜の厚さにより、このような乾燥は約1分〜約5分間必要とされる。これは、特に、300mmウエハ上に被膜を1〜2μmの厚さに施した場合である。この乾燥時間を減少させるために、被膜されたウエハを焼成ステーションへの移送を早めることができる。このため、被膜されたウエハを2〜3秒間焼成し、そして、被膜されたウエハをスピンコート用ステーションに戻して、EBR処理とBSR処理を行う。
【0084】
スピンコーティング及び他の選択的なEBRおよび/またはBSR処理が行われた後、ステップ410に従って、ロボット310は、被膜したウエハを焼成ステーションに運ぶ。この焼成ステーションでは、ステップ412に従って、ウエハは焼成されて次に続く硬化ステップで硬化するために被膜した薄膜を準備するために溶媒の全てまたは一部分を取り除く。焼成は、一般的に、比較的低い温度範囲内で起こり、その温度は、推奨硬化温度以下であり、熱的な酸化の危険が少なくとも避けられる。この温度は、好ましくは十分に高く、しかし、溶媒が適切な時間で取り除けることができるような温度である。一般的に、焼成中、いわゆる重合作用のB段階に対する誘電体材料の硬化の促進がある。焼成のための一般的な温度は、約100℃〜ら350℃の範囲にあり、好ましくは、材料によって決まるが、約200℃〜325℃である。
【0085】
焼付けコーティングにおいて残留している溶媒があると、最終の硬化した薄膜の品質及び均一性に目立った影響を与えることが見出された。特に、全て又はほとんどの溶媒(すなわち、焼付けコーティングが、焼付けコーティングの全重量に基づく0.1重量%又はそれ以下の残留溶媒を含んでいる場合)が、焼成中のコーティングから取り除かれる場合、最終の硬化した誘電体膜の品質が、デバイス間で大きく変化する。さらに、最終の硬化した材料自体が、最初の前駆材料に基づいて予想されるものと大いに異なってしまう。
【0086】
対照的に、残留溶媒の量が焼成後のコーティングにおいて残り、さらに硬化中に存在する場合、最終の硬化した薄膜の特性が大いに均一になる。この硬化した材料自体の性質は、最初の前駆材料に対してより良く相関している。従って、焼成ステップにおいて残留溶媒のわずかな量だけ残してほとんどを除去することが好ましい。それゆえ、本発明の焼付けコーティングは、少なくとも約0.1重量%〜約5重量%、好ましくは約0.5〜2重量%の残留溶媒を含み、また、さらに最適には、焼付けコーティングの全重量に基づく残留溶媒の約1重量%を含むことが望ましい。
【0087】
焼成が完了すると、ウエハは、冷却され、そしてステップ414に従って、ロボット310は、焼成ステーションから硬化及び冷却の組合せステーションに焼成したウエハを運ぶ。ステップ416では、ウエハは、組合せステーションに載置され、このステーションにNが除去され、あるいは同様にOを所望のレベルまで減少させ、ウエハ上に焼成した被膜が硬化される。そして、硬化した薄膜は、冷却ガスを用いて冷却され、冷却されたウエハがステーション362から取り出される。
【0088】
ステップ418に従って、ロボットはウエハを冷却ステーションに運ぶ。そこで、ウエハは、ステップ420において、所望の最終温度に冷却される。ロボット310は、ステップ422において、ウエハをI/Oステーションに戻し、そのウエハのための処理を完了する。フローチャート400に示された処理が、同一および/または異なる処理法を用いて、1つまたはそれ以上の連続する付加的なウエハに対して連繰り返される。薄膜が形成された後、その後、適当な技術を用いて絶縁要素又は同等物を形成するためにパターン化される。
【0089】
本発明におけるクラスターツール等の統合処理システムの環境における本来の冷却工程は、大きな利益を与える。上述したように、第1に、この冷却は、ウエハがステーション362から取り出されたとき、ウエハを熱的酸化から保護するのを助ける。これは、最終の誘電体膜の品質を確実に高める。第2に、ロボットがより熱いウエハを処理するときの環境に比較して、冷却したウエハにエンドエフェクタによる印を残すことなく取り扱える。これは、最終の誘電体膜の品質を向上させる。
【0090】
第3に、図8において、本来の冷却工程は、処理されたウエハが硬化及び冷却作業中に全く同一の処理が行われるので、硬化及び冷却工程の間の時間間隔tにおける変動を取り除く。この一致したタイミング能力は、最終の誘電体膜の品質を高めるばかりでなく、ウエハ同士における誘電体膜上の硬化した低誘電率スピンコートの均一性を激的に向上させる。対照的に、硬化および冷却工程の間の時間間隔tにおける変動があまりに大きいと、炉内でバッチ硬化されるウエハの場合、均一性は、より悪くなり、独特な処理による印が個々のウエハに対して識別できなくなる。
【0091】
実際、この時間間隔tを一致させ、また、好ましくは、時間間隔tと時間間隔tのステーション間の移送を一定に維持しかつ制御することは、マイクロエレクトロニクスの基板の処理において、非常に柔軟性と均一性を与える。焼成、コーティング、硬化及び冷却の各作業間のこれらの時間間隔を一定にさせることによって、次の特定の処理法が、基板から基板へほとんど変動なく特定の品質を有する誘電体膜を与えることになる。
【0092】
例えば、複数のウエハは、システムを通して順次処理され、各ウエハは、同一のコーティング、焼成、硬化、冷却の工程、さらに、選択的に、(同一の時間間隔t、t、tを含む)冷却法が施され、ウエハ間の厚さが均一な低k誘電体の薄膜を有する基板が与えられる。
【0093】
さらに、複数のウエハが、2つ又はそれ以上の処理法を用いて順次システムを通して処理される。そして、特定の処理法に従って処理された一群のウエハが最終的に互いに区別できないようになる。この柔軟性は、フライ上で処理されるカスタムメードの基板を可能にする。このような均一性は、誘電体組成物の回転によるコーティング、焼成、硬化、及び冷却工程が、単一の統合したシステムに統合されなければ、時間間隔t、t、tが容易に制御されないので、容易に達成することができない。
【0094】
さらに、クラスターツール300は、マルチコーティング、焼成、硬化/冷却、及び冷却の各ステーションを含むので、複数のウエハが1つまたはそれ以上の処理法に従って並行に処理することができる。重要なことは、少なくとも一部は、時間間隔t、tに渡るステーション間の制御と、時間間隔tのステーション内の制御に頼っていることであり、(たとえ、時間tが実際にはゼロでほとんど遅れることなく硬化から冷却へ移行できるとしても、)同一の処理法に従って準備された薄膜の均一性を得るのは例外的であり、特定の基板が別の基板よりも1つまたはそれ以上の異なるステーションで処理することができたとしても、薄膜の均一性を得ることは難しい。
【0095】
従って、複数の基板に特定のコーティング、焼成、及び硬化/現場冷却処理法を施すとき、このようなデバイスの各々に対して時間間隔t、t、tの少なくとも1つが制御されることが望ましい。さらに好ましくは、これらの時間間隔の2つまたはそれ以上が、基板から基板へ一定となるように制御され、さらに、それぞれの基板に対してこれらの時間間隔の全てが制御されることが望ましい。これらの時間間隔に関して「ほぼ同一」という語句は、特定の基板に対するこのような時間間隔が、このような処理法に従って処理される他の基板に対する対応する時間間隔に対して、25%以下、好ましくは10%以下、より好ましくは、せいぜい約1%だけ変化することを意味する。
【0096】
ウエハの並行処理は、図9にフローチャート500によって概略的に示されており、このチャートに示すシステムでは、単一のI/Oステーション、3つのスピンコーティングステーション、3つの焼成ステーション、3つの硬化/冷却ステーション、及び3つの冷却ステーションを含んでいる。フローチャート500は、3つの代表的な処理ウエハに対する処理のフローパス501a、501b及び501cが示されている。典型的な処理のフローパス501a、501b及び501cによって示されているように、各ウエハは、ステップ502において、I/Oステーションから引き出され、そして、ステップ504において、他の処理中のウエハに対する処理法と同一又は異なる特定の処理法を用いて関連付けられる。
【0097】
つぎに、各ウエハは、コーティングステップ506、焼成ステップ508、硬化/冷却ステップ510、冷却ステップ512、およびデバイスがI/Oステーションに戻されるステップ5 14を順次通過する。この処理は、所望の数のウエハに対して繰り返すことができる。単一の処理法が全てのウエハに行われると、各ウエハ上の最終の誘電体膜は、たとえ1つの特定の薄膜が他の薄膜よりも1つまたはそれ以上の異なるステーションで処理されたとしても、同一となる。1つ以上の処理法が行われる場合、特定の処理法に従って製造された最終の薄膜は、最終的に互いに同一となる。
【0098】
最大の処理能力に対して、3つのウエハが並行して処理される。本発明の実施において、これは、必ずしも特定の3つのウエハが並列に同一ステップで処理されることを意味するもののではなく、むしろ1つのウエハに対するコーティング、焼成、及び硬化/冷却の作業段階の少なくとも一部分が、他のウエハの一方または両方に対してコーティング、焼成、及び硬化/冷却の作業段階の少なくとも一部分が生じている間に、起こることを意味している。
【0099】
さらに、1つ以上のウエハが、特定のフローパス501a、501b及び501cに従ってまたは同等のパスが一度に処理される。例えば、フローパス501a上の1つのウエハが冷却ステップ512がおこなわれている場合、1つまたはそれ以上のウエハが、1つまたはそれ以上の他のステーションで同一のフローパスに従う。言い換えれば、処理中のウエハは、1つのステーションを出て、このステーションが空くや否や、処理能力を最大化させるために、別の処理中でのウエハをそのステーションで処理することができる。次の実施例に関連して、さらに本発明を説明する。
【0100】
(実施例1)
低kスピンオン誘電体薄膜は、CALYPSO(登録商標)のクラスターツールを用いる25個のシリコンウエハのバッチ上に形成された。ウエハを貯蔵するカセットは、クラスターのI/Oモジュール内に配置される。各ウエハは、クラスターツールと共にパッケージされたソフトウエアを介して制御される同一の、所定のシーケンシャル処理を用いて処理された。
【0101】
各ウエハは、最初、中央ロボットによってI/Oモジュールから被膜ステーションに搬送された。被膜ステーションでは、ウエハは定着剤(ダウケミカル社から利用できるAP4000)を用いて被膜された。このAP4000の定着剤(1ml)は、ウエハが2500rpmで回転している間各ウエハの中心に分配された。この分配の後、中央ロボットは、ウエハを被膜モジュールから焼成ステーションに搬送する。ウエハ上のAP4000の被膜は、200℃で60秒間焼成された。このウエハは、次に、中央ロボットによって焼成ステーションから冷却ステーションに運ばれ、20℃で20秒間処理された。中央ロボットは、冷却したウエハを移動して被膜ステーションに戻した。この被膜ステーションにおいて、低kSILK誘電体の前駆材料(ダウケミカル社)が、動的な分配法を用いて1500rpmの分配速度でウエハの中心に(1.8ml〜3ml)分配された。この分配の後、ウエハのスピン速度は、45秒間で3600rpmにまで上昇された。エッジビードの除去(EBR)がウエハのエッジから2mmの位置でシクロヘキサノン(cyclohexanone)を用いて1500rpmで実行した。BSR処理は、また1500rpmのスピン速度でシクロヘキサノンを用いて実行された。ウエハは、さらに10秒間3000rpmでスピンコートが続けられた。
【0102】
中央ロボットがウエハを第1のINSTACURETMステーションに運び、325℃で60秒間ベーキング(焼成)工程を実行した。このウエハは、450℃に維持された加熱プラテンを有する次のINSTACURETMステーションに運ばれた。被膜されたSILK材料の薄膜は、INSTACURETMステーション内において、450℃で180秒間、酸素レベルが20ppm以下に維持された状態で硬化された。この硬化されたウエハは、INSTACURETMステーション内で、窒素を用いて嫌気性状態を維持しながらウエハを支持するためのピンを用いる加熱プラテンからウエハを持ち上げることによって冷却された。ウエハがいったん325℃以下に冷却されると、ウエハは、次に冷却プレート上に運ばれて20℃で20秒間冷却された。この処理された複数のウエハは、カセットに戻された。
【0103】
INSTACURETMステーション内にウエハが載置されている間、ウエハが上昇ピン上に配置されたとき、窒素が55 標準ft/hr(SCFH)で外側プレナムを通して流れた。ドアを閉鎖した後、ウエハがまだピン上にあるとき、窒素が55 SCFHで内側プレナムを通って流れ、また、窒素が45 SCFHで外側プレナムを通って流れた。硬化中、窒素は、45 SCFHで内側プレナムを通って流れた。ウエハがリフトピン上で冷却された時、窒素は、それぞれ55 SCFHおよび45 SCFHで、内側および外側プレナムを通って流れた。
【0104】
各ウエハ上の最終のSILK薄膜の厚さは、ナノメトリクス(Nanometrics)で商業的に利用可能な器具を用いて測定された。平均厚さは、約5000オングストロームであり、厚さの均一性は、=0.15%(1シグマ)であった。
【0105】
比較のために、25個のウエハのバッチが同様の方法で処理された。一方、比較用のウエハは、これらとは異なり、炉内(エクスシチュー法)において、400℃で60分間硬化され、かつ冷却された。
【0106】
CALYPSO(登録商標)のクラスターツールで処理されたウエハの種々の特性が測定され、また比較用のウエハが測定された。CALYPSO(登録商標)のクラスターツールで処理されたウエハの平均屈折率1.6089と、炉内で硬化した薄膜の平均屈折率1.6206がそれぞれ改善されたことが示された。この特性と他のデータが、次の表1のように報告された。

Figure 2004502535
【0107】
(実施例2)
低kスピンコートによる誘電体の薄膜は、CALYPSO(登録商標)のクラスターツールを用いる25個のシリコンウエハのバッチ上に形成された。ウエハを貯蔵するカセットは、クラスターのI/Oモジュール内に配置される。各ウエハは、クラスターツールと共にパッケージされたソフトウエアを介して制御される同一の、所定のシーケンシャル処理を用いて処理された。
【0108】
この処理を実行するために、ウエハはロボットによって被膜ステーションに搬送された。低誘電率SILK(新バージョン、定着剤を必要としない)誘電体の前駆材料(ダウケミカル社)の溶媒は、動的な分配法を用いて1500rpmの分配速度でウエハの中心に(1.8ml〜3ml)分配された。この分配の後、ウエハのスピン速度は、45秒間で3600rpmにまで上昇させた。エッジビードの除去(EBR)がウエハのエッジから2mmの位置でシクロヘキサノン(cyclohexanone)を用いて1500rpmで実行した。BSR処理は、また1500rpmのスピン速度でシクロヘキサノンを用いて実行された。ウエハは、さらに10秒間3000rpmでスピンコートが続けられた。
【0109】
中央ロボットがウエハを第1のINSTACURETMステーションに運び、325℃で60秒間ベーキング工程を実行した。このウエハは、450℃に維持された前加熱プラテンを有する次のINSTACURETMステーションに運ばれた。被膜されたSILK材料の薄膜は、INSTACURETMステーション内において、450℃で180秒間、酸素レベルが20ppm以下に維持された状態で硬化された。この硬化されたウエハは、INSTACURETMステーション内で、窒素を用いて嫌気性状態を維持しながら325℃以下の温度に冷却された。ウエハは、冷却プレート上に運ばれて20℃で20秒間冷却された。この処理された複数のウエハは、カセットに戻された。
【0110】
処理室内に載置されている間、窒素が55 SCFHで外側プレナムを通して流れた。ドアを閉鎖した後、ウエハがまだピン上にあるとき、窒素が55 SCFHで内側プレナムを通って流れ、また、窒素が45 SCFHで外側プレナムを通って流れた。硬化中、窒素は、45 SCFHで内側プレナムを通って流れた。ウエハが昇降ピン上で冷却された時、窒素は、それぞれ55 SCFHおよび45 SCFHで、内側および外側プレナムを通って流れた。
【0111】
各ウエハ上のSILK薄膜の厚さは、ナノメトリクス(Nanometrics)で商業的に利用可能な器具を用いて測定された。平均厚さは、約5900オングストロームであり、厚さの均一性は、0.15%(1シグマ)以内であった。314nmでの薄膜の屈折率は、1.907であった。
【0112】
(実施例3)
実施例2と同様の方法で、300nmのウエハがCALYPSO(登録商標)のクラスターツール上で処理された。しかし、これらのウエハは、各ウエハが1700rpmで回転している間に、低誘電率SILKの誘電体前駆材料で被膜された。さらに、ウエハは、冷却され、そしてカセットに戻すために運ばれる前に、300℃以下で本来の場所において冷却された。最終の薄膜の平均厚さは、約10,000オングストロームであり、厚さの均一性は、<0.25%(1シグマ)であった。314nmでの薄膜の屈折率は、1.904であった。
【0113】
(実施例4)
低kスピンコートによる誘電体の薄膜は、CALYPSO(登録商標)のクラスターツールを用いる25個のシリコンウエハのバッチ上に形成された。ウエハを貯蔵するカセットは、クラスターのI/Oモジュール内に配置される。各ウエハは、クラスターツールと共にパッケージされたソフトウエアを介して制御される同一の、所定のシーケンシャル処理を用いて処理された。
【0114】
この処理を実行するために、中央ロボットによってウエハは被膜ステーションに搬送された。低誘電率FLAREの誘電体前駆材料(ハネウエル社)は、動的な分配法を用いて1500rpmの分配速度でウエハの中心に(2.5ml)分配された。この分配の後、ウエハのスピン速度は、40秒間で3600rpmにまで上昇させた。エッジビードの除去(EBR)がウエハのエッジから2mmの位置でシクロヘキサノン(cyclohexanone)を用いて1500rpmで実行した。BSR処理は、また1500rpmのスピン速度でシクロヘキサノンを用いて実行された。ウエハは、さらに10秒間3000rpmでスピンコートが続けられた。
【0115】
中央ロボットが、複数のウエハをそれぞれ、150℃、200℃、250℃に維持された連続する焼成ステーションに運んだ。この焼成工程は、各温度において60秒間実行された。これらのウエハは、さらに、450℃に維持された前加熱プラテンを有するINSTACURETMステーションに運ばれた。被膜されたFLARE材料の薄膜は、INSTACURETMステーション内において、450℃で180秒間、酸素レベルが20ppm以下に維持された状態で硬化された。この硬化されたウエハは、INSTACURETMステーション内で、窒素を用いて嫌気性状態を維持しながら325℃以下の温度に冷却された。ウエハは、冷却プレート上に運ばれて20℃で20秒間冷却された。この処理された複数のウエハは、カセットに戻された。
【0116】
処理室内に載置されている間、窒素が55 SCFHで外側プレナムを通して流れた。ドアを閉鎖した後、ウエハが加熱されたプラテンと熱的に接触して低下する前に、窒素が55 SCFHで内側プレナムを通って流れ、また、窒素が45 SCFHで外側プレナムを通って流れた。硬化中、窒素は、55 SCFHで内側プレナムを通って流れた。ウエハの冷却中、窒素は、それぞれ55 SCFHおよび45 SCFHで、内側および外側プレナムを通って流れた。
【0117】
各ウエハ上のFLARE薄膜の厚さは、ナノメトリクス(Nanometrics)で商業的に利用可能な器具を用いて測定された。平均厚さは、約5990オングストロームであり、厚さの均一性は、0.15%(1シグマ)以内であった。
【0118】
比較のために、25個のウエハのバッチが同様の方法で処理された。比較用のウエハは、炉内(エクスシチュー法)において、400℃で60分間硬化され、かつ冷却されたことを除く。
【0119】
CALYPSO(登録商標)のクラスターツールで処理されたウエハの種々の特性が測定され、また比較用のウエハが測定された。CALYPSO(登録商標)のクラスターツールで処理されたウエハの平均屈折率1.6206と、炉内で硬化した薄膜の平均屈折率1.6206がそれぞれ改善されたことが示された。この特性と他のデータが、次の表2のように報告された。
Figure 2004502535
【0120】
本発明の他の実施形態は、本明細書又はここに開示された本発明の実例から当業者であれば容易に明らかになるであろう。ここに記載された原理および実施形態に対する種々の省略、修正、及び変更は、特許請求の範囲に記載された本発明の範囲及び技術的思想から逸脱しないで当業者によって作成することができる。
【図面の簡単な説明】
【図1】
本発明に係る統合化された硬化/現場冷却ステーションの一部分解斜視図である。
【図2】
図1に示す装置の処理室の断面図である。
【図3】
図1の装置で使用されるリッドの分解斜視図である。
【図4】
図1の装置で使用される加熱プラテンとその発熱素子の概略図である。
【図5】
図1の装置の作動中に酸素レベルを制御するシステムの概念図である。
【図6】
生産に適した、本発明に係るツールクラスターの概略図である。
【図7】
研究および開発に適した、本発明に係るツールクラスターの概略図である。
【図8】
基板上に低kスピンオン誘電体膜を形成するための、図6のツールクラスターの1つの作動態様を示すフローチャートである。
【図9】
並列的な方法で、基板上に低kスピンオン誘電体膜を形成するための、図6のツールクラスターの別の作動態様を示すフローチャートである。[0001]
(Technical field)
The present invention relates to systems and methods for forming dielectric films suitable for microelectronics applications, such as for use in forming interconnect structures in logic and memory devices. In particular, the present invention relates to a method in which such a film thermally cures a dielectric precursor material on a substrate of a microelectronic device in a processing chamber and then in-situ cures the cured material before the substrate is removed from the chamber. An integrated system and method formed by cooling at the same time.
[0002]
(Background technology)
As the operating frequency of electronic devices enters the gigahertz range and device geometries shrink, high-performance ULSI circuits are designed to reduce power consumption, reduce signal delays, and minimize interconnect crosstalk. There is a need for low dielectric constant insulating materials (low k dielectrics). Low dielectric constant materials are highly desirable for many microelectronic device applications, including applications for gap filling and Damascene processes. The dielectric constant of a dielectric is one of the characteristics indicating its quality. Other properties indicative of the quality of the dielectric include mechanical properties, refractive index, uniformity, thermal stability, manufacturability, integration with Cu or Al, and the like.
[0003]
Most dielectric films are formed using either spin coating or chemical vapor deposition (eg, PECVD or HDP). In the spin coating method, a spin coatable solution containing a dielectric film precursor (usually at least one of a curable monomer, oligomer, and polymer) dissolved in a suitable solvent is converted into a microelectronic device precursor. Spin coating is performed on a rotating substrate, such as a material (eg, a silicon wafer) or the like, to form a uniform, relatively thin coating on the substrate. The coated substrate is then fired, typically at a temperature in the range of 100 ° C. to about 325 ° C., to remove the solution, dry the coating, and allow the dielectric to proceed to the B stage of polymerization. Is done. The coating is then cured by heating the substrate at a suitable curing temperature, typically in the range of about 350C to about 450C, and proceeds to the C stage of polymerization.
[0004]
The cured dielectric film is generally referred to as a low k spin-on dielectric. A variety of low k spin-on dielectric precursor materials are available from various companies and are sold for forming dielectric films in microelectronic devices. Representative examples of such products are marketed under the following trademarks: That is, Honeywell, Inc. FLARE, Dow Chemical Co. SILK, Schumacher's VALOX, Honeywell, Inc. HOSP, and HSQ from Dow Corning Company. FLARE and SILK are polyarylethers and aromatic hydrocarbons, respectively, and are organic materials. HOSP is a methyl-substituted silsesquioxane, an inorganic-organic composite. HSQ is hydrogenated silsesquioxane, an inorganic material. Successful integration of these materials with multi-layered aluminum and / or copper in a dual damascene process requires specific process steps for each material, but each material is typically about Heat cured at a temperature in the range of 350C to about 450C.
[0005]
Dielectric precursor materials that form porous dielectric films (preferably ultra-low k and ultra-low k films) upon curing are also known. These materials generally include not only the curable dielectric precursor material but also one or more relatively volatile components (referred to as "porogens"). These porogens have a tendency to evolve gas upon curing and contribute to the porosity of the membrane. Representative examples of such materials are known under the following trademarks respectively. That is, Dow Chemical Co. NAUTILUS TM , Honeywell, Inc. POROUS FLARE TM POLY ELK from Schumacher (Carlsbad, CA) TM And MONO ELK TM , JSR Corp. LKD TM , Catalysts and Chemicals, Ltd. (Japan) ISP TM , Hitachi Chemical Co., Japan. , Ltd., Ltd. HSG TM It is.
[0006]
The method of curing the low-k spin-on dielectric has a significant effect on the quality of the synthesized dielectric film. If the curing is performed carelessly, the quality of the film is impaired. For example, the film may be of poor quality and cannot be used as a blanket film, may not be able to withstand the aluminum or copper integration process, or may be both. Factors affecting the curing include the time of the curing process, the temperature, and the method of controlling the processing environment.
[0007]
Conventionally, the coating step and the baking step have been performed in the same apparatus by an integrated method. However, the curing step has usually been accomplished by heating a batch of coated microelectronic device substrates in an independent furnace. Furnaces were preferred because it was conventional wisdom that curing treatment with a heated platen could not produce a dielectric film of the quality required by the microelectronics industry.
[0008]
[Problems to be solved by the invention]
However, in the inventor's view, furnace treatment is not the optimal method. Furnace curing is often a batch process, which involves curing 30 to 100 or more wafers at once, but manufacturers have decided to use each and every wafer in the process. For single wafer processing, which helps to ensure that they are processed under the same conditions. Single-wafer processing is desirable because only one substrate is at risk if the processing fails. On the other hand, if a failure occurs during the curing process in an oven, the entire batch of substrates may be damaged. Given that a single 300 mm wafer in a process can be worth up to $ 1 million or more, it is important to minimize the risk of processing.
[0009]
In the course of developing the present invention, the inventor has confirmed that some non-uniformity and quality problems inevitably occur during the formation of the dielectric film during furnace processing. In the inventor's view, at least in part, the reason for these non-uniformity and quality problems is that the time between firing and curing steps varies too much from substrate to substrate. In general, previously fired substrates must wait until later substrates are fired before the entire batch is placed in the furnace. Certainly, in the conventional treatment, the management of the time between the firing step and the curing step was insufficient. Time management between and within other stations was also inadequate. Examples in conventional processing include a delay between the coating and baking steps, and a delay between the curing step and the subsequent cooling step. The inventors have discovered that this type of time variation can have a detrimental effect on film quality and uniformity between lots and even between substrates. Furthermore, unlike compact, space-saving heating platens, many furnaces are stand-alone units of considerable size that occupy valuable cleanroom floor space.
[0010]
Thus, to improve the method of manufacturing low-k dielectric films, and in particular to minimize the risk of processing variations having a detrimental effect on multiple wafers by using single-wafer processing. Still have strong demands. There is still a need for effective use of curing of low k spin-on dielectrics with a heated platen.
[0011]
(Disclosure of the Invention)
The present invention provides an improved single wafer processing method and system for producing films having low dielectric properties and low refractive index. The low k spin-on dielectric films of the present invention are also characterized by exceptionally high levels of uniformity, thermal stability, and mechanical properties. Importantly, these features are achieved with high productivity, for example, up to 100 substrates per hour per 64 square feet of clean room floor space. Further, in a preferred embodiment, both 200 mm and 300 mm substrates can be processed with the same tool.
[0012]
[Means for Solving the Problems]
The present invention is based on several innovations that, alone and in combination, serve to provide these advantages. First, it has been discovered that controlling the time of at least one of the steps of coating, baking, curing, and cooling significantly increases the productivity, uniformity, and quality of the low-k dielectric film. Such uniformity allows substrates to be processed in series, in parallel, or as required by the customer, using one or more processing techniques. At this time, it is possible to process with confidence that the films formed according to a specific method are substantially identical to each other, and the variation due to the effects of the processing remaining on the film is very small, if any. it can.
[0013]
Further, the quality and uniformity of the low k spin-on dielectric has also been greatly enhanced by integrating the coating, firing, curing, and cooling actions into a single integrated processing system. Such integration makes it possible to control the consistency and timing of all processing steps performed during the formation of the dielectric film. A specific processing method can be executed for each substrate or each lot with almost no detectable variation as compared with the processing using a conventional furnace.
[0014]
Another feature of the present invention that helps provide a high quality dielectric film is an innovative processing station where a curing process and an in-situ cooling process after curing are performed. By integrating the curing and cooling processes into the same processing station, any type of variation in the curing and cooling processes, including time variations, is virtually eliminated. This is an extremely advantageous point, as the curing step in forming the dielectric film is often the most important step during processing. Integrates curing and cooling into a single processing station, providing precise control of oxygen exposure at all times when low-k spin-on dielectric films are hot enough to be damaged by thermal oxidation It is also possible to do. In situ cooling, as opposed to robotic handling of hot devices with a dielectric film, minimizes the effect of the robot on the cooled device when the device is removed from the equipment by the robot. It is also possible.
[0015]
The present invention also includes an innovative configuration of an integrated curing / in-situ cooling processing station, which allows the use of a simple heated platen instead of a furnace in the thermal curing process. Because the heating platen is more compact than the furnace, the availability of the heating platen allows the processing station to be integrated into the same set of tools as the coating station and firing station. This makes it easy to form the dielectric film consistently with little variation in individual processing steps for each substrate and each lot.
[0016]
Many features of this innovative configuration allow for the curing of a low k spin-on dielectric with a heated platen during production and / or R & D. These innovative features include, but are not limited to: That is,
(A) an innovative lid mechanism with multiple plenums that can carefully control the gas flow dynamics in the chamber;
(B) a side door mechanism that minimizes exposure to the surrounding environment when loading and unloading the substrate of the microelectronic device in the process;
(C) a hollow base supporting the heating platen, which serves to thermally insulate the heating platen from its housing;
(D) a housing with a double wall that enhances thermal insulation from the environment of the heating platen and the processing chamber;
(E) a cooled seal between the heated platen utility passage and the housing (this allows the use of a polymeric seal, which could otherwise be damaged by the high temperatures created by the spin-on dielectric curing process). ,
(F) the ability to control the oxygen content in the processing chamber for any desired time in the curing and cooling operating phases;
(G) the ability to evacuate the processing chamber when handling materials such as porous spin-on dielectrics, and
(H) The ability to cool devices in place using gas
It is.
[0017]
One aspect of the invention relates to a method of forming a cured dielectric composition on a substrate, comprising the following steps. That is,
(A) coating at least a portion of the substrate with a composition comprising a thermally curable dielectric precursor material;
(B) placing the coated substrate in a processing chamber;
(C) while the coated substrate is placed in the processing chamber,
(I) thermally curing the dielectric precursor material to form a cured dielectric composition;
(Ii) contacting a cooling gas with the cured dielectric composition;
(D) contacting the cooling gas with the cured dielectric composition, and then discharging the coated substrate from a processing chamber.
[0018]
Another aspect of the invention relates to a method of forming a dielectric composition on a plurality of substrates, including the following steps. That is,
(A) coating a composition comprising a curable dielectric precursor on a first substrate;
(B) starting a baking process in advance after a first time interval from the end of the coating process, and firing the coated substrate in advance;
(C) starting a thermal curing process after a second time interval from the end of the pre-baking process to thermally cure the coated substrate;
(D) starting the cooling process after a third time interval from the end of the thermal curing process to cool the thermally cured substrate;
(E) repeating steps (a)-(d) for at least one additional substrate, wherein the coated first substrate and the at least one additional coating Characterized in that the respective second time intervals for each of the prepared substrates are substantially equal.
[0019]
Another aspect of the invention relates to a method of forming a cured dielectric composition on a substrate. A composition comprising a thermosetting dielectric precursor material and an amount of a solvent such that the composition has a coatable viscosity is coated on at least a portion of the substrate. The coated substrate is first pre-fired at a relatively low temperature profile under conditions such that at least a portion of the coated dielectric precursor material does not cure and the coated composition contains residual solvent. Is done. The dielectric precursor is thermally cured at a second, relatively high temperature profile under conditions such that at least substantially all of the dielectric precursor is cured to form a dielectric composition. The cured dielectric composition is then cooled.
[0020]
Another aspect of the invention relates to a method of forming a cured dielectric composition on a substrate. A composition comprising a thermoset dielectric precursor material is coated on at least a portion of the substrate. The coated substrate is placed in a processing chamber. While the coated substrate is placed in the processing chamber, the dielectric activator is thermally cured to form a cured dielectric composition, wherein at least some of the thermal curing is performed under non-oxygen conditions. Below), the cured dielectric composition is cooled by contact with the gas. After the cured dielectric composition has cooled by contact with the gas, the coated substrate is removed from the processing chamber.
[0021]
According to another aspect, the present invention relates to a method of forming a respective dielectric composition on a plurality of substrates, comprising the following steps. That is,
(A) coating a first composition comprising a first dielectric precursor material on a first substrate;
(B) arranging the coated first substrate in a processing chamber;
(C) while the coated first substrate is placed in the processing chamber,
(I) bringing the first substrate into thermal contact with a heat source in a state where the first substrate thus coated can be thermally cured;
(Ii) contacting a cooling gas with the thermoset first substrate;
(D) a step of repeating the steps (a) to (c) for the second substrate.
[0022]
According to another aspect, the present invention relates to a method of forming a respective dielectric composition on a plurality of substrates, comprising the following steps. That is,
(A) providing a first and a second group of substrates including at least one substrate to be processed;
(B) According to the first processing method,
(I) coating a composition comprising a first dielectric precursor material on each of the first group of substrates;
(Ii) placing each of the coated first group of substrates in a processing chamber;
(Iii) while each of the first group of substrates is disposed in the processing chamber, the first group of coated substrates is in thermal contact with a heat source in a thermosetting condition; and Contacting a cooling gas with each of the cured first substrates;
(C) a step of repeating step (b) for each substrate of the second group according to a second processing method different from the first processing method.
[0023]
According to another aspect, the invention relates to an apparatus for heat treating a precursor for a microelectronic device. The apparatus includes a processing chamber in which precursor materials are disposed during processing. The heat source is coupled to the processing chamber in such a way that the precursor material can be heated during processing. The cooling gas source is fluidly connected to the processing chamber in such a way that the cooling gas contacts and cools the precursor material during processing. The control system controls the heat and cooling gas sources to expose the precursor material to a desired heat treatment profile that includes at least one heating step and at least one cooling step during processing.
[0024]
According to another configuration, the present invention provides a cluster tool that includes at least one combined heating / cooling processing station. The station includes a processing chamber in which precursor materials are placed during processing. The heat source is coupled to the processing chamber in such a way that the precursor material can be heated during processing. The cooling gas source is fluidly connected to the processing chamber in such a way that the cooling gas contacts and cools the precursor material during processing.
[0025]
The above and other advantages of the present invention, and the manner of achieving them, will become more apparent by referring to the following description of a preferred embodiment of the invention in connection with the accompanying drawings, in which: The invention itself will be better understood.
[0026]
BEST MODE FOR CARRYING OUT THE INVENTION
(Description of a preferred embodiment)
The principles of the present invention are advantageously implemented in connection with an innovative processing station that can cure a low k spin-on dielectric and then cool it in place using gas. An exemplary embodiment of such a processing station is a co-pending U.S. patent application of the assignee of the present invention, assigned to Womack and others, and entitled "Heat Treatment Chamber for Heating and Cooling Waferlike Objects" No. 09 / 351,586, filed Jul. 12, 1999, which is hereby incorporated by reference in its entirety. Another particularly preferred embodiment of such a processing station is called "INSTACURE" and is a trademark of FSI International, Inc. (Fremont, CA) to CALYPSO TM It can be purchased as a cluster tool module.
[0027]
The INSTACURE processing station is generally the same as the processing station described in the above-assigned co-pending application of the present invention, with the following five differences. First, the chambers and bake plates of the INSTACURE processing station are sized to handle a range of device sizes, including both 200 mm and 300 mm semiconductor wafers. Second, the bake plate included in the INSTACURE processing station includes independently controllable inner and outer heating zones. Third, the lid mechanism of the INSTACURE processing station has been modified to introduce one or more gases into the processing chamber through a showerhead mechanism including a plurality of gas ports in the inner and outer lid plenums. . Fourth, the cooling and evacuation structures at the base of the bake plate support layer of the INSTACURE processing station are more compact and efficient. Fifth, the bottom of the INSTACURE processing station housing has double walls to further improve the thermal insulation properties of the housing.
[0028]
These five changes are illustrated in FIGS. 1-4, which illustrate an exemplary embodiment of the "INSTACURE" processing station 10 module. As long as the parts and configuration of the processing station 10 are similar to the parts and configuration of the heat treatment station shown in FIGS. 1 to 6 in the above-identified co-pending application of the assignee of the present invention, their features and functions are similar. , But will not be described again in detail here. However, the following description includes a more detailed description of the five differences described above, as well as a detailed description of the preferred mode of operation. Further, it should be understood that each of these differences can be incorporated, independently or in combination with the others, into the processing station of the present invention.
[0029]
1 to 4 show an apparatus including a processing station 10. The processing station 10 is supported on a support plate 12, whereby the processing station 10 is TM It can be easily installed in the module cabinet of the cluster tool. Although such a cluster tool is advantageously used as a station, the processing station 10 can also be provided as an independent system, in which case the processing station 10 and the optional support plate 12 , Supported and housed in a suitable housing (not shown). The thermal protection shield 15 is mounted on the processing station 10 and prevents an operator from accidentally touching the processing station 10 when the temperature of the processing station 10 is high.
[0030]
Although processing station 10 is cylindrical and accommodates circular semiconductor wafers, it need not be. Preferably, the processing station 10 includes a housing 11, which is formed from a double panel bottom wall 20, a double panel cylindrical side wall 22, and a double panel lid 24. The preferred double panel construction of these housing components helps to thermally insulate the processing chamber 14 from the environment.
[0031]
The components of the processing station 10 can be formed from any suitable refractory material, including metals, ceramics, combinations thereof, and the like. Preferably, the components of the processing station 10 are formed from a metallic material such as aluminum, aluminum alloys, stainless steel, combinations thereof, and the like. More preferably, the parts are welded together and are of similar metal to facilitate such welding. The result is a thermally and mechanically robust structure. Of course, other materials may be appropriate for other applications, and other joining techniques can be used. For example, for processing performed at a sufficiently low processing temperature, a polymeric material may be used and the components may be joined by an adhesive.
[0032]
A transfer slot 26 is provided through a portion of the side wall 22 to provide access to the processing chamber 14. Preferably, the transfer slot 26 is sized and shaped to accommodate a robotic mechanism (not shown) used to load and unload substrates (not shown) into and from the processing chamber 14. However, the transport slots are preferably not too large to allow for such access to prevent overexposure to the environment when the transport slots 26 are opened. For example, it is often desirable to manage the interior of the processing chamber 14 in an anoxic environment. In such a situation, it is beneficial to minimize the size of the transfer slot to prevent unwanted flow of oxygen from the environment into the internal processing chamber 14.
[0033]
Further, it is preferable that the transfer slot 26 can be sealed by a chamber door 28. The chamber door is operable by the door closing mechanism 30 within a movable range including the open position and the closed position. Preferably, the chamber door 28 has a bias in the direction of the closed position when no driving force is acting in the opening direction. The chamber door 28 opens toward the outside of the processing station 10 and is attached to the housing adapter 29 when closed. The housing adapter has a surface suitable for engaging and closing the chamber door 28. The housing adapter 29 may be manufactured in any conventional manner without compromising the tightness of the internal processing chamber 14. Although the housing adapter 29 is shown as a separate component external to the processing station 10, it may be integral with the housing 11 of the processing station 10 if desired.
[0034]
The door closing mechanism 30 controls opening and closing of the chamber door 28. The door closing mechanism 30 may include any known mechanism for opening and closing the chamber door 28, but preferably such a door closing mechanism 30 moves the chamber door 28 to its open position. And between the closed position and the closed position before the transfer slot 26, as well as the chamber door 28 when the chamber door 28 is in the closed position before the transfer slot 26. Can be applied in the direction of the side wall 22 of the chamber. Such a door closing mechanism 30 reliably seals the internal processing chamber 14 together with a seal 32 provided in a peripheral groove on the internal surface 33 of the chamber door 28.
[0035]
To facilitate this sealing arrangement, an outer annular sealing surface 35 may be provided that secures a peripheral flange 34 to the side wall 22 around the transfer slot 26 and engages the seal 32 in the closed position of the chamber door 28. preferable. Alternatively, other closing mechanisms, including pneumatic, hydraulic, mechanical and electromechanical drives, may be used instead. However, rather than allowing movement only between the positions that open and close the transfer slot 26, the chamber door 28 allows movement toward and away from the side wall 22 and may have a good sealing configuration. preferable. Such an action can be provided by one or more independent drive mechanisms.
[0036]
In accordance with one aspect of the present invention, the sidewall 22 preferably comprises a multi-wall structure (ie, a plurality of walls having two or more gaps). For example, according to the embodiment shown in FIGS. 1-4, the sidewall 22 includes a radially extending annular top 36, a radially extending annular middle portion 38, and a radially extending annular bottom 40. ing. Further, an outer wall 42 is provided and joined to the annular top 36, the annular middle 38, and the annular bottom 40 to define a double wall structure. The outer wall 42 may include an upper wall 44 and a lower wall 46, which may be assembled separately or as a single integrated part. In this regard, the annular intermediate portion 38 may extend around the entire perimeter of the side wall 22 or may be disposed about the side wall 22 as a plurality of interspersed protrusions. Alternatively, the annular intermediate portion 38 may be absent, but is preferably present because the double wall structure helps provide mechanical structural strength.
[0037]
In each case, the double wall structure defines one or more internal wall spaces and is divided into an upper wall space 48 and a lower wall space 50 as shown. The upper wall space 48 does not extend all around the internal processing chamber 14 because the peripheral flange 34 defining the transfer slot 26 blocks the upper wall space at a part of the side wall 22. The lower wall space 50 preferably extends entirely around the internal processing chamber 14. The outer wall 42 is joined to the side wall 22, and the peripheral flange 34 is joined to the side wall 22. Any suitable joining technique may be used for this joining, but is preferably by welding.
[0038]
The bottom wall 20 of the processing chamber is preferably formed integrally with the side wall 22. However, otherwise, they may be formed separately and secured to the side wall 22 by any conventional method such as welding. In the present embodiment, the bottom wall 20 has a double wall structure including an inner wall layer 21 and an outer panel 23. The outer panel 23 is fixed to the rib 25 so as to have a gap from the inner wall layer 21. The space 27 is defined by this void structure. The bottom wall 20 is provided with an opening 52, preferably located in the center thereof, thereby facilitating the passage of components entering and exiting the heating platen 18 through the heating platen post 94.
[0039]
The heating platen 18 is located in the processing chamber 14 of the processing station 10 and transfers heat transferred to the substrate (not shown) of the microelectronic device in the process when the substrate is in thermal contact with the heating platen. What happens. In connection with the preferred mode of operation of the processing station 10 described below, thermal contact does not necessarily mean that there is direct physical contact between the substrate and the support surface 90. In fact, in the preferred method, there is a small gap between the support surface 90 and the substrate, yet heat transfer occurs. In steady state operation, the heating platen 18 is typically maintained at a temperature effective to cure the particular type of spin-on dielectric being used. This temperature typically ranges from about 350C to 450C.
[0040]
The heating platen 18 preferably has a heating mechanism for transferring heat to the substrate. FIG. 4 shows a suitable heating mechanism. The preferred heating mechanism includes a set of spiral electrical resistance heating elements 130, 131 embedded in the hot plate 18. Each of the heating elements 130 and 131 can uniformly traverse the inner heating area and the outer heating area, respectively, and can independently control the temperature of each area. Using multiple heating zones in this manner facilitates setting and maintaining a uniform temperature across the support surface 90.
[0041]
Other heating mechanisms may be used if desired. For example, the above-identified co-pending patent application of the present invention discloses a heating platen with a single heating wire embedded in the heating platen in a uniform spiral. Instead of the heating wire, a sheet heating element including a film layer or a mica layer having a heater circuit printed on the surface may be used. Such a planar heating element may be formed on the support surface 90. Alternatively, one or more heater circuits may be printed directly on the top surface of the heating platen 18, on a layer in the stack using printing, or on its bottom surface. As a further alternative, the heating substrate disclosed in co-pending US patent application Ser. No. 09/035628, filed Mar. 5, 1998, owned by the assignee of the present invention, may be used. As another option, the heating platen 18 may include one or more internal passages through which the hot process fluid flows to generate the desired heat.
[0042]
The heating platen 18 is at least partially supported on a hollow pedestal base 54. The hollow pedestal base 54 is mounted in an annular relief portion 56 formed on the bottom wall 20 inside the chamber. The pedestal base 54 may be fixed to the bottom wall 20 by ordinary bolts 58. The hollowness of the pedestal base 54 serves to thermally insulate the heating platen 28 from the bottom wall 20. In order to seal the internal processing chamber 14 efficiently, a seal ring 60 is provided between the lower surface 62 of the pedestal base 54 and the bottom of the annular escape portion 56, so that good sealing performance is obtained. To facilitate this configuration, the central portion 64 of the bottom wall 20 is preferably thicker.
[0043]
An exhaust channel 66 is also located in the thick central portion 64 of the bottom wall 20 and provides a passage for processing fluid removed from the processing chamber 14. It is preferable that the exhaust channel 66 is formed in an annular shape like the annular relief portion of the central portion 64 opened to the inner chamber side. One or more passages (not shown) extending through the remaining thickness of the central portion 64 are also provided, thereby draining the exhaust fluid from the exhaust channel 66 to the outside of the processing station 10, such as by conventional tubing. be able to. In order to change the size of the intake passage leading from the processing chamber 14 to the exhaust channel 66, a removable exhaust plate 70 is provided along the exhaust plate 70 in any desired pattern in the fully open area. A group of orifices 72 are provided. Thus, by fluidly coupling exhaust channel 66 to an exhaust system (eg, a vacuum), fluid is exhausted from processing chamber 14 through orifice 72 to exhaust channel 66 and out of processing station 10. By using the removable exhaust plate 70, the size, pattern, and arrangement of the orifices 72 can be changed by simply replacing the exhaust plate 70 with another exhaust plate having a different size or pattern, or both. Can be easily changed depending on the specific application. The exhaust plate 70 is preferably mounted on the stepped portion of the annular relief 69, and is preferably fixed by a portion where the pedestal base 54 overlaps.
[0044]
The central portion 64 of the bottom wall 20 also includes a cooling channel 78 for circulating a cooling fluid (eg, a gas or liquid), which helps to cool the seal ring 60. The cooling channel 78 preferably includes a groove formed in the central portion 64 of the bottom wall 20, the groove opening to the outside of the bottom wall 20. The cooling channel 78 preferably has a generally circular shape when viewed in plan and is in thermal proximity to the seal ring 60, but is kept so as not to reach a full circle, and the cooling channel 78 It is preferable that one end can be used as an inlet and the other end can be used as an outlet. A plate 80 is secured to the central portion 64 of the bottom wall 20 to close the cooling channel 78 from the outside, thereby sealing the cooling channel 78 and providing an inlet passage and an outlet passage (not shown). The inlet and outlet passages allow the cooling channel 78 to be fluidly coupled to the inlet and outlet lines of the cooling system in any conventional manner.
[0045]
Also, the central portion 64 of the bottom wall 20 is provided with several (preferably three) passages 82 (only one is shown in FIG. 2) for reciprocating the lift pin mechanism 84 therein. . In addition to simply facilitating the reciprocating movement of the lift pin mechanism 84, the passageway 82 must allow for this movement while effectively sealing the internal processing chamber 14 from the environment. To accomplish this, a seal ring 86 is mounted around the passage 82 in a groove formed outside the central portion 64, thereby simultaneously providing the seal and mobility associated with the lift pin mechanism 84. is there. Such a seal ring 86 may also be secured by a mounting plate and then by a plurality of fasteners or any other conventional means.
[0046]
The passage 82, and thus the lift pin mechanism 84, is preferably, but not necessarily, concentric with the opening 52. The lift pins extend into a passage 88 (only one is shown in FIG. 2), which passes through the thickened portion of the heating platen 18. The heating platen 18 is directly supported by the pedestal base 54 and includes a support surface 90 on which a substrate (not shown) of the microelectronic device in the process can be placed in heat transfer contact. The lift pin mechanism 84 is movable from a location where the tip 85 places the substrate at the heat transfer contact position.
[0047]
The lift pin mechanism 84 is driven by a reciprocating mechanism (not shown) so that its tip 85 is sufficiently above the support surface 90 to support the in-process substrate without heat transfer contact with the heating platen 18. It can be moved to a position where it can be done. The extent to which the movement of the lift pin mechanism 84 must physically separate the substrate from the support surface 90 depends on the needs of the cooling process and the fluid flow characteristics of the internal processing chamber 14. In other words, the lift pin mechanism 84 can raise the in-process substrate physically and thermally to an extent that it can be physically and thermally separated from the heating platen 18, and can lower the substrate so that thermal contact with the support surface 90 is provided. it can.
[0048]
To achieve simultaneous operation of multiple lift pin mechanisms 84, each lift pin 84 is connected to a common member, such as a plate or ring (not shown), and drive mechanism 92 only drives that one member. It is preferable that all the lift pin mechanisms 92 can be raised and lowered at the same time. The drive mechanism 92 may include any known mechanism that enables linear movement, such as a lead screw mechanism driven by a step motor. More preferably, each lift pin 84 has an internal passage 92 connected in a conventional manner to a vacuum line or vacuum system and holds the substrate at the tip 85 by suction from the tip 85.
[0049]
The temperature detection mechanism 93 is coupled to the heating platen 18 and monitors the temperature of the heating platen. The temperature sensing mechanism is inserted into the processing chamber 14 through the aperture 95 and is held in place by the collar 97. The O-ring 99 serves to seal the opening. Temperature sensing mechanism 93 may be any conventional mechanism, such as an RTD or thermocouple. The temperature detection mechanism 93 is connected to a control circuit (not shown), and the temperature of the heating platen is monitored and controlled in a usual manner. The control mechanism itself does not form a particular part of the present invention and can be achieved by any known method that is consistent with the basic operation of controlling the heat generated based on the temperature sensing information.
[0050]
Lid 24 seals the top of processing station 10. The lid 24 preferably has a double panel structure, and is formed by joining a generally circular panel 98 to the cover plate 100 via a gap. The gap between the panel 98 and the cover plate 100 is retained by an outer peripheral wall flange 102 and an inner annular wall flange 104 that is generally concentric with the outer peripheral wall flange 102 and radially inward. It is arranged in a position. In order to increase the strength of the lid 24, the cover plate 100 is further supported by a plurality of spaced-apart pillar members 106. The lid 24 is further reinforced by radial reinforcing ribs 108 extending between the column member 106 and the annular wall flange 104. The cover plate 100 is secured in place using a plurality of fasteners 110. The gasket 112 (not shown in FIG. 2) serves to maintain a good seal between the cover plate 100 and other parts of the lid 24 joined thereto.
[0051]
Accordingly, the structure of the lid 24 is partitioned into an outer annular plenum 114 and an inner plenum 116. The outer plenum 114 communicates with the processing chamber 14 through a plurality of ports 118, the ports being evenly distributed about the annular portion 122 of the panel 98 by a suitable annular arrangement. Each plenum 114, 116 independently provides a passage through which process gases are independently or collectively introduced into the process chamber 14 in a controlled shower-like configuration. To this end, one or more process gas sources (not shown) may be independently connected to outer plenum 114 and inner plenum 116 by conventional tubing.
[0052]
The combined curing / in-situ cooling processing station 10 shown in FIGS. 1 to 4 firstly cures a film containing a curable dielectric precursor material to form a dielectric film, and secondly, cures. It is advantageously used in cooling the cured film in situ before removing the substrate on which the film is formed from the apparatus 10. In situ cooling of the membrane is important to minimize thermo-oxidative degradation of the membrane. Suitable modes of operation for achieving the curing and in-situ cooling processes include a loading stage, a gas purging stage, a curing stage, a cooling stage, and an unloading stage. During the loading stage, the door 28 opens, allowing the substrate to be placed in the processing chamber 14. At this time, one or more non-oxidizing gas annular curtains flow down the chamber through the outer plenum 108 of the lid 24 to insulate the process chamber 14 from the environment. Here, one or more non-oxidizing gases can be added from the inner plenum 110 to flow into the process chamber during the loading phase, but this is not required. The fired film-formed substrate, including the dried or substantially dried dielectric precursor material, is on the raised lift pin mechanism 84 and generally has a substantial surface contact between the substrate and the heated platen 18. It is located where there is no thermal contact. Next, the door 28 is closed to seal the processing chamber 14 from the environment.
[0053]
During this stage and during any stage of operation, the non-oxidizing gas flowing through the outer plenum 114 and / or the inner plenum 116 may optionally include nitrogen, helium, argon, N 2 2 And H 2 It is preferred to independently select from forming gases, including these, combinations thereof, and the like. Currently, nitrogen is the preferred choice. The gas can be supplied at any temperature within a wide range. Typical temperature ranges range from about 0 ° C to about 300 ° C, preferably from about 15 ° C to about 250 ° C, more preferably about ambient temperature, ie, about 20 ° C to about 25 ° C. Throughout the curing process and the in-situ cooling process, the flow of each gas from the outer plenum 114 and the inner plenum 116 is in the range of 0.5 cubic feet / hour to 100 cubic feet / hour, respectively. It is supplied independently with a flow rate. A preferred flow rate for the outer gas flow is 40 cubic feet / hour, a preferred flow rate for the inner gas flow is about 50 cubic feet / hour, and the opposite setting may be preferred.
[0054]
The next stage of operation is the gas purge stage. At this stage, the processing chamber 14 is provided with one or more non-oxidizing gasses in order to set and maintain in a controllable manner a non-oxygenous processing environment with a controlled and reduced oxygen content. Purged by the flow of The ability to control the oxygen level during the curing stage is important because not only many dielectric precursors, but also the dielectric films that are synthesized are susceptible to thermal oxidation in a high temperature environment where oxygen is present. For many dielectric precursors, especially those containing organic components, thermal oxidation is a risk at temperatures in the range of about 325 ° C. to about 375 ° C., even in normal air, depending on the particular material. Dielectric precursor materials are generally cured at temperatures above this temperature range, and the substrates holding these materials must be in a non-oxygen environment, as long as the substrates are hot to some extent where thermal oxidation is a significant risk. It is desirable to be maintained inside. Therefore, if the dielectric used is an organic material, it is desirable that the oxygen content be as low as possible. In practice, the oxygen content during the non-oxygen treatment is preferably at most 200 ppm, more preferably between 1 and 200 ppm, most preferably between 5 and 20 ppm.
[0055]
Depending on the type of dielectric, a somewhat higher oxygen concentration may be desirable to ensure that curing proceeds in the preferred direction. For example, for inorganic dielectric precursor materials, the presence of oxygen favors minimizing side reactions associated with curing. Therefore, when the dielectric material used is an inorganic material or an inorganic-organic composite material, the processing chamber 14 is used to set up a non-oxygenous processing environment containing 100 ppm to 2000 ppm, preferably about 200 ppm of oxygen. Purged under effective conditions.
[0056]
In addition to controlling the oxygen level in the processing chamber 14, if desired, the purging step may provide a vacuum in the processing chamber 14 to improve the dielectric properties of the cured film. Such a vacuum setting is particularly desirable when forming a porous dielectric film. Depending on the type of porous dielectric film, not only the dielectric precursor material, but also a high tendency to volatilize under curing conditions, and one or more materials ("porogen") that generate outgas during curing to create porosity ). Vacuum is used to promote the generation of such outgassing. The vacuum level to be set depends on the nature of the dielectric and is usually specified by the material manufacturer. As one guideline, a function of setting a vacuum in a range from 1 torr to about atmospheric pressure would be appropriate. Currently, the preferred vacuum level is about 20 torr.
[0057]
During the nitrogen purge, the non-oxidizing gas flows into the process chamber 14, preferably through the inner plenum 116 of the lid 24, sweeping the gas inside the process chamber. An additional flow of non-oxidizing gas through the outer plenum 114 may be used, but is not required. At a flow rate of 50 cubic feet / hour through the inner plenum 116, the purge occurs in about 10 seconds to about 5 minutes, preferably in about 15 seconds to 60 seconds.
[0058]
After the oxygen content in the processing chamber has reached the desired level, the curing phase in operation begins. The lift pin mechanism 84 supporting the substrate lowers the substrate to a position where the substrate comes into thermal contact with the heating platen 18. In embodiments of the present invention, the thermal contact may include, but is not required to include, the actual physical contact between the substrate and the heating platen 18. By bringing the substrate close to the heating platen 18 (e.g., a distance of 6 mil to 50 mil), effective heating can be achieved. Indeed, in some applications such voids are preferred because the actual physical contact may contaminate the backside of the substrate. As a result, the substrate is heated under conditions effective to cure the dielectric precursor material and form a dielectric film on the substrate. The curing temperature varies depending on the type of dielectric precursor material to be cured. For commercially available dielectric precursor materials, the heating platen 18 is typically maintained at a temperature in the range of about 350C to about 450C. The effective time of the substrate also varies with the type of dielectric precursor material to be cured. In general, the processing station 10 of the present invention has the ability to achieve very fast cure, with cure times of less than 10 minutes, preferably 1-3 minutes, being sufficient.
[0059]
After the completion of the curing phase, the cooling phase begins. Because the dielectric film is susceptible to thermal oxidation when exposed to the environment at high temperatures, the substrate is cooled in situ to a sufficiently low threshold temperature before being removed from the processing station 10. The threshold temperature is usually in the range of 200C to about 325C, preferably 250C. If desired, lower temperatures can be used, but this increases cycle time. Higher temperatures can also be used if desired, but this increases the risk of the cured film being subject to thermal oxidation.
[0060]
In an embodiment of the present invention, such in-situ cooling is achieved by raising the lift pin mechanism 84 to release the thermal connection between the substrate and the heating platen 18. In practice, the physical spacing between the heated platen 18 and the raised substrate is typically between 100 mil and 600 mil, preferably about 530 mil. In-situ cooling is then achieved by gas flowing through the inner plenum 116 contacting and cooling the substrate while the substrate remains in the processing station 10. If desired, the flow of gas into the process chamber through the outer plenum 114 can be used additionally, but is not required. The cooling process is relatively fast and is accomplished in about 1-2 minutes.
[0061]
After cooling the substrate to the desired target temperature, the substrate is removed from the processing station 10 during an unload phase of operation. For the cured and cooled substrate supported on the raised lift pin handling mechanism 84, a gas flow curtain is formed through the outer plenum 116 (if no gas was previously flowing). , Form a barrier between the processing chamber 14 and the environment. Additional gas flow through the inner plenum 116 may be maintained, but is not required. After the flow curtain is formed, the door 28 is opened and the substrate is removed from the processing chamber 14 by a suitable handler (eg, a robot).
[0062]
FIG. 5 illustrates one embodiment of the method of the present invention that can control the oxygen level at the combined curing / in-situ cooling processing station 10 shown in FIGS. FIG. 5 shows the processing station 10 in a simplified form. The processing station 10 includes a processing chamber 14 in which a substrate 16 of a microelectronic device in process is supported on lift pins 84 on a heating platen 18. Opening and closing door 28 allows loading and unloading of processing station 14. To perform in-situ cooling according to the present invention, a cooling gas source 170 is fluidly coupled to the processing chamber 14 via a supply line 172. The flow of cooling gas through line 172 is controlled by valves 174,176. Oxygen-containing gas (such as ambient air) from gas source 178 is fluidly coupled to line 172 via line 180. The valve 182 controls the amount of oxygen-containing gas combined with the cooling gas to thereby control the level of oxygen in the cooling gas flowing into the processing chamber 14 during the curing process and during the in-situ cooling process. Can be maintained at the level. The valve 176 controls the flow of the oxygen-containing cooling gas flowing into the processing chamber 14. One or more other process gases may also be fluidly connected to the process chamber 14. For purposes of illustration, a source 184 of conventional forming gas, including nitrogen and hydrogen, is assumed to be fluidly connected to the processing chamber 14 via a supply line 186. The valve 188 controls the flow of the forming gas. The processing gas is exhausted from the chamber 14 via the exhaust line 190. If desired, the processing chamber 14 can be evacuated by operating the exhaust line 190 to connect to the vacuum pump 192. The valve 193 controls exhaust gas from the processing chamber 14.
[0063]
A portion of the exhaust gas is drawn through line 194 to oxygen sensor 196 to monitor and control the oxygen level in process chamber 14. Valve 198 controls this flow. Oxygen sensor 196 can be any suitable sensor that can accurately measure oxygen levels in the range of at least about 5 ppm to about 500 ppm. Such various sensors are commercially available, and any of them may be used. Examples of oxygen sensors that may be suitable for embodiments of the present invention include Oxygen Analyzer No. under the trade name. 60-0329, Illinois Instruments, Inc. Can be purchased from
[0064]
Oxygen sensor 196 generates an output signal 200 indicative of the level of oxygen in the exhaust gas. The output signal 200 is sent to the controller 202. Using conventional control methods, controller 202 generates a control signal 204 indicating how much the oxygen content in the exhaust gas deviates from a desired level. An appropriate control signal 204 is sent to valve 182 to reduce the flow rate of the oxygen-containing gas to line 172 if the measured oxygen level is too high, or if the measured oxygen level is too low. Increases the flow rate of the oxygen-containing gas to line 172.
[0065]
Although FIG. 5 illustrates a feedback method for controlling the oxygen level in the processing chamber 14, any other suitable control method may be used. For example, feed-forward control can be implemented by measuring the level of oxygen in the gas entering the process chamber 14 and using that measurement to derive an appropriate control signal to send to the valve 182. Alternatively, the level of oxygen in the gas at both the inlet and the outlet can be monitored to form the basis for a combination of feedback and feedforward control methods.
[0066]
The combined curing / in-situ cooling station of the present invention can be advantageously incorporated into a cluster tool that performs all of the coating, firing, curing, and cooling processes in one integrated system. A particularly preferred integrated cluster tool that incorporates the curing and in-situ cooling capabilities of the present invention is a registered trademark of CALYPSO, available from FSI International, Inc. (Fremont, CA). This cluster tool is advantageously used to form a low k spin-on dielectric film on a substrate of a microelectronic device. The cluster tool integrates spin coating, baking, curing, in-situ cooling, and further cooling processes into a single, high-productivity platform. Multiple types of stations can be added to increase productivity without increasing the footprint. Dielectric films formed using this station tend to have lower refractive indices and dielectric constants as compared to similar films formed in a furnace process.
[0067]
In particular, the curing and in-situ cooling capacity eliminates the need for a separate furnace for the curing process, and minimizes the total cleanroom floor area required to form low-k spin-on dielectric films. Be converted to In short, the tool offers high productivity while using valuable cleanroom floor space very efficiently. With this tool, up to 100 substrates per hour can be easily achieved, although only occupying approximately 64 square feet of footprint. By integrating the coating, baking, curing, and cooling processes into one tool, not only is the quality and uniformity of the low-k spin-on dielectric significantly increased, but also device contamination is reduced. The curing process and the in-situ cooling process can be accomplished by a single combined curing / in-situ cooling device, as shown in FIGS. 1-4 or the above-assigned co-pending patent application of the present invention. preferable.
[0068]
CALYPSO® cluster tools are very flexible in terms of processing power. Substrates can be processed individually, sequentially, or in parallel using the same or custom processing for one or more substrates to be processed. This tool can easily handle substrates of different sizes. For example, by simply switching the end effector onto a centrally located robot, a spin coat shield at the coating station is performed, and then, at a PC-based controller, by selecting the appropriate treatment method, the cluster tool can , 200 mm processing capability to 300 mm processing capability, and vice versa. It is possible to eliminate the necessity of providing a separate apparatus for processing a 200 mm wafer and a 300 mm wafer, respectively. This is another way, and cluster tools can save floor space in clean rooms. The cluster tool can also form a dielectric coating, including a porous dielectric film. This film is selected from a wide range of low k spin-on dielectric precursor materials and includes commercially useful POLY ELK, LKD, HSG, porous SILK2, ISP, and porous FLARE, dielectric precursor materials. Not limited to
[0069]
The CALYPSO® cluster tool also prepares a lower k dielectric film as compared to conventional furnace-based processes. Dielectric films fabricated using the principles of the present invention implemented on this cluster tool have lower refractive indices and exhibit surprising thickness uniformity between wafers. For example, when forming a dielectric film from FLARE material, thickness uniformity between wafers is easily achieved to be in the range of 0.2% or more (1 sigma). In the practice of the present invention, thickness uniformity is determined from 49 thickness data points measured using nanometric teeth. Compared to other identical furnace-based processes, the dielectric films of the present invention tend to have more thermal stability. Due to the high quality of the dielectric film, the final film will use multi-level aluminum (subtractive metal processing) and / or copper (dual damascene processing) for LSI applications. Can be integrated.
[0070]
A cluster tool 300 of an exemplary embodiment of a variable CALYPSO® is schematically illustrated in FIG. 6, which cluster tool 300 is generally disposed about a central robot 310. It includes a plurality of modules 302, 303, 304, 305, 306, 307, 308 that become operational. Advantageously, each module 302, 303, 304, 305, 306, 307, 308 is a completely self-contained module having frames, skins, electronics, control hardware and processors, and equipment connections. The equipment connection unit is individually connected to an equipment platform disposed in the lower center of the robot 310. With this modular approach, individual modules can be easily removed for service, upgrade, replacement, etc.
[0071]
The wall 312 has one or more access ports, such as doors, panels, etc., and can be accessed within the cluster tool 300 for service or maintenance. The wall 312 also has one or more transparent panels so that the interior area 316 of the cluster tool 300 can be viewed.
[0072]
The robot 310 includes a base 322, a joint arm 324 attached to the base with a joint 326, and an end effector 328 detachably attached to the distal end 330 of the arm 324. The end effector 328 removably grips a wafer to be processed. In some embodiments, the end effector provided on arm 324 is designed to be able to grasp and open devices having a particular dimension or range of dimensions.
[0073]
In such embodiments, the end effector is exchanged to switch from processing a 200 mm wafer to a 300 mm wafer or vice versa. In other embodiments, a so-called universal end effector is used, which can grip and release wafers of various sizes. In such an embodiment, the same end effector could be used to handle both 200 mm and 300 mm wafers without replacing it with another end effector. Advantageously, robot 310 can reach any station within cluster tool 300. This sufficient operating range eliminates the need for additional and / or second robots. One or more such additional robots can be included within the cluster tool 300 if desired.
[0074]
The robot 310 is positioned within a working area where it can reach the various modules 302, 303, 304, 305, 306, 307, 308 to allow for an integrated selection of modular processing stations. Such stations in one or more modules 302, 303, 304, 305, 306, 307, 308, the number of each station, and the location of each station depend on the desired processing capacity, the processing method used, and the available processing methods. It depends on factors such as the equipment and the type of device to be manufactured. One or more stations are located in each module, and the stations located in a particular module can be the same or different. For purposes of illustration, one suitable arrangement of stations in the cluster tool 300 is shown in FIG.
[0075]
In particular, one or more input / output (I / O) stations are inserted into module 302. One or more cassettes 342 holding one or more wafers 344 can be loaded into the I / O station 340 via doors (not shown) or pods (not shown). Robot 310 can access wafers 344 held in cassette 342, typically one door at a time, through a door (not shown) on inner surface 350 of cabinet 302. For illustration purposes, three I / O stations are shown.
[0076]
One or more spin coating stations are included in module 303. For manufacturing, it is desirable to arrange a few spin coating stations. Module 304 can be used to store one or more processing chemical reservoirs 349 (four reservoirs 349 are shown for illustration) used at various stations. For example, one reservoir 349 is used for the solvent and another reservoir is used to hold the fluid of the dielectric precursor material. These reservoirs 349 are fluidly connected to one or more spin coating stations by suitable plumbing (not shown).
[0077]
One or more firing stations are included in module 306. The firing station can include a firing plate as well as a cooling plate in the station to complete cooling after the firing operation. The preferred embodiment of module 306 includes six firing stations. Module 306 also includes one cooling station. The combined curing / cooling station of the present invention is included in module 307. The preferred embodiment of module 307 includes six combined curing / cooling stations. Cabinet 308 may also include a central controller for operating cluster tool 300.
[0078]
In another embodiment, a CALYPSO® cluster tool 300 ′ is shown in FIG. 7, where the shape of the tool is appropriate for the research and development process. The cluster tool 300 'generally includes a plurality of modules 302', 303 ', 304', 305 ', 306', 307 ', 308' and is operatively disposed about a central robot 310 '. The wall 312 'helps to separate the interior region 316'. Module 302 'houses a pair of I / O (FOUP) stations. Module 303 'contains a single spin-coating station and is located next to module 304' containing a spin-coating chemical, for example, one solvent and, in one embodiment, three different spin-coating fluids. You. In recent CALYPSO® designs, up to two spin coating stations can be included in module 303 ′. If more spin coating stations are desired, one or more additional modules can be added to the cluster tool 300 '. Module 305 'may also be empty now and house additional processing stations for future expansion. Module 306 'contains three firing stations and one cooling station. Up to four or more firing stations can be added to module 306 '. Module 307 'contains a pair of curing / cooling stations and can hold up to four or more. The controller is housed in module 308 '.
[0079]
The preferred mode of operation of the cluster tool of the present invention is described below with reference to the flowcharts shown in FIGS. In step 402, the robot 310 withdraws the wafer 344 from the I / O station 340. Before, then or after withdrawing the wafer, at step 404, one process is selected for the wafer. The remaining processing steps in the flowchart 400 are executed by selecting such a processing method. In step 406, the robot 310 carries the wear to the spin coating station. At a station according to step 408, the spin coatable composition includes a curable dielectric precursor and a solvent that is poured onto the wafer as the wafer rotates. This can be achieved by one or more coating steps, depending on the coating material used. Spin coating forms a relatively thin, uniform, fluid coating on a wafer, the coating consisting of a dielectric precursor material and a solvent.
[0080]
Generally, in spin coating operations, the composition is distributed in the center of a rotating substrate. For example, the spin coating station of the CALYPSO® cluster tool includes three nozzles that can be used to dispense up to three different chemicals, usually sequentially, on the same or different substrates. The three nozzle structure is used to coat the SILK material on the substrate in a three-step process of priming, pre-wetting with solvent, and top coating recommended by the manufacturer. Useful. The nozzle used in each example is turned close to the central axis that rotates the substrate to perform the dispensing.
[0081]
While central dispensing is a suitable technique, several other approaches can be used to reduce the amount of dispensed material required for a substrate to be effectively spin-coated. One such technique is to pour and wet the solvent onto the substrate and then reduce the amount by which the dielectric composition is dispensed. Pre-wetting can reduce the required amount of the dielectric composition. Another technique involves moving a nozzle radially from either the outside or the inside relative to a rotating substrate to dispense the dielectric composition. Radial distribution can be used in combination with the pre-wetting step. Radial distribution can reduce the required amount of dielectric composition by 25% when compared to central distribution.
[0082]
After spin coating, an edge bead removal (EBR) and / or backside rinse (BSR) treatment is selectively performed. This EBR processing can be performed using an appropriate technique. The preferred EBR process is performed by spinning the coated wafer at an appropriate speed while spraying a jet of solvent onto the coated wafer to cut the edge beads. In this way, when cutting the edge bead, a portion of the dielectric material moves to the back of the wafer. Therefore, it is desirable to use the BSR process following the EBR process, and the backside debris is washed away by the solvent during the rotation of the wafer.
[0083]
Preferably, the EBR / BSR treatment is performed after the coated dielectric precursor is properly dried. According to one approach, the coated wafer can be dried in a spin coating station. However, depending on the size of the wafer and the thickness of the thin film, such drying may be required for about 1 minute to about 5 minutes. This is particularly the case when the coating is applied to a thickness of 1 to 2 μm on a 300 mm wafer. To reduce this drying time, the transfer of the coated wafer to the firing station can be expedited. For this purpose, the coated wafer is baked for 2 to 3 seconds, and the coated wafer is returned to the spin coating station to perform the EBR process and the BSR process.
[0084]
After spin coating and other optional EBR and / or BSR processing have been performed, according to step 410, robot 310 transports the coated wafer to a firing station. In this firing station, according to step 412, the wafer is fired to remove all or a portion of the solvent to prepare the coated thin film for curing in a subsequent curing step. Firing generally takes place in a relatively low temperature range, which is below the recommended curing temperature, so that the risk of thermal oxidation is at least avoided. This temperature is preferably high enough, but such that the solvent can be removed in a reasonable time. In general, during firing, there is an acceleration of the curing of the dielectric material to the so-called B stage of the polymerization action. Typical temperatures for firing range from about 100C to 350C, and preferably from about 200C to 325C, depending on the material.
[0085]
It has been found that any residual solvent in the bake coating has a noticeable effect on the quality and uniformity of the final cured film. In particular, if all or most of the solvent (i.e., the baked coating contains 0.1% or less by weight residual solvent based on the total weight of the baked coating) is removed from the coating during firing, the final The quality of the cured dielectric film varies greatly between devices. Furthermore, the final cured material itself will be very different from what would be expected based on the initial precursor material.
[0086]
In contrast, if the amount of residual solvent remains in the post-fired coating and is present during curing, the properties of the final cured film will be much more uniform. The properties of the cured material itself are better correlated to the initial precursor material. Therefore, it is preferable to remove most of the residual solvent in the firing step, leaving only a small amount. Thus, the baked coatings of the present invention contain at least about 0.1% to about 5%, preferably about 0.5 to 2%, by weight of residual solvent, and more optimally the total baked coating. It is desirable to include about 1% by weight of the residual solvent by weight.
[0087]
Upon completion of the bake, the wafer is cooled and, according to step 414, robot 310 transports the fired wafer from the bake station to the combined curing and cooling station. In step 416, the wafer is placed on the combination station and N 2 Is removed, or similarly O 2 To a desired level and the fired coating on the wafer is cured. Then, the cured thin film is cooled using a cooling gas, and the cooled wafer is taken out of the station 362.
[0088]
According to step 418, the robot transports the wafer to the cooling station. Thereupon, the wafer is cooled in step 420 to the desired final temperature. Robot 310 returns the wafer to the I / O station at step 422, completing the process for that wafer. The process shown in flowchart 400 may be repeated for one or more successive additional wafers using the same and / or different processing techniques. After the thin film is formed, it is then patterned using any suitable technique to form an insulating element or the like.
[0089]
The original cooling process in the environment of an integrated processing system such as a cluster tool in the present invention provides significant benefits. First, as described above, this cooling helps protect the wafer from thermal oxidation when the wafer is removed from station 362. This ensures that the quality of the final dielectric film is improved. Second, the cooled wafer can be handled without leaving any marks by the end effector compared to the environment in which the robot processes hot wafers. This improves the quality of the final dielectric film.
[0090]
Third, in FIG. 8, the original cooling step is a time interval t between the curing and cooling steps, since the same processing is performed during the curing and cooling operations of the processed wafer. 3 Eliminate fluctuations in This consistent timing capability not only enhances the quality of the final dielectric film, but also drastically improves the uniformity of the cured low-k spin coat on the dielectric film between wafers. In contrast, the time interval t between the curing and cooling steps 3 Is too large, the uniformity is worse for wafers that are batch cured in an oven, and the indicia due to the unique process cannot be identified for individual wafers.
[0091]
In fact, this time interval t 3 And preferably, the time interval t 1 And time interval t 2 Maintaining and controlling the transfer between different stations provides a great deal of flexibility and uniformity in the processing of microelectronic substrates. By keeping these time intervals between firing, coating, curing, and cooling operations constant, the next specific treatment method is to provide a dielectric film of a specific quality with little variation from substrate to substrate. Become.
[0092]
For example, multiple wafers may be processed sequentially through the system, and each wafer may have the same coating, baking, curing, cooling steps, and, optionally, (the same time interval t). 1 , T 2 , T 3 ) To provide a substrate having a low-k dielectric thin film having a uniform thickness between the wafers.
[0093]
Further, a plurality of wafers are processed through the system sequentially using two or more processing methods. Then, a group of wafers processed according to a specific processing method is finally indistinguishable from each other. This flexibility allows for custom made substrates that are processed on the fly. Such uniformity can result from the time interval t if the coating, firing, curing, and cooling steps of rotating the dielectric composition are not integrated into a single integrated system. 1 , T 2 , T 3 Is not easily controlled and cannot be easily achieved.
[0094]
Further, the cluster tool 300 includes multi-coating, firing, curing / cooling, and cooling stations so that multiple wafers can be processed in parallel according to one or more processing methods. Importantly, at least in part, the time interval t 1 , T 2 Control between stations over a time interval t 3 (Eg, at time t 3 It is exceptional to obtain uniformity of a thin film prepared according to the same process, even if the substrate can actually go from cure to cooling with little delay at zero), where one substrate is more stable than another. Even if it can be processed at one or more different stations, it is difficult to obtain film uniformity.
[0095]
Thus, when multiple substrates are subjected to a particular coating, baking, and curing / in-situ cooling process, the time interval t 1 , T 2 , T 3 Is desirably controlled. More preferably, two or more of these time intervals are controlled to be constant from substrate to substrate, and all of these time intervals are desirably controlled for each substrate. The phrase "substantially the same" with respect to these time intervals means that such a time interval for a particular substrate is less than or equal to 25%, preferably less than 25%, for a corresponding time interval for other substrates processed in accordance with such processing. Means less than 10%, more preferably at most about 1%.
[0096]
The parallel processing of wafers is schematically illustrated by the flow chart 500 in FIG. 9, where the system shown includes a single I / O station, three spin coating stations, three firing stations, three cure / It includes a cooling station, and three cooling stations. Flowchart 500 shows process flow paths 501a, 501b, and 501c for three representative process wafers. As shown by typical process flow paths 501a, 501b and 501c, each wafer is withdrawn from the I / O station at step 502, and at step 504 a process is performed on another wafer being processed. Are associated using the same or different specific processing method.
[0097]
Next, each wafer goes through a coating step 506, a firing step 508, a curing / cooling step 510, a cooling step 512, and a step 514 where the devices are returned to the I / O station. This process can be repeated for a desired number of wafers. When a single process is performed on all wafers, the final dielectric film on each wafer will be treated as if one particular thin film was processed in one or more different stations than the other thin films. Are also the same. If more than one process is performed, the final films produced according to a particular process will eventually be identical to one another.
[0098]
For maximum throughput, three wafers are processed in parallel. In the practice of the present invention, this does not necessarily mean that three particular wafers are processed in parallel in the same step, but rather that at least one of the coating, baking, and curing / cooling steps of one wafer. A portion is meant to occur during at least a portion of the coating, baking, and curing / cooling work steps for one or both of the other wafers.
[0099]
Further, one or more wafers are processed according to particular flow paths 501a, 501b and 501c or equivalent paths at a time. For example, if one wafer on flow path 501a has undergone a cooling step 512, one or more wafers will follow the same flow path at one or more other stations. In other words, the wafer being processed exits one station, and as soon as this station is free, another wafer being processed can be processed there to maximize throughput. The present invention is further described with reference to the following examples.
[0100]
(Example 1)
Low-k spin-on dielectric films were formed on batches of 25 silicon wafers using the CALYPSO® cluster tool. Cassettes for storing wafers are located in the I / O modules of the cluster. Each wafer was processed using the same, predetermined, sequential process controlled via software packaged with the cluster tool.
[0101]
Each wafer was first transferred from the I / O module to the coating station by a central robot. At the coating station, the wafer was coated with a fixative (AP4000 available from Dow Chemical Company). This AP4000 fixative (1 ml) was distributed to the center of each wafer while the wafers were rotating at 2500 rpm. After this distribution, the central robot transports the wafer from the coating module to the firing station. The AP4000 coating on the wafer was baked at 200 ° C. for 60 seconds. The wafer was then transported by the central robot from the firing station to the cooling station and processed at 20 ° C. for 20 seconds. The central robot moved the cooled wafer back to the coating station. At this coating station, a low k SILK dielectric precursor material (Dow Chemical Co.) was dispensed to the center of the wafer (1.8 ml to 3 ml) using a dynamic dispensing method at a dispensing speed of 1500 rpm. After this distribution, the spin rate of the wafer was increased to 3600 rpm in 45 seconds. Edge bead removal (EBR) was performed at 1500 rpm with cyclohexanone at 2 mm from the edge of the wafer. BSR treatment was also performed with cyclohexanone at a spin speed of 1500 rpm. The wafer was spin coated at 3000 rpm for an additional 10 seconds.
[0102]
Central robot transfers wafer to first INSTACURE TM It was carried to a station and a baking (firing) step was performed at 325 ° C. for 60 seconds. The wafer was loaded with the following INSTACURE with a heated platen maintained at 450 ° C. TM Transported to the station. The thin film of coated SILK material is INSTACURE TM Curing was performed in the station at 450 ° C. for 180 seconds with the oxygen level maintained below 20 ppm. This cured wafer is used as an INSTACURE TM Within the station, the wafer was cooled by lifting the wafer from a heated platen using pins to support the wafer while maintaining an anaerobic condition with nitrogen. Once the wafer was cooled below 325 ° C., the wafer was then transferred onto a cooling plate and cooled at 20 ° C. for 20 seconds. The processed wafers were returned to the cassette.
[0103]
INSTACURE TM While the wafer is placed in the station, when the wafer is placed on the lift pins, the nitrogen is 55 standard ft. 3 / Hr (SCFH) through the outer plenum. After closing the door, when the wafer was still on the pins, nitrogen flowed through the inner plenum at 55 SCFH and nitrogen flowed through the outer plenum at 45 SCFH. During cure, nitrogen flowed through the inner plenum at 45 SCFH. As the wafer was cooled on the lift pins, nitrogen flowed through the inner and outer plenums at 55 SCFH and 45 SCFH, respectively.
[0104]
The thickness of the final SILK film on each wafer was measured using commercially available equipment at Nanometrics. The average thickness was about 5000 angstroms and the thickness uniformity was = 0.15% (1 sigma).
[0105]
For comparison, a batch of 25 wafers was processed in a similar manner. On the other hand, the comparative wafer was cured and cooled at 400 ° C. for 60 minutes in a furnace (ex situ method), unlike these.
[0106]
Various properties of the wafers processed with the CALYPSO® cluster tool were measured, and a comparative wafer was measured. The average refractive index of the wafer treated with the CALYPSO® cluster tool, 1.6089, and that of the thin film cured in the furnace, 1.6206, were each shown to be improved. This property and other data were reported as in Table 1 below.
Figure 2004502535
[0107]
(Example 2)
Thin films of dielectric by low k spin coating were formed on batches of 25 silicon wafers using a CALYPSO® cluster tool. Cassettes for storing wafers are located in the I / O modules of the cluster. Each wafer was processed using the same, predetermined, sequential process controlled via software packaged with the cluster tool.
[0108]
To perform this process, the wafer was transferred to a coating station by a robot. The solvent for the low dielectric constant SILK (new version, no fixer required) dielectric precursor material (Dow Chemical) is applied to the center of the wafer (1.8 ml) at a dispensing rate of 1500 rpm using a dynamic dispensing method. 33 ml). After this distribution, the spin rate of the wafer was increased to 3600 rpm for 45 seconds. Edge bead removal (EBR) was performed at 1500 rpm with cyclohexanone at 2 mm from the edge of the wafer. BSR treatment was also performed with cyclohexanone at a spin speed of 1500 rpm. The wafer was spin coated at 3000 rpm for an additional 10 seconds.
[0109]
Central robot transfers wafer to first INSTACURE TM It was transported to a station and a baking step was performed at 325 ° C. for 60 seconds. The wafer was loaded with the following INSTACURE with a pre-heated platen maintained at 450 ° C. TM Transported to the station. The thin film of coated SILK material is INSTACURE TM Curing was performed in the station at 450 ° C. for 180 seconds with the oxygen level maintained below 20 ppm. This cured wafer is used as an INSTACURE TM In the station, it was cooled to a temperature of 325 ° C. or less while maintaining an anaerobic state using nitrogen. The wafer was transferred onto a cooling plate and cooled at 20 ° C. for 20 seconds. The processed wafers were returned to the cassette.
[0110]
While mounted in the processing chamber, nitrogen flowed through the outer plenum at 55 SCFH. After closing the door, when the wafer was still on the pins, nitrogen flowed through the inner plenum at 55 SCFH and nitrogen flowed through the outer plenum at 45 SCFH. During cure, nitrogen flowed through the inner plenum at 45 SCFH. As the wafer was cooled on the lift pins, nitrogen flowed through the inner and outer plenums at 55 SCFH and 45 SCFH, respectively.
[0111]
The thickness of the SILK film on each wafer was measured using commercially available equipment at Nanometrics. The average thickness was about 5900 angstroms and the thickness uniformity was within 0.15% (1 sigma). The refractive index of the thin film at 314 nm was 1.907.
[0112]
(Example 3)
In the same manner as in Example 2, a 300 nm wafer was processed on a CALYPSO® cluster tool. However, these wafers were coated with a low dielectric constant SILK dielectric precursor while each wafer was spinning at 1700 rpm. In addition, the wafers were cooled and cooled in situ below 300 ° C. before being transported back into the cassette. The average thickness of the final film was about 10,000 angstroms and the thickness uniformity was <0.25% (1 sigma). The refractive index of the thin film at 314 nm was 1.904.
[0113]
(Example 4)
Dielectric thin films by low k spin coating were formed on batches of 25 silicon wafers using the CALYPSO® cluster tool. Cassettes for storing wafers are located in the I / O modules of the cluster. Each wafer was processed using the same, predetermined, sequential process controlled via software packaged with the cluster tool.
[0114]
To perform this process, the wafer was transferred to the coating station by a central robot. The low dielectric constant FLARE dielectric precursor material (Honeywell) was dispensed to the center of the wafer (2.5 ml) at a dispensing speed of 1500 rpm using a dynamic dispensing method. After this distribution, the spin rate of the wafer was increased to 3600 rpm in 40 seconds. Edge bead removal (EBR) was performed at 1500 rpm with cyclohexanone at 2 mm from the edge of the wafer. BSR treatment was also performed with cyclohexanone at a spin speed of 1500 rpm. The wafer was spin coated at 3000 rpm for an additional 10 seconds.
[0115]
A central robot transported the plurality of wafers to successive firing stations maintained at 150 ° C, 200 ° C, and 250 ° C, respectively. This firing step was performed at each temperature for 60 seconds. These wafers also have an INSTACURE with a pre-heated platen maintained at 450 ° C. TM Transported to the station. A thin film of the coated FLARE material is INSTACURE TM Curing was performed in the station at 450 ° C. for 180 seconds with the oxygen level maintained below 20 ppm. This cured wafer is used as an INSTACURE TM In the station, it was cooled to a temperature of 325 ° C. or less while maintaining an anaerobic state using nitrogen. The wafer was transferred onto a cooling plate and cooled at 20 ° C. for 20 seconds. The processed wafers were returned to the cassette.
[0116]
While mounted in the processing chamber, nitrogen flowed through the outer plenum at 55 SCFH. After closing the door, nitrogen flowed through the inner plenum at 55 SCFH and nitrogen flowed through the outer plenum at 45 SCFH before the wafer dropped in thermal contact with the heated platen. . During cure, nitrogen flowed through the inner plenum at 55 SCFH. During wafer cooling, nitrogen flowed through the inner and outer plenums at 55 SCFH and 45 SCFH, respectively.
[0117]
The thickness of the FLARE film on each wafer was measured using commercially available equipment at Nanometrics. The average thickness was about 5990 angstroms and the thickness uniformity was within 0.15% (1 sigma).
[0118]
For comparison, a batch of 25 wafers was processed in a similar manner. The comparative wafer was cured and cooled at 400 ° C. for 60 minutes in a furnace (ex situ method).
[0119]
Various properties of the wafers processed with the CALYPSO® cluster tool were measured, and a comparative wafer was measured. An average refractive index of 1.6206 for wafers treated with the CALYPSO® cluster tool and an average refractive index of 1.6206 for thin films cured in the furnace were shown to be improved. This property and other data were reported as in Table 2 below.
Figure 2004502535
[0120]
Other embodiments of the invention will be readily apparent to those skilled in the art from the specification or practice of the invention disclosed herein. Various omissions, modifications, and changes to the principles and embodiments described herein may be made by those skilled in the art without departing from the scope and spirit of the invention, which is set forth in the following claims.
[Brief description of the drawings]
FIG.
1 is a partially exploded perspective view of an integrated curing / in-situ cooling station according to the present invention.
FIG. 2
It is sectional drawing of the process chamber of the apparatus shown in FIG.
FIG. 3
FIG. 2 is an exploded perspective view of a lid used in the apparatus of FIG.
FIG. 4
FIG. 2 is a schematic view of a heating platen used in the apparatus of FIG. 1 and its heating element.
FIG. 5
FIG. 2 is a conceptual diagram of a system for controlling an oxygen level during operation of the apparatus of FIG. 1.
FIG. 6
1 is a schematic view of a tool cluster according to the invention, suitable for production.
FIG. 7
1 is a schematic view of a tool cluster according to the invention, suitable for research and development.
FIG. 8
7 is a flowchart illustrating one mode of operation of the tool cluster of FIG. 6 for forming a low k spin-on dielectric film on a substrate.
FIG. 9
7 is a flowchart illustrating another mode of operation of the tool cluster of FIG. 6 for forming a low k spin-on dielectric film on a substrate in a parallel manner.

Claims (48)

基板上に硬化した誘電体組成物を形成する方法であって、
(a) 熱的に硬化可能な誘電体の前駆材料からなる組成物を前記基板の少なくとも一部分に被膜し、
(b) 処理室内に前記被膜された基板を配置させるようにし、
(c) 前記被膜された基板が前記処理室内に配置されている間に、
(i) 前記誘電体の前駆材料を熱的に硬化処理して、硬化した誘電体組成物を形成し、
(ii) 冷却用ガスを前記硬化した誘電体組成物に接触させ、
(d) 前記冷却用ガスを前記硬化した誘電体組成物に接触させた後、前記被膜された基板を処理室から排出する、各工程を有することを特徴とする方法。
A method of forming a cured dielectric composition on a substrate,
(A) coating at least a portion of the substrate with a composition comprising a thermally curable dielectric precursor material;
(B) disposing the coated substrate in a processing chamber;
(C) while the coated substrate is placed in the processing chamber,
(I) thermally curing the dielectric precursor material to form a cured dielectric composition;
(Ii) contacting a cooling gas with the cured dielectric composition;
(D) exposing the coated substrate from a processing chamber after contacting the cooling gas with the cured dielectric composition.
前記誘電体の前駆材料は、有機プリポリマー成分からなり、前記熱硬化処理の少なくとも一部分は、嫌気性状態の下で行われることを特徴とする請求項1記載の方法。The method of claim 1, wherein the dielectric precursor material comprises an organic prepolymer component, and at least a portion of the thermosetting process is performed under anaerobic conditions. 前記誘電体の前駆材料は、有機プリポリマー成分からなり、前記熱硬化処理とガス冷却の少なくとも一部分は、嫌気性状態の下で行われることを特徴とする請求項1記載の方法。The method of claim 1, wherein the dielectric precursor material comprises an organic prepolymer component, and wherein at least a portion of the thermosetting and gas cooling is performed under anaerobic conditions. 前記熱硬化処理及び冷却用ガスの接触の少なくともほとんどが、嫌気性状態下で行われることを特徴とする請求項3記載の方法。4. The method of claim 3, wherein at least most of the thermal curing and cooling gas contact is performed under anaerobic conditions. 前記嫌気性状態は、酸素が約200ppm以下である無酸素状態の環境において前記被膜された基板を熱処理することを特徴とする請求項2記載の方法。3. The method of claim 2, wherein the anaerobic condition heat treats the coated substrate in an anoxic environment with less than about 200 ppm oxygen. 前記嫌気性状態は、酸素が約200ppm以下であることを特徴とする請求項4記載の方法。The method of claim 4, wherein the anaerobic condition is less than about 200 ppm oxygen. 前記誘電体の前駆材料は、無機プリポリマー成分からなり、前記熱硬化処理とガス冷却の少なくとも一部分は、嫌気性状態の下で行われることを特徴とする請求項1記載の方法。The method of claim 1, wherein the dielectric precursor material comprises an inorganic prepolymer component, and wherein at least a portion of the thermosetting and gas cooling is performed under anaerobic conditions. 入口に操作上かみ合うサイドドアを介して、処理される基板が、処理室に移送されかつ排出されることを特徴とする請求項1記載の方法。The method according to claim 1, wherein the substrate to be processed is transferred to a processing chamber and discharged via a side door operatively engaged with the entrance. 前記被膜工程は、基板上に硬化可能な誘電体の前駆材料からなる組成物をスピンコーティングすることを含んでいる請求項1記載の方法。The method of claim 1, wherein the coating step comprises spin coating a composition comprising a curable dielectric precursor material on a substrate. 前記誘電体の前駆材料は、硬化温度を有し、被膜された組成物は、溶媒を含み、硬化温度での温度またはそれ以上の温度で熱硬化を生じ、さらに、被膜形成後で硬化前に、前記溶媒の少なくとも一部分を取り除くために、硬化温度以下の温度で被膜された組成物を予め焼成させる工程を含んでいることを特徴とする請求項1記載の方法。The dielectric precursor material has a curing temperature, the coated composition includes a solvent, and undergoes thermal curing at or above the curing temperature, and further after coating and before curing. 2. The method of claim 1 including the step of pre-firing the coated composition at a temperature below the curing temperature to remove at least a portion of the solvent. 前記予め焼成工程は、被膜された組成物が一定量の残留溶媒を含んでいるような状態の下で行われることを特徴とする請求項10記載の方法。The method of claim 10, wherein the pre-firing step is performed under conditions such that the coated composition contains an amount of residual solvent. 前記残留溶媒は、被膜時点での組成物内に含まれる全溶媒の約0.5〜約5重量パーセントからなることを特徴とする請求項11記載の方法。The method of claim 11, wherein the residual solvent comprises about 0.5 to about 5 weight percent of the total solvent contained in the composition at the time of coating. 前記熱硬化処理の少なくとも一部分の工程は、真空下で行われることを特徴とする請求項1記載の方法。The method of claim 1, wherein at least some of the steps of the thermosetting process are performed under vacuum. 複数の基板上に誘電体組成物を形成する方法であって、
(a) 第1の基板上に硬化可能な誘電体の前駆材料からなる組成物を被膜し、
(b) 前記被膜工程の終了から第1の時間間隔の後に予め焼成処理を開始して、この被膜された基板を予め焼成させ、
(c) 前記予め焼成処理の終了から第2の時間間隔の後に熱的な硬化処理を開始して、前記被膜された基板を熱的に硬化させ、
(d) 前記熱的な硬化処理の終了から第3の時間間隔の後に前記冷却処理を開始して、前記熱的に硬化した基板を冷却させ、
(e) 少なくとも1つの付加的な基板に対して、前記(a)〜(d)の工程を繰り返す各工程を有しており、
前記被膜された第1の基板と前記少なくとも1つの付加的に被膜された基板の各々に対するそれぞれの第2の時間間隔がほぼ等しいことを特徴とする方法。
A method of forming a dielectric composition on a plurality of substrates,
(A) coating a composition comprising a curable dielectric precursor on a first substrate;
(B) starting a baking process in advance after a first time interval from the end of the coating process, and firing the coated substrate in advance;
(C) starting a thermal curing process after a second time interval from the end of the pre-baking process to thermally cure the coated substrate;
(D) starting the cooling process after a third time interval from the end of the thermal curing process, allowing the thermally cured substrate to cool,
(E) each of the steps (a) to (d) is repeated for at least one additional substrate;
A method wherein the respective second time intervals for each of the coated first substrate and the at least one additional coated substrate are substantially equal.
前記被膜された第1の基板と前記少なくとも1つの付加的に被膜された基板の各々に対するそれぞれの第1の時間間隔がほぼ等しいことを特徴とする請求項14記載の方法。The method of claim 14, wherein respective first time intervals for the coated first substrate and each of the at least one additional coated substrate are approximately equal. 前記被膜された第1の基板と前記少なくとも1つの付加的に被膜された基板の各々に対するそれぞれの第3の時間間隔がほぼ等しいことを特徴とする請求項14記載の方法。The method of claim 14, wherein respective third time intervals for each of the coated first substrate and the at least one additional coated substrate are substantially equal. 前記被膜された第1の基板と前記少なくとも1つの付加的に被膜された基板の各々に対するそれぞれの第1の時間間隔がほぼ等しいことを特徴とする請求項16記載の方法。17. The method of claim 16, wherein respective first time intervals for the coated first substrate and each of the at least one additional coated substrate are substantially equal. 冷却の少なくとも一部分は、前記熱的に硬化した基板に冷却用ガスを接触させることにより生じ、前記熱的な硬化処理及び前記冷却用ガスの接触は、同一の処理室で生じることを特徴とする請求項14記載の方法。At least a portion of the cooling occurs by contacting the thermally cured substrate with a cooling gas, and the thermal curing process and the contact of the cooling gas occur in the same processing chamber. The method according to claim 14. 前記誘電体の前駆材料は、有機プリポリマー成分からなり、前記熱硬化処理の少なくとも一部分は、嫌気性状態下で行われることを特徴とする請求項1記載の方法。The method of claim 1, wherein the dielectric precursor comprises an organic prepolymer component, and wherein at least a portion of the thermal curing process is performed under anaerobic conditions. 前記誘電体の前駆材料は、有機プリポリマー成分からなり、前記熱硬化処理と前記冷却用ガスの接触の少なくとも一部分は、嫌気性状態下で行われることを特徴とする請求項15記載の方法。The method of claim 15, wherein the dielectric precursor comprises an organic prepolymer component, and wherein at least a portion of the thermal curing and contacting of the cooling gas is performed under anaerobic conditions. 前記熱硬化処理及び冷却用ガスの接触の少なくともほとんどが、嫌気性状態下で行われることを特徴とする請求項18記載の方法。19. The method of claim 18, wherein at least most of the heat curing and cooling gas contacting is performed under anaerobic conditions. 前記熱硬化処理の少なくとも一部分の工程は、真空下で行われることを特徴とする請求項14記載の方法。The method of claim 14, wherein at least some of the steps of the thermosetting process are performed under vacuum. 基板上に硬化した誘電体組成物を形成する方法であって、
(a) 熱的に硬化可能な誘電体の前駆材料と、前記基板の少なくとも一部分に被膜可能な粘性を有する一定量の溶媒とを含んでいる組成物を被膜し、
(b) 前記被膜された誘電体の前駆材料の少なくとも一部分が硬化されずかつ被膜された組成物が一定量の残留溶媒を含んでいるような状態の下で、第1に前記被膜された基板を比較的低い温度プロファイルで予め焼成し、
(c) 前記誘電体の前駆材料の少なくともほとんどが、前記誘電体組成物を形成するために硬化される状態の下で、第2に前記誘電体の前駆材料を比較的高い温度プロファイルで熱的に硬化し、
(d) 前記硬化した誘電体組成物を冷却する、各工程を含むことを特徴とする方法。
A method of forming a cured dielectric composition on a substrate,
(A) coating a composition comprising a thermally curable dielectric precursor material and an amount of a viscous solvent capable of coating at least a portion of the substrate;
(B) first the coated substrate under conditions such that at least a portion of the coated dielectric precursor is not cured and the coated composition includes an amount of residual solvent; In advance with a relatively low temperature profile,
(C) secondly, subjecting the dielectric precursor to a relatively high temperature profile under conditions where at least most of the dielectric precursor is cured to form the dielectric composition; Cured to
(D) cooling the cured dielectric composition.
基板上に硬化した誘電体組成物を形成する方法であって、
(a) 熱的に硬化可能な誘電体の前駆材料からなる組成物を前記基板の少なくとも一部分に被膜し、
(b) 処理室内に前記被膜された基板を配置させるようにし、
(c) 前記被膜された基板が前記処理室内に配置されている間に、
(i) 前記誘電体の前駆材料を熱硬化して、硬化した誘電体組成物を形成し、前記熱硬化の少なくとも一部分は、嫌気性状態の下で生じるようにし、
(ii) 冷却用ガスを前記硬化した誘電体組成物に接触させ、
(d) 前記冷却用ガスを前記硬化した誘電体組成物に接触させた後、前記被膜された基板を処理室から排出する、各工程を有することを特徴とする方法。
A method of forming a cured dielectric composition on a substrate,
(A) coating at least a portion of the substrate with a composition comprising a thermally curable dielectric precursor material;
(B) disposing the coated substrate in a processing chamber;
(C) while the coated substrate is placed in the processing chamber,
(I) thermally curing the dielectric precursor material to form a cured dielectric composition, wherein at least a portion of the thermal curing occurs under anaerobic conditions;
(Ii) contacting a cooling gas with the cured dielectric composition;
(D) exposing the coated substrate from a processing chamber after contacting the cooling gas with the cured dielectric composition.
複数の基板上にそれぞれ誘電体組成物を形成する方法であって、
(a) 第1基板上に第1誘電体の前駆材料からなる第1組成物を被膜し、
(b) 処理室内に前記被膜された前記第1基板を配置させるようにし、
(c) 前記被膜された第1基板が前記処理室内に配置されている間に、
(i) 前記被膜した第1基板を熱硬化できる状態の下で、前記第1基板を熱源と熱的に接触させ、
(ii) 冷却用ガスを前記熱硬化した第1基板に接触させ、
(d) 前記第2基板に対して前記(a)〜(c)の工程を繰り返す、各工程を有することを特徴とする方法。
A method of forming a dielectric composition on each of a plurality of substrates,
(A) coating a first composition comprising a first dielectric precursor material on a first substrate;
(B) disposing the coated first substrate in a processing chamber;
(C) while the coated first substrate is placed in the processing chamber,
(I) bringing the first substrate into thermal contact with a heat source in a state where the first substrate thus coated can be thermally cured;
(Ii) bringing a cooling gas into contact with the thermoset first substrate;
(D) A method comprising repeating the steps (a) to (c) for the second substrate.
前記(a)〜(c)の繰り返す工程の少なくとも一部分は、これらの一部分が第1基板に対して実行する間に生じることを特徴とする請求項25記載の方法。28. The method of claim 25, wherein at least a portion of the repeating steps (a)-(c) occurs while performing the portion on a first substrate. 前記被膜、配置、熱硬化、及び冷却の各工程は、少なくとも1つの入力/出力モジュール、少なくとも1つの被膜処理モジュール、少なくとも1つの硬化/冷却の組合せモジュールを含んでいるクラスターツールで実行されることを特徴とする請求項25記載の方法。The coating, placement, thermal curing, and cooling steps are performed on a cluster tool that includes at least one input / output module, at least one coating processing module, and at least one combined curing / cooling module. 26. The method of claim 25, wherein: 前記クラスターツールは、少なくとも2つの硬化/冷却モジュールを含み、前記第2基板を硬化する工程の少なくとも一部分が生じている間に、前記第1基板を硬化する工程の少なくとも一部が生じることを特徴とする請求項27記載の方法。The cluster tool includes at least two curing / cooling modules, wherein at least a portion of the step of curing the first substrate occurs while at least a portion of the step of curing the second substrate occurs. The method according to claim 27, wherein 前記クラスターツールは、少なくとも2つの硬化/冷却モジュールを含み、前記第2基板をガス冷却する工程の少なくとも一部分が生じている間に、前記第1基板をガス冷却する工程の少なくとも一部が生じることを特徴とする請求項27記載の方法。The cluster tool includes at least two curing / cooling modules, wherein at least a portion of the gas cooling of the first substrate occurs while at least a portion of the gas cooling of the second substrate occurs. 28. The method of claim 27, wherein: 前記クラスターツールは、少なくとも2つの硬化/冷却モジュールを含み、前記第2基板をガス冷却する工程の少なくとも一部分が生じている間に、前記第1基板をガス冷却する工程の少なくとも一部が生じることを特徴とする請求項27記載の方法。The cluster tool includes at least two curing / cooling modules, wherein at least a portion of the gas cooling of the first substrate occurs while at least a portion of the gas cooling of the second substrate occurs. 28. The method of claim 27, wherein: 第1、第2基板の各々は、第1、第2の各処理方法に従って並行に処理され、前記第1、第2の処理方法は異なっていることを特徴とする請求項25記載の方法。The method according to claim 25, wherein each of the first and second substrates is processed in parallel according to the first and second processing methods, and the first and second processing methods are different. 第1、第2基板の各々は、第1、第2の各処理方法に従って並行に処理され、前記第1、第2の処理方法はほぼ同一であることを特徴とする請求項29記載の方法。30. The method of claim 29, wherein each of the first and second substrates are processed in parallel according to first and second processing methods, wherein the first and second processing methods are substantially identical. . 被膜された前記第1、第2基板の各々を予め焼成処理にさらす工程をさらに含み、この予め焼成処理は、熱硬化の前に生じることを特徴とする請求項25記載の方法。26. The method of claim 25, further comprising exposing each of the coated first and second substrates to a bake treatment, wherein the pre-bake process occurs prior to thermosetting. 被膜された第1基板の熱硬化は、対応する予め焼成処理の終了から第1の時間間隔の後で開始され、被膜された第2基板の熱硬化は、対応する予め焼成処理の終了から第2の時間間隔の後で開始され、前記第1、第2の時間間隔は、ほぼ同一であることを特徴とする請求項33記載の方法。Thermal curing of the coated first substrate is initiated after a first time interval from the end of the corresponding pre-firing process, and thermal curing of the coated second substrate is initiated from the end of the corresponding pre-firing process. 34. The method of claim 33, wherein the method starts after two time intervals, and wherein the first and second time intervals are substantially the same. 第1、第2基板の各々は、第1、第2の処理方法に順次従って処理され、前記第1、第2の処理方法は、ほぼ同一であることを特徴とする請求項34記載の方法。35. The method according to claim 34, wherein each of the first and second substrates is sequentially processed according to the first and second processing methods, wherein the first and second processing methods are substantially the same. . 第1、第2基板の各々は、第1、第2の処理方法に順次従って処理され、前記第1、第2の処理方法は、互いに異なることを特徴とする請求項34記載の方法。35. The method according to claim 34, wherein each of the first and second substrates is sequentially processed according to the first and second processing methods, wherein the first and second processing methods are different from each other. 複数の基板上にそれぞれ誘電体組成物を形成する方法であって、
(a) 少なくとも1つの処理されるべき基板を含んでいる第1、第2群の基板を供給し、
(b) 第1処理方法に従って、
(i) 前記第1群の各基板上に第1誘電体の前駆材料からなる組成物を被膜され、
(ii) 被膜された前記第1群の基板の各々を処理室内に配置させ、
(iii) 前記第1群の基板の各々が前記処理室内に配置されている間、第1群の被膜された各基板が、熱硬化できる状態の下で熱源と熱的に接触させ、さらに、硬化した各第1基板に冷却用ガスを接触させ、
(c) 前記第1の処理方法と異なる第2の処理方法に従って、前記第2群の各基板に対して工程(b)を繰り返す、各工程を有することを特徴とする方法。
A method of forming a dielectric composition on each of a plurality of substrates,
(A) providing first and second groups of substrates including at least one substrate to be processed;
(B) According to the first processing method,
(I) a composition comprising a first dielectric precursor material is coated on each of the first group of substrates;
(Ii) disposing each of the coated first group of substrates in a processing chamber;
(Iii) while each of the first group of substrates is disposed in the processing chamber, the first group of coated substrates is in thermal contact with a heat source in a thermosetting condition; and A cooling gas is brought into contact with each of the cured first substrates,
(C) a method comprising repeating step (b) for each substrate of the second group according to a second processing method different from the first processing method.
マイクロエレクトロニクス素子の前駆材料を熱処理するための装置であって、
前記前駆材料が処理中に配置される処理室と、
前記前駆材料が処理中に加熱されるように前記処理室に熱的に連結される熱源と、
処理中に前記前駆材料に接触して冷却するために、前記処理室内に流体連通する冷却ガス源と、
前記前駆材料を処理中に少なくとも1つの加熱工程と少なくとも1つの冷却工程を含む所望の温度処理プロファイルにさらすために、前記熱源と冷却ガス源を制御する制御システムとを含むことを特徴とする装置。
An apparatus for heat treating a precursor material of a microelectronic element,
A processing chamber in which the precursor material is disposed during processing,
A heat source thermally coupled to the processing chamber such that the precursor material is heated during processing;
A cooling gas source in fluid communication with the processing chamber for contacting and cooling the precursor material during processing;
An apparatus comprising a control system for controlling the heat source and a cooling gas source to expose the precursor material to a desired temperature processing profile including at least one heating step and at least one cooling step during processing. .
前駆材料の加熱位置と冷却位置を含む動作範囲を通って前記前駆材料を搬送するために、前記処理室に配置される前駆材料に連結して動作する搬送機構をさらに含むことを特徴とする請求項38記載の装置。The apparatus may further include a transport mechanism operatively connected to the precursor material disposed in the processing chamber to transport the precursor material through an operation range including a heating position and a cooling position of the precursor material. Item 39. The apparatus according to Item 38. 前記前駆材料が処理室内に供給されかつ処理室から排出される動作時に装置の側面に配置される入口をさらに含むことを特徴とする請求項38記載の装置。39. The apparatus of claim 38, further comprising an inlet located on a side of the apparatus during operation wherein the precursor material is supplied to and discharged from the processing chamber. 前記熱源は、処理室内に配置された焼成プレートを含み、かつ前記焼成プレートが少なくとも部分的に支持される中空支持部材をさらに含んでいることを特徴とする請求項38記載の装置。39. The apparatus of claim 38, wherein the heat source includes a firing plate disposed within a processing chamber, and further comprising a hollow support member on which the firing plate is at least partially supported. 少なくとも1つの加熱/冷却の組合せ処理ステーションを含むクラスターツールであって、
前記処理ステーションは、前駆材料が処理中に配置される処理室と、
前記前駆材料が処理中に加熱されるように前記処理室に熱的に連結される熱源と、
処理中に前記前駆材料に接触して冷却するために、前記処理室内に流体連通する冷却ガス源と、を含むことを特徴とするクラスターツール。
A cluster tool comprising at least one combined heating / cooling processing station,
The processing station includes a processing chamber in which precursor materials are disposed during processing;
A heat source thermally coupled to the processing chamber such that the precursor material is heated during processing;
A cooling gas source in fluid communication with the processing chamber for contacting and cooling the precursor material during processing.
さらに、入力/出力ステーション、被膜ステーション、予め焼成ステーション、及びロボットを含み、前記ロボットが、各ステーションからワークピースを作動的に供給及び排出できるように運動の作動範囲を含んでいることを特徴とする請求項42記載のクラスターツール。Further, the apparatus includes an input / output station, a coating station, a pre-firing station, and a robot, wherein the robot includes an operating range of motion so that a workpiece can be operatively supplied and discharged from each station. 43. The cluster tool according to claim 42. 一体となった付加的な加熱/冷却処理ステーションをさらに含むことを特徴とする請求項43記載のクラスターツール。44. The cluster tool of claim 43, further comprising an integrated heating / cooling processing station. 前記付加的な加熱/冷却処理ステーションは、他の加熱/冷却処理ステーションの上方に垂直に配置されていることを特徴とする請求項44記載のクラスターツール。The cluster tool according to claim 44, wherein the additional heating / cooling processing station is vertically disposed above another heating / cooling processing station. 少なくとも1つの中央ユーティリティー源をさらに含み、このユーティリティー源は、前記加熱/冷却の組合せ処理ステーションに対して独立に連結されていることを特徴とする請求項43記載のクラスターツール。44. The cluster tool of claim 43, further comprising at least one central utility source, wherein the utility source is independently coupled to the combined heating / cooling processing station. 少なくとも1つの予め焼成ステーションをさらに含んでいることを特徴とする請求項44記載のクラスターツール。The cluster tool according to claim 44, further comprising at least one pre-firing station. 被膜可能な組成物の供給源に連結して作動可能な分配ステーションをさらに含んでいることを特徴とする請求項42記載のクラスターツール。43. The cluster tool of claim 42, further comprising a dispensing station operable in connection with a source of the coatable composition.
JP2002508580A 2000-07-12 2001-07-11 Heat treatment system and method for forming a low dielectric constant dielectric film suitable for incorporation into a microelectronic device Pending JP2004502535A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US61474600A 2000-07-12 2000-07-12
PCT/US2001/021927 WO2002004134A1 (en) 2000-07-12 2001-07-11 Thermal processing system and methods for forming low-k dielectric films suitable for incorporation into microelectronic devices

Publications (2)

Publication Number Publication Date
JP2004502535A true JP2004502535A (en) 2004-01-29
JP2004502535A5 JP2004502535A5 (en) 2005-02-03

Family

ID=24462539

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002508580A Pending JP2004502535A (en) 2000-07-12 2001-07-11 Heat treatment system and method for forming a low dielectric constant dielectric film suitable for incorporation into a microelectronic device

Country Status (2)

Country Link
JP (1) JP2004502535A (en)
AU (1) AU2001270314A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011520283A (en) * 2008-05-09 2011-07-14 エフエスアイ インターナショナル インコーポレイテッド Tools and methods for processing microelectronic workpieces using a processing chamber design that easily switches between open and closed modes in operation
JP7429490B2 (en) 2021-07-12 2024-02-08 芝浦メカトロニクス株式会社 Organic film forming apparatus and organic film manufacturing method

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011520283A (en) * 2008-05-09 2011-07-14 エフエスアイ インターナショナル インコーポレイテッド Tools and methods for processing microelectronic workpieces using a processing chamber design that easily switches between open and closed modes in operation
JP7429490B2 (en) 2021-07-12 2024-02-08 芝浦メカトロニクス株式会社 Organic film forming apparatus and organic film manufacturing method

Also Published As

Publication number Publication date
AU2001270314A1 (en) 2002-01-21

Similar Documents

Publication Publication Date Title
US6838115B2 (en) Thermal processing system and methods for forming low-k dielectric films suitable for incorporation into microelectronic devices
US6054181A (en) Method of substrate processing to form a film on multiple target objects
US20220308462A1 (en) Apparatus for photoresist dry deposition
US6786974B2 (en) Insulating film forming method and insulating film forming apparatus
WO2007018139A1 (en) Method of manufacturing semiconductor device and substrate treating device
US6544338B1 (en) Inverted hot plate cure module
KR20020037695A (en) Substrate processing apparatus and substrate processing method
US6354832B1 (en) Substrate processing apparatus and substrate processing method
US20050268849A1 (en) Film forming apparatus and film forming method
US6730620B2 (en) Substrate processing method and substrate processing apparatus
JPWO2003001579A1 (en) Substrate processing apparatus and substrate processing method
JP3623134B2 (en) Substrate processing equipment
JP2004502535A (en) Heat treatment system and method for forming a low dielectric constant dielectric film suitable for incorporation into a microelectronic device
JP3764357B2 (en) Heat treatment device
JP2003068726A (en) Heat treatment apparatus having cooling function
WO2002004134A1 (en) Thermal processing system and methods for forming low-k dielectric films suitable for incorporation into microelectronic devices
JP2004235469A (en) Heat treatment method and heat treatment apparatus
JP4043022B2 (en) Film forming apparatus and film forming method
JP4209658B2 (en) Substrate processing equipment
JPH07201724A (en) Method and device for forming coating film
JP2004186682A (en) Device for forming insulating film
EP1299195A1 (en) Thermal processing system and methods for forming low-k dielectric films suitable for incorporation into microelectronic devices
JP4048189B2 (en) Substrate processing equipment
JP2002324790A (en) Substrate treating unit
JP2004172223A (en) Insulating film formation equipment