JP2004158832A - 半導体装置およびその製造方法 - Google Patents

半導体装置およびその製造方法 Download PDF

Info

Publication number
JP2004158832A
JP2004158832A JP2003310953A JP2003310953A JP2004158832A JP 2004158832 A JP2004158832 A JP 2004158832A JP 2003310953 A JP2003310953 A JP 2003310953A JP 2003310953 A JP2003310953 A JP 2003310953A JP 2004158832 A JP2004158832 A JP 2004158832A
Authority
JP
Japan
Prior art keywords
film
insulating film
interlayer insulating
semiconductor device
wiring
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003310953A
Other languages
English (en)
Other versions
JP2004158832A5 (ja
JP4606713B2 (ja
Inventor
Kazutoshi Omori
一稔 大森
Takeshi Tamaru
剛 田丸
Tadashi Ohashi
直史 大橋
Kiyohiko Sato
清彦 佐藤
Hiroyuki Maruyama
裕之 丸山
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Technology Corp
Original Assignee
Renesas Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Technology Corp filed Critical Renesas Technology Corp
Priority to JP2003310953A priority Critical patent/JP4606713B2/ja
Priority to US10/684,399 priority patent/US7176121B2/en
Priority to TW092128712A priority patent/TW200414283A/zh
Publication of JP2004158832A publication Critical patent/JP2004158832A/ja
Publication of JP2004158832A5 publication Critical patent/JP2004158832A5/ja
Priority to US11/646,434 priority patent/US7723849B2/en
Priority to US12/771,494 priority patent/US8012871B2/en
Application granted granted Critical
Publication of JP4606713B2 publication Critical patent/JP4606713B2/ja
Priority to US13/206,906 priority patent/US20110291280A1/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

【課題】 配線層間膜およびビア層間膜を低誘電率のSiOC膜で構成した銅ダマシン配線の信頼性を向上する。
【解決手段】 配線層間膜15、23およびビア層間膜21のそれぞれをSiOC膜で構成し、ストッパ絶縁膜14、22およびキャップ絶縁膜20をSiCN膜AとSiC膜Bの積層膜で構成することによって、配線層間膜15、23およびビア層間膜21のリーク電流低減、ならびにストッパ絶縁膜14、22およびキャップ絶縁膜20との接着性向上を図る。
【選択図】 図8

Description

本発明は、半導体装置の製造技術に関し、特に、いわゆるダマシン(Damascene)法を用いて形成された配線構造、およびそのような配線構造を有する半導体装置に適用して有効な技術に関する。
半導体装置の微細化に伴う配線遅延を抑制するために、配線抵抗および配線容量の低減が図られている。配線抵抗に関しては、設計技術による対応と、銅を主導体層とした配線の採用が検討されている。銅配線の形成には、絶縁膜に形成された溝の内部を含む基板上に銅を主導体層とする配線用金属を堆積した後、溝以外の領域の余分な金属をCMP(Chemical Mechanical Polishing)法を用いて除去することにより、溝の内部に配線パターンを形成する方法、いわゆるダマシン法が用いられている。
一方、配線容量に関しては、比誘電率が2〜3程度と相対的に低い低誘電率材料の採用が検討されている。なかでも、機械的強度に優れたカーボンを含有するシリコン酸化(Silicon-oxycarbite:以下、SiOCと記す)膜が、低誘電率材料として有望視されている。
なお、特開2001−326279号公報(特許文献1)には、層間絶縁膜を構成する多層の絶縁膜のうち銅配線と接する絶縁膜を、シロキサン結合を有するアルキル化合物と、該アルキル化合物のガス流量と等しいか又は少ないガス流量に調整されたN2O、H2O又はCO2のうち何れか一つの酸素含有ガスとを含む成膜ガスをプラズマ化し、反応させて成膜する技術が開示されている。
また、特開2001−110789号公報(特許文献2)には、シリコン、酸素、及び、原子量で少なくとも約5%の炭素を含む第1誘電体層と、シリコン、酸素、及び、第1誘電体層に含まれる炭素の約2/3未満の炭素を含む第2誘電体層とからなる金属間誘電体層を堆積及びエッチングする方法が記載されている。
また、特開2002−203899号公報(特許文献3)には、層間絶縁膜をSiO膜、SiOF膜あるいはSiOC膜で形成し、銅バリア膜をSiC膜で形成することによって、層間絶縁膜とバリア膜との接着性を向上させる技術が開示されている。
また、特開2002−134494号公報(特許文献4)には、層間絶縁膜をSiOC膜、SiOF膜あるいはCF膜で形成し、CMP(化学的機械研磨)時の研磨ストッパ膜および銅バリア膜をSiC膜で形成することによって、クロストークを防止する技術が開示されている。
また、特開2002−353310号公報(特許文献5)には、層間絶縁膜をSiOC膜で形成し、銅バリア膜をSiC膜またはSiN膜で形成することによって、ビアのエッチングを改善する技術が開示されている。
また、特開2003−142593号公報(特許文献6)には、層間絶縁膜をSiO膜、SiOF膜あるいはSiOC膜で形成し、銅バリア膜をSiC膜またはSiN膜で形成することによって、MIM(Metal Insulator Metal)キャパシタを形成する技術が開示されている。
また、特開2003−152076号公報(特許文献7)には、層間絶縁膜をSiOC膜、SiOF膜、BN膜あるいはCF膜で形成し、CMP時の研磨ストッパ膜をSiC膜、SiN膜、SiO膜あるいはSiON膜、銅バリア膜をSiOC膜あるいはSiON膜で形成することによって、配線の絶縁破壊耐性を向上する技術が開示されている。
また、特開2000−200832号公報(特許文献8)には、層間絶縁膜をSiO膜、SiOF膜あるいはSiN膜で形成し、銅バリア膜をSiC膜またはSiN膜で形成することによって、銅バリア膜の接着性を向上させる技術が開示されている。
また、特開2002−9150号公報(特許文献9)には、銅ダマシン配線の銅拡散防止膜をSiN膜、SiC膜あるいはSiCN膜からなる第1絶縁膜と、SiN膜からなる第2絶縁膜との積層構造にすることによって、銅配線の凝集を防止する技術が開示されている。
また、特開2002−373936号公報(特許文献10)には、銅ダマシン配線形成時のエッチングストッパ膜として、SiC膜、SiN膜、SiCN膜あるいはSiON膜を用いる技術が開示されている。
また、特開2002−170882号公報(特許文献11)および特開2002−270691号公報(特許文献12)には、銅ダマシン配線の形成において、絶縁膜の溝内に銅を埋め込むCMPの実施後、銅の表面をアンモニア(NH3)またはヘリウム(He)プラズマに曝して表面処理を行ってから、SiC膜、SiN膜あるいはSiCN膜などの銅拡散防止膜を形成する技術が開示されている。
特開2001−326279号公報 特開2001−110789号公報 特開2002−203899号公報 特開2002−134494号公報 特開2002−353310号公報 特開2003−142593号公報 特開2003−152076号公報 特開2000−200832号公報 特開2002−009150号公報 特開2002−373936号公報 特開2002−170882号公報 特開2002−270691号公報
本発明者は、ダマシン配線の製造方法について検討した。以下は、本発明者によって検討された技術であり、その概要は次のとおりである。
まず、基板上にストッパ絶縁膜および配線形成用の絶縁膜(以下、単に配線層間膜と略す)を順次堆積する。配線層間膜はプラズマCVD(Chemical Vapor Deposition)法で成膜されるSiOC膜で構成され、ストッパ絶縁膜は、たとえばプラズマCVD法で成膜されるシリコン酸化(以下、SiOと記す)膜、シリコン窒化(以下、SiNと記す)膜またはシリコン炭化窒化(以下、SiCNと記す)膜で構成される。ストッパ絶縁膜は、配線層間膜をエッチングする際のエッチングストッパ層として機能する。
次に、パターニングされたフォトレジスト膜をマスクとしたエッチングによって配線層間膜およびストッパ絶縁膜の所定の領域に配線溝を形成する。続いて配線溝の内部を含む基板全面にバリア層、たとえばチタン窒化膜を形成し、さらに配線溝を埋め込む銅膜を形成する。銅膜は主導体層として機能し、たとえばメッキ法で形成できる。その後、配線溝以外の領域の銅膜およびバリア層をCMP法により除去して配線溝の内部に銅配線を形成する。
次に、銅配線からの銅の拡散を防ぐため、銅配線上にバリア層として機能するキャップ絶縁膜を形成する。キャップ絶縁膜は、たとえばプラズマCVD法で成膜されるSiO膜、SiN膜またはSiCN膜で構成される。このキャップ絶縁膜は、バリア層としての機能に加えて、銅配線上の絶縁膜に接続孔を形成する際のエッチングストッパ層としての役割を担うこともできる。
しかしながら、半導体装置の高集積化の要望に伴い、加工寸法が0.1μm以下におけるダマシン配線の微細化を検討したところ、銅膜をCMP法で研磨する際、SiOC膜からなる配線層間膜と、SiO膜、SiN膜またはSiCN膜からなるストッパ絶縁膜との界面において剥離が生じ、ダマシン配線を有する半導体装置の製造歩留まりが低下するという問題が明らかとなった。
本発明の目的は、配線溝が形成される絶縁膜または接続孔が形成される絶縁膜にSiOC膜を用いたダマシン配線の信頼性を向上することのできる技術を提供することにある。
本発明の前記ならびにその他の目的と新規な特徴は、本明細書の記述および添付図面から明らかになるであろう。
本願において開示される発明のうち、代表的なものの概要を簡単に説明すれば、次のとおりである。
本発明は、半導体基板上の層間絶縁膜に形成された溝の内部に金属配線が形成され、前記層間絶縁膜および前記金属配線のそれぞれの上部に、前記配線を構成する金属の拡散を防止するキャップ絶縁膜が形成された半導体装置において、前記層間絶縁膜が、SiOC膜と、前記SiOC膜上に形成されたSiC膜と、前記SiC膜上に形成されたSiON膜とからなり、前記キャップ絶縁膜が、SiCN膜と、前記SiCN膜上に形成されたSiC膜とからなるものである。
本発明は、ダマシン配線を有する半導体装置の製造方法において、配線溝が形成される絶縁膜または接続孔が形成される絶縁膜をSiOC膜で形成し、このSiOC膜に接して5nm以上の厚さのSiC膜を積層するものである。
本願において開示される発明のうち、代表的なものによって得られる効果を簡単に説明すれば以下のとおりである。
配線溝が形成される絶縁膜または接続孔が形成される絶縁膜にSiOC膜を用いたダマシン配線において、ストッパ絶縁膜またはキャップ絶縁膜をSiC膜で構成する、またはSiCN膜およびSiOC膜とSiCN膜との間に介在するSiC膜からなる積層構造で構成することにより、SiOC膜の剥離を防ぐことができる。これにより、ダマシン配線の信頼性を向上することができる。
以下、本発明の実施の形態を図面に基づいて詳細に説明する。なお、実施の形態を説明するための全図において、同一の部材には原則として同一の符号を付し、その繰り返しの説明は省略する。
(実施の形態1)
本発明の実施の形態1であるCMOSFET(Complementary Metal Oxide Semiconductor Field Effect Transistor)の製造方法を図1〜図7に示した半導体基板の要部断面図を用いて工程順に説明する。
まず、図1に示すように、たとえばp-型の単結晶シリコンからなる半導体基板1を用意し、半導体基板1の主面に素子分離領域2を形成する。次に、パターニングされたフォトレジスト膜をマスクとして不純物をイオン注入し、pウェル3およびnウェル4を形成する。pウェル3にはp型不純物、たとえばボロンをイオン注入し、nウェル4にはn型不純物、たとえばリンをイオン注入する。この後、各ウェル領域にMISFET(Metal Insulator Semiconductor FET)のしきい値を制御するための不純物をイオン注入してもよい。
次に、ゲート絶縁膜5となるシリコン酸化膜、ゲート電極6となる多結晶シリコン膜およびキャップ絶縁膜7となるシリコン酸化膜を順次堆積して積層膜を形成し、パターニングされたフォトレジスト膜をマスクとして上記積層膜をエッチングする。これにより、ゲート絶縁膜5、ゲート電極6およびキャップ絶縁膜7を形成する。
次に、半導体基板1上に、たとえばCVD法でシリコン酸化膜を堆積した後、このシリコン酸化膜を異方性エッチングすることにより、ゲート電極6の側壁にサイドウォールスペーサ8を形成する。その後、フォトレジスト膜をマスクとして、pウェル3にn型不純物、たとえばリンまたはヒ素をイオン注入し、pウェル3のゲート電極6の両側にn型半導体領域9を形成する。n型半導体領域9は、ゲート電極6およびサイドウォールスペーサ8に対して自己整合的に形成され、nチャネルMISFETのソース・ドレインとして機能する。同様に、フォトレジスト膜をマスクとして、nウェル4にp型不純物、たとえばフッ化ボロンをイオン注入し、nウェル4のゲート電極6の両側にp型半導体領域10を形成する。p型半導体領域10は、ゲート電極6およびサイドウォールスペーサ8に対して自己整合的に形成され、pチャネルMISFETのソース・ドレインとして機能する。
次に、図2に示すように、半導体基板1上にスパッタ法またはCVD法でシリコン酸化膜を堆積した後、そのシリコン酸化膜を、たとえばCMP法で研磨することにより、表面が平坦化された層間絶縁膜11を形成する。次いで、パターニングされたフォトレジスト膜をマスクとしたエッチングによって層間絶縁膜11に接続孔12を形成する。この接続孔は、n型半導体領域9またはp型半導体領域10上などの必要部分に形成する。
次に、接続孔12の内部を含む半導体基板1の全面にチタン窒化膜を、たとえばCVD法で形成し、さらに接続孔12を埋め込むタングステン膜を、たとえばCVD法で形成する。その後、接続孔12以外の領域のタングステン膜およびチタン窒化膜を、たとえばCMP法により除去して接続孔12の内部にプラグ13を形成する。
続いて、シングルダマシン法により第1配線層を形成する。まず、プラグ13上にストッパ絶縁膜14を形成し、さらに配線層間膜15を形成する。ストッパ絶縁膜14と配線層間膜15とには次に説明する第1配線層が形成されるため、その合計膜厚は第1配線層に必要な設計膜厚で決められる。
ストッパ絶縁膜14は、配線層間膜15への配線溝加工の際にエッチングストッパとなる膜であり、配線層間膜15に対してエッチング選択比を有する材料で構成される。ストッパ絶縁膜14は、窒素の含有量が1%以下のシリコン炭化(以下、SiCと記す)膜とし、その厚さは、たとえば約5nm以上とすることができる。SiC膜は、たとえばプラズマCVD法で形成され、その成膜条件は、たとえばrfパワーが200〜1000W、圧力が2〜10Torr、温度が300〜400℃,ガス種がC含有ガス(たとえばアルキルシラン)とHe、ガス流量が100〜2000sccmである。配線層間膜15は、SiOC膜からなり、比誘電率は3程度である。SiOC膜は、たとえばプラズマCVD法で形成され、その成膜条件は、たとえばrfパワーが200〜1000W、圧力が2〜10Torr、温度が300〜400℃、ガス種がC含有ガス(たとえばアルキルシラン)とHeとO2、ガス流量が100〜2000sccmである。
なお、ストッパ絶縁膜14を構成するSiC膜および配線層間膜15を構成するSiOC膜は、一台のプラズマCVD装置によって形成することができる。たとえばプラズマCVD装置に備わる2つのチャンバを用いて、それぞれのチャンバでSiC膜とSiOC膜とを成膜する方法、または1つのチャンバを用いて、ガス等の成膜条件を変えることによってSiC膜とSiOC膜とを連続成膜する方法を例示することができる。
次いで、パターニングされたフォトレジスト膜をマスクとしたエッチングによってストッパ絶縁膜14および配線層間膜15の所定の領域に配線溝16を形成する。
次に、配線溝16の内部を含む半導体基板1の全面にバリアメタル層17を形成する。バリアメタル層17は、たとえばタンタル膜からなり、その厚さは、たとえば基板平面上で50nm程度とすることができる。上記タンタル膜は、たとえばスパッタ法で形成される。バリアメタル層17は、チタン窒化、タンタル窒化等で構成してもよい。
続いて、バリアメタル層17上に銅のシード層(図示せず)を、たとえばCVD法またはスパッタ法で形成し、さらにシード層上に銅膜18を、たとえば電界メッキ法で形成する。
次に、図3に示すように、CMP法を用いて銅膜18およびシード層を研磨する。さらに研磨を継続し、配線層間膜15上のバリアメタル層17を除去する。これにより、配線溝16以外の領域の銅膜18(シード層を含む)およびバリアメタル層17が除去されて、第1配線層の配線19が形成される。
ところで、ストッパ絶縁膜をSiO膜、SiN膜またはSiCN膜で構成し、配線層間膜をSiOC膜で構成する本発明者によって検討された技術では、銅膜およびバリア層のCMP工程においてストッパ絶縁膜と配線層間膜との界面で剥離が生じた。しかし、ストッパ絶縁膜14をSiC膜で構成し、配線層間膜15をSiOC膜で構成した本実施の形態1では、銅膜18(シード層を含む)およびバリアメタル層17のCMP工程においてストッパ絶縁膜(SiC膜)14と絶縁膜(SiOC膜)15との界面で剥離は生じなかった。
Figure 2004158832
表1に、各種絶縁膜のヤング率、ストレス、窒素含有量をまとめる。SiOC膜と各種絶縁膜との接着性はSiN膜、SiCN膜、SiO膜、SiC膜の順に小さく、これは窒素含有量に依存する傾向にある。また、ヤング率はSiN膜、SiCN膜、SiO膜、SiC膜、SiOC膜の順に小さい。また、SiOC膜およびSiC膜は引っ張り応力を示すのに対し、SiN膜、SiCN膜、SiO膜は圧縮応力を示す。
これらのことから、SiOC膜の界面では、O、Cで終端した分子構造が界面における原子間の結合を強めて接着性を向上させると考えられる。さらに、SiOC膜と同じ引っ張り応力を有し、SiOC膜とのヤング率の差が50GPa以下、応力の差が50MPa以下であるSiC膜をSiOC膜に接して設けることにより、SiC膜が銅膜のCMP処理時に発生する縦横方向の荷重を緩和して、SiOC膜とSiC膜との界面における剥離を抑制すると考えられる。
なお、ここではストッパ絶縁膜14としてSiC膜を例示したが、SiOC膜とのヤング率の差が50GPa以下または応力の差が50MPa以下である絶縁膜によって、ストッパ絶縁膜14を構成してもよい。また、ストッパ絶縁膜14を構成するSiC膜をプラズマCVD法で形成し、その成膜条件を例示したが、製法または成膜条件は、これに限定されるものでない。
次に、デュアルダマシン法により第2配線層を形成する。まず、図4に示すように、第1配線層の配線19上にキャップ絶縁膜20、接続孔が形成される絶縁膜(以下、単にビア層間膜と略す)21および配線形成用のストッパ絶縁膜22を順次形成する。
キャップ絶縁膜20は、窒素の含有量が1%以下のSiC膜とし、その厚さは、たとえば約5nm以上とすることができる。また、キャップ絶縁膜20は、銅の拡散を防ぐ機能を有するが、ビア層間膜21に対してエッチング選択比を有する材料で構成され、ビア層間膜21への接続孔加工の際のエッチングストッパとしても用いられる。SiC膜は、たとえばプラズマCVD法で形成され、その成膜条件は、たとえば前記ストッパ絶縁膜14を構成するSiC膜とほぼ同じ条件を用いることができる。
ビア層間膜21は、SiOC膜からなり、SiOC膜は、たとえばプラズマCVD法で形成され、その成膜条件は、たとえば前記配線層間膜15を構成するSiOC膜とほぼ同じ条件を用いることができる。
ストッパ絶縁膜22は、ビア層間膜21および後にストッパ絶縁膜22の上層に堆積される配線層間膜に対してエッチング選択比を有する絶縁材料で構成され、窒素の含有量が1%以下のSiC膜とし、その厚さは、たとえば約5nm以上とすることができる。SiC膜は、たとえばプラズマCVD法で形成され、その成膜条件は、たとえば前記ストッパ絶縁膜14を構成するSiC膜とほぼ同じ条件を用いることができる。
次に、孔パターンにパターニングされたフォトレジスト膜をストッパ絶縁膜22上に形成し、このフォトレジスト膜をマスクとしてストッパ絶縁膜22をエッチングする。
次いで、ストッパ絶縁膜22上に配線層間膜23を形成する。配線層間膜23はSiOC膜からなり、SiOC膜は、たとえばプラズマCVD法で形成され、その成膜条件は、たとえば前記配線層間膜15を構成するSiOC膜とほぼ同じ条件を用いることができる。なお、ストッパ絶縁膜22および配線層間膜23には次に説明する第2配線層が埋め込まれる配線溝が形成されるため、その合計厚さは第2配線層に必要な設計膜厚で決められる。
その後、図5に示すように、溝パターンにパターニングされたフォトレジスト膜を配線層間膜23上に形成し、このフォトレジスト膜をマスクとして配線層間膜23をエッチングする。この際、キャップ絶縁膜22がエッチングストッパ層として機能する。
続いて、上記フォトレジスト膜およびストッパ絶縁膜22をマスクとしてビア層間膜21をエッチングする。この際、キャップ絶縁膜20がエッチングストッパ層として機能する。
次いで、露出したキャップ絶縁膜20を、たとえばドライエッチング法で除去する。キャップ絶縁膜20を除去すると同時にストッパ絶縁膜22が除去されて、キャップ絶縁膜20およびビア層間膜21に接続孔24が形成され、ストッパ絶縁膜22および配線層間膜23に配線溝25が形成される。
次に、図6に示すように、接続孔24および配線溝25の内部を含む半導体基板1の全面にバリアメタル層26を形成する。バリアメタル層26は、たとえばタンタル膜からなり、その厚さは、たとえば基板平面上で50nm程度とすることができる。上記タンタル膜は、たとえばスパッタ法で形成される。バリアメタル層26は、チタン窒化、タンタル窒化等で構成してもよい。
続いて、バリアメタル層26上に銅のシード層(図示せず)を、たとえばCVD法またはスパッタ法で形成し、さらにシード層上に銅膜27を、たとえば電界メッキ法で形成する。
次に、図7に示すように、CMP法を用いて銅膜27およびシード層を研磨する。さらに研磨を継続し、配線層間膜23上のバリアメタル層26を除去する。これにより、配線溝25以外の領域の銅膜27(シード層を含む)およびバリアメタル層26が除去されて、接続部材と一体に形成された第2配線層の配線28が形成される。
この銅膜27(シード層を含む)およびバリアメタル層26のCMP工程においても、前述した銅膜18(シード層を含む)およびバリアメタル層17のCMP工程の場合と同様に、キャップ絶縁膜(SiC膜)20とビア層間膜(SiOC膜)21との界面、ビア層間膜(SiOC膜)21とストッパ絶縁膜(SiC膜)22との界面、ストッパ絶縁膜(SiC膜)22と配線層間膜23(SiOC膜)との界面において剥離は生じなかった。
続いて、図示はしないが、第2配線層の配線28上にキャップ絶縁膜29を形成し、さらに上層の配線を形成した後、パッシベーション膜で半導体基板1の全面を覆うことにより、CMOSFETが略完成する。
なお、本実施の形態1では、半導体基板1の主面上に形成される半導体素子としてCMOSFETを例示したが、これに限定されるものではない。
また、本実施の形態1では、デュアルダマシン法により第2配線層の配線28を形成する場合、ストッパ絶縁膜22にあらかじめ孔パターンを加工した後、キャップ絶縁膜20およびストッパ絶縁膜22をエッチングストッパ層として機能させて、ビア層間膜21に接続孔24を、配線層間膜23に配線溝25を同時に形成したが、この形成方法に限定されるものではない。たとえば孔パターンにパターニングされたフォトレジスト膜をマスクとしたエッチングにより配線層間膜23およびビア層間膜21に接続孔23を形成した後、溝パターンにパターニングされたフォトレジスト膜をマスクとしたエッチングにより配線層間膜23に配線溝24を形成する方法、あるいは溝パターンにパターニングされたフォトレジスト膜をマスクとしたエッチングにより配線層間膜23に配線溝24を形成した後、孔パターンにパターニングされたフォトレジスト膜をマスクとしたエッチングによりビア層間膜21に接続孔23を形成する方法などがある。
このように、本実施の形態1によれば、配線層間膜15,23およびビア層間膜21を相対的に低い低誘電率材料であるSiOC膜で構成した場合、配線層間膜15,23およびビア層間膜21に接して設けられるストッパ絶縁膜14,22およびキャップ絶縁膜20をSiC膜で構成することにより、第1配線層の配線19を形成するCMP工程における配線層間膜15とストッパ絶縁膜14との界面での剥離、および第2配線層の配線20を形成するCMP工程におけるキャップ絶縁膜20とビア層間膜21との界面、ビア層間膜21とストッパ絶縁膜22との界面、ストッパ絶縁膜22と配線層間膜23との界面での剥離を防ぐことができる。
(実施の形態2)
本発明の実施の形態2であるCMOSFETの製造方法を図8に示した半導体基板の要部断面図を用いて説明する。
前記実施の形態1では、ストッパ絶縁膜14、22およびキャップ絶縁膜20をSiC膜で構成したが、本実施の形態2では、ストッパ絶縁膜14、22およびキャップ絶縁膜20を、SiC膜に比してリーク電流を小さく抑えることができるSiCN膜A、ならびに配線層間膜15,23およびビア層間膜21を構成するSiOC膜と上記SiCN膜Aとの間に介在するSiC膜Bにより構成するものである。SiCN膜Aの厚さは、たとえば40nm程度、SiC膜Bの厚さは、たとえば10nm程度であり、SiCN膜Aの窒素含有量は1%以上とする。
また、SiCN膜Aは、たとえばプラズマCVD法で形成され、その成膜条件は、たとえばrfパワーが200〜1000W、圧力が2〜10Torr、温度が300〜400℃、ガス種がC含有ガス(たとえばアルキルシラン)とNH3とHe、ガス流量が100〜2000sccmであり、SiC膜Bは、たとえばプラズマCVD法で形成され、その成膜条件は、たとえばrfパワーが200〜1000W、圧力が2〜10Torr、温度が300〜400℃、ガス種がC含有ガス(たとえばアルキルシラン)とHe、ガス流量が100〜2000sccmである。
図9は、3種類の絶縁膜(SiC膜、SiCN膜、SiN膜)のリーク電流特性を測定したグラフであり、横軸は電界強度(単位:MV/cm)、縦軸は膜のリーク電流(単位:A/cm2)を示している。グラフから明らかなように、例えば電界強度=3MV/cmにおけるリーク電流は、SiCN膜が最も少なく、SiC膜が最も多い。
このように、本実施の形態2によれば、ストッパ絶縁膜14、22およびキャップ絶縁膜20を主として相対的にリーク電流の小さいSiCN膜Aで構成し、配線層間膜15,23およびビア層間膜21を構成するSiOC膜と上記SiCN膜Aとの間にSiC膜Bを介在させることにより、配線間のリーク電流を低減できると同時にSiOC膜の剥離を防ぐことができる。
(実施の形態3)
本実施の形態3では、窒素を含有したSiOC膜で配線層間膜15、23およびビア層間膜21を構成し、相対的にリーク電流の小さいSiCN膜でストッパ絶縁膜14,22およびキャップ絶縁膜20を構成するものである。窒素を含有したSiOC膜は、たとえばプラズマCVD法で形成され、その成膜条件は、たとえばrfパワーが200〜1000W、圧力が2〜10Torr、温度が300〜400℃、ガス種がC含有ガス(たとえばアルキルシラン)とO2とN2、またはC含有ガス(たとえばアルキルシラン)とN2O、ガス流量が100〜2000sccmであり、SiCN膜は、たとえばプラズマCVD法で形成され、その成膜条件は、たとえばrfパワーが200〜1000W、圧力が2〜10Torr、温度が300〜400℃、ガス種がC含有ガス(たとえばアルキルシラン)とNH3とHe、ガス流量が100〜2000sccmである。SiCN膜の厚さは、たとえば50nm程度である。
このように、本実施の形態3によれば、SiOC膜に窒素を含有することで、両者の接着性を向上させる。これにより、配線間のリーク電流を低減できると同時にSiOC膜の剥離を防ぐことができる。
(実施の形態4)
図10に示すように、本実施の形態4では、ストッパ絶縁膜14、22およびキャップ絶縁膜20のそれぞれを、SiCN膜AとSiC膜Bの積層膜で構成する。
図11は、3種類の絶縁膜(SiC膜、SiCN膜、SiN膜)のTDDB(Time-dependent dielectric breakdown;経時絶縁破壊)特性を測定したグラフであり、横軸は電界強度(単位:MV/cm)、縦軸はTDDB寿命(単位:秒)を示している。グラフから明らかなように、SiCN膜はSiC膜に比べTDDB寿命が長い。
一方、図12は、銅配線(ビア部分)のストレスマイグレーション特性とSiCN膜およびSiC膜の関係を評価したグラフであり、横軸は銅配線の幅(単位:μm)、縦軸はストレスマイグレーションによる配線の不良率(単位:%)を示している。グラフから明らかなように、銅配線幅がある値以上になると、SiCN膜は銅配線のストレスマイグレーション特性を著しく劣化させるのに対し、SiC膜は銅配線幅の大小に関わりなく、ストレスマイグレーション特性を殆ど劣化させない。また、SiCN膜とSiC膜の積層膜は、それらの中間的な特性を示す。
以上のことから、ストッパ絶縁膜14、22およびキャップ絶縁膜20のそれぞれを、SiCN膜AとSiC膜Bの積層膜で構成することにより、ストッパ絶縁膜14、22およびキャップ絶縁膜20のTDDB特性の劣化を防ぐと共に、リーク電流の低減を図ることができ、かつ銅配線のストレスマイグレーション特性の劣化を防ぐことが可能となる。
なお、ストッパ絶縁膜14、22およびキャップ絶縁膜20のそれぞれを、SiCN膜AとSiC膜Bの積層膜で構成した場合は、配線層間膜15、23およびビア層間膜21を構成するSiOC膜とSiCN膜Aとの界面の接着力が低下する。そこで、本実施の形態では、配線層間膜15、23およびビア層間膜21のそれぞれを、SiOC膜C、SiC膜BおよびSiON膜Dの3層構造で構成し、SiOC膜CとSiCN膜Aとが直接接しないようにする。ここで、SiOC膜CとSiON膜Dとの間の薄いSiC膜Bは、SiOC膜CとSiON膜Dの接着性を高めるための接着層である。また、配線層間膜15、23およびビア層間膜21の誘電率をできるだけ低くするために、SiOC膜Cよりも誘電率が高いSiON膜Dの膜厚は、SiOC膜Cよりも薄くすると共に、窒素含有量も5atoms%以下にすることが望ましい。SiON膜Dは、例えばモノシラン(SiH4)と亜酸化窒素(N2O)とをソースガスに用いたプラズマCVD法(成膜温度=350〜400℃)で堆積する。
(実施の形態5)
前記実施の形態4のように、配線層間膜15、23およびビア層間膜21のそれぞれを、SiOC膜C、SiC膜BおよびSiON膜Dの3層構造で構成した場合は、接着層であるSiC膜Bのエッチング選択比とSiOC膜CおよびSiON膜Dのエッチング選択比が異なるために、配線溝16、25や接続孔24を形成するためのエッチング工程でSiC膜Bがエッチングストッパ膜となり、スループットが低下する問題がある。
そこで、本実施の形態では、図13に示すように、配線層間膜15、23およびビア層間膜21のそれぞれを、SiOC膜CおよびSiON膜Dの2層構造で構成し、配線溝16、25や接続孔24を形成する際にエッチングのスループットが低下するのを防止する。
一方、接着層であるSiC膜Bを省略した場合は、SiOC膜CとSiON膜Dの界面の接着力が低下し、膜の剥がれが発生し易くなるという問題が生じる。
膜の剥がれの原因の一つとして、SiOC膜Cの成膜後における室温でのストレスが45MPa(引っ張り応力)であるのに対して、450℃におけるストレスは−16MPa(圧縮応力)となり、膜ストレス変化は引っ張り応力から圧縮応力に変化すること、またその変化量が61MPa(45MPa−(−16MPa))と大きいことが考られる。
その対策としては、SiOC膜Cを高温(例えば成膜温度=375℃)で堆積することにより、SiOC膜Cの成膜後の室温でのストレスが53MPa(引っ張り応力)から450℃におけるストレスは78MPa(引っ張り応力)となり、その変化量が25MPa(78MPa−53MPa)と温度変化によるストレス変動量の小さい膜となりSiON膜Dとの接着性を向上させることができると考えられる。また、SiOC膜Cを成膜した後、その表面をヘリウム(He)プラズマ処理または酸素プラズマ処理し、続いてSiON膜Dを堆積すると両者の接着力を向上させることができる。なお、SiOC膜Cの堆積温度が高すぎたり、プラズマ処理が過剰であったりすると、SiOC膜Cの誘電率が低下するので、成膜温度は400℃以下、プラズマ処理時間は20秒以下(例えば15秒程度)とするのがよい。
また、SiOC膜Cの上部にSiON膜Dを堆積する際、ソースガスであるモノシランと亜酸化窒素の割合を変え、屈折率を1.485以下の膜または膜中の窒素含有量を3〜4%にした膜にすると、SiOC膜Cとの接着性が向上する。
(実施の形態6)
前記実施の形態5では、配線層間膜15、23およびビア層間膜21のそれぞれを、SiOC膜CおよびSiON膜Dの2層構造で構成し、かつ両者の接着性を向上させるための各種処理を行ったが、本実施の形態では、図14に示すように、配線層間膜15、23およびビア層間膜21のそれぞれを、SiOC膜CおよびSiOCN膜Eの2層構造で構成する。この場合、SiOCN膜Eの組成は、SiON膜Dに比べてSiOC膜Cに近いので接着性がよいことから、前記実施の形態5で行ったような膜の接着性を向上させるための各種処理が不要となる。
SiON膜Dの上部にSiOCN膜Eを堆積するには、SiON膜Dを堆積する途中でソースガス中に窒素を含むガスを添加し、さらに成膜を続ければよい。なお、誘電率が高くなるのを防ぐため、SiOCN膜E中の窒素濃度は、10atoms%以下にとどめることが望ましい。
また、図示は省略するが、配線層間膜15、23およびビア層間膜21のそれぞれを、SiOCN膜EおよびSiON膜Dの2層構造で構成してもよい。SiOCN膜Eの組成は、SiOC膜Cに比べてSiON膜Dに近いので接着性がよく、前記実施の形態5で行ったような膜の接着性を向上させるための各種処理が不要となる。
(実施の形態7)
本実施の形態では、図15に示すように、配線層間膜15、23およびビア層間膜21のそれぞれを、SiOCN膜Eで構成する。この場合は、配線層間膜15、23およびビア層間膜21のそれぞれをSiOC膜Cで構成する前記実施の形態1、2に比べて誘電率が高くなるが、配線層間膜15、23およびビア層間膜21のそれぞれを複数の膜で構成する前記実施の形態4〜6に比べて工程が大幅に短縮できる。また、SiOCN膜Eの組成は、SiOC膜Cに比べてSiCN膜Aに近いので、ストッパ絶縁膜14、22およびキャップ絶縁膜20のそれぞれの一部を構成するSiCN膜Aとの界面における接着性の低下も生じ難くなる。なお、誘電率が高くなるのを防ぐため、SiOCN膜E中の窒素濃度は、10atoms%以下にとどめることが望ましい。
以上、本発明者によってなされた発明を発明の実施の形態に基づき具体的に説明したが、本発明は前記実施の形態に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能であることは言うまでもない。
例えば、前記実施の形態5〜7では、ストッパ絶縁膜14、22およびキャップ絶縁膜20のそれぞれをSiCN膜のみで、またはSiC膜のみで構成することも可能である。
また、前記実施の形態1〜7では、ダマシン銅配線の配線層間膜またはビア層間膜に低誘電率膜を用いる場合について説明したが、これに限定されるものではない。例えばリソグラフィ技術とドライエッチング技術を用いて形成されたアルミニウム合金またはタングステン等の高融点金属膜からなる配線の上に、低誘電率の層間絶縁膜を形成する場合にも適用することができる。
本発明は、ダマシン法を用いて形成される銅配線と低誘電率の層間絶縁膜とを含む半導体装置に適用して有効な技術である。
本発明の実施の形態1であるCMOSFETの製造方法を示す半導体基板の要部断面図である。 本発明の実施の形態1であるCMOSFETの製造方法を示す半導体基板の要部断面図である。 本発明の実施の形態1であるCMOSFETの製造方法を示す半導体基板の要部断面図である。 本発明の実施の形態1であるCMOSFETの製造方法を示す半導体基板の要部断面図である。 本発明の実施の形態1であるCMOSFETの製造方法を示す半導体基板の要部断面図である。 本発明の実施の形態1であるCMOSFETの製造方法を示す半導体基板の要部断面図である。 本発明の実施の形態1であるCMOSFETの製造方法を示す半導体基板の要部断面図である。 本発明の実施の形態2であるCMOSFETの製造方法を示す半導体基板の要部断面図である。 絶縁膜(SiC膜、SiCN膜、SiN膜)のリーク電流特性を測定したグラフである。 本発明の実施の形態4であるCMOSFETを示す半導体基板の要部断面図である。 絶縁膜(SiC膜、SiCN膜、SiN膜)のTDDB特性を測定したグラフである。 銅配線のストレスマイグレーション特性とSiCN膜およびSiC膜の関係を評価したグラフである。 本発明の実施の形態5であるCMOSFETを示す半導体基板の要部断面図である。 本発明の実施の形態6であるCMOSFETを示す半導体基板の要部断面図である。 本発明の実施の形態7であるCMOSFETを示す半導体基板の要部断面図である。
符号の説明
1 半導体基板
2 素子分離領域
3 pウェル
4 nウェル
5 ゲート絶縁膜
6 ゲート電極
7 キャップ絶縁膜
8 サイドウォールスペーサ
9 n型半導体領域
10 p型半導体領域
11 層間絶縁膜
12 接続孔
13 プラグ
14 ストッパ絶縁膜
15 配線層間膜
16 配線溝
17 バリアメタル層
18 銅膜
19 配線
20 キャップ絶縁膜
21 ビア層間膜
22 ストッパ絶縁膜
23 配線層間膜
24 接続孔
25 配線溝
26 バリアメタル層
27 銅膜
28 配線
A SiCN膜
B SiC膜
C SiOC膜
D SiON膜
E SiOCN膜

Claims (21)

  1. 金属材料からなる配線を単層または多層に形成する半導体装置の製造方法であって、上下または左右に位置する前記配線の間を電気的に絶縁する層間絶縁膜をSiOC膜で形成し、前記SiOC膜に接して、前記SiOC膜とのヤング率の差が50GPa以下または応力の差が50MPa以下の絶縁膜を形成することを特徴とする半導体装置の製造方法。
  2. 金属材料からなる配線を単層または多層に形成する半導体装置の製造方法であって、上下または左右に位置する前記配線の間を電気的に絶縁する層間絶縁膜をSiOC膜で形成し、前記SiOC膜の上層または下層に相対的に薄いSiCN膜を形成し、前記SiOC膜と前記SiCN膜との間に5nm以上の厚さのSiC膜を介在させることを特徴とする半導体装置の製造方法。
  3. 金属材料からなる配線を単層または多層に形成する半導体装置の製造方法であって、上下または左右に位置する前記配線の間を電気的に絶縁する層間絶縁膜を窒素を含有するSiOC膜で形成し、前記SiOC膜に接して相対的に薄いSiCN膜を形成することを特徴とする半導体装置の製造方法。
  4. 半導体基板上の層間絶縁膜に形成された溝の内部に金属配線が形成され、前記層間絶縁膜および前記金属配線のそれぞれの上部に、前記配線を構成する金属の拡散を防止するキャップ絶縁膜が形成された半導体装置であって、
    前記層間絶縁膜は、SiOC膜と、前記SiOC膜上に形成されたSiC膜と、前記SiC膜上に形成されたSiON膜とからなり、
    前記キャップ絶縁膜は、SiCN膜と、前記SiCN膜上に形成されたSiC膜とからなることを特徴とする半導体装置。
  5. 前記層間絶縁膜の一部を構成する前記SiON膜は、窒素含有量が5atoms%以下、または屈折率が1.495以下であることを特徴とする請求項4記載の半導体装置。
  6. 前記層間絶縁膜の中途部に、前記層間絶縁膜をエッチングして前記溝を形成する際のエッチングストッパとして機能するストッパ絶縁膜が形成され、前記ストッパ絶縁膜は、SiCN膜と、前記SiCN膜上に形成されたSiC膜とからなることを特徴とする請求項4記載の半導体装置。
  7. 半導体基板上の層間絶縁膜に形成された溝の内部に金属配線が形成され、前記層間絶縁膜および前記金属配線のそれぞれの上部に、前記配線を構成する金属の拡散を防止するキャップ絶縁膜が形成された半導体装置であって、
    前記層間絶縁膜は、SiOC膜と、前記SiOC膜上に形成されたSiON膜とからなり、
    前記キャップ絶縁膜は、SiCN膜と、前記SiCN膜上に形成されたSiC膜とからなることを特徴とする半導体装置。
  8. 前記層間絶縁膜の一部を構成する前記SiON膜の窒素含有量は、3〜4atoms%以下であることを特徴とする請求項7記載の半導体装置。
  9. 前記層間絶縁膜の一部を構成する前記SiON膜の屈折率は、1.485以下であることを特徴とする請求項7記載の半導体装置。
  10. 前記層間絶縁膜の中途部に、前記層間絶縁膜をエッチングして前記溝を形成する際のエッチングストッパとして機能するストッパ絶縁膜が形成され、前記ストッパ絶縁膜は、SiCN膜と、前記SiCN膜上に形成されたSiC膜とからなることを特徴とする請求項7記載の半導体装置。
  11. 半導体基板上の層間絶縁膜に形成された溝の内部に金属配線が形成され、前記層間絶縁膜および前記金属配線のそれぞれの上部に、前記配線を構成する金属の拡散を防止するキャップ絶縁膜が形成された半導体装置であって、
    前記層間絶縁膜は、SiOC膜と、前記SiOC膜上に形成されたSiOCN膜とからなり、
    前記キャップ絶縁膜は、SiCN膜と、前記SiCN膜上に形成されたSiC膜とからなることを特徴とする半導体装置。
  12. 前記層間絶縁膜の一部を構成する前記SiOC膜は、室温から450℃までの温度範囲における膜のストレス変化量が50MPa以下であることを特徴とする請求項11記載の半導体装置。
  13. 前記層間絶縁膜の一部を構成する前記SiOCN膜の窒素含有量は、10atoms%以下であることを特徴とする請求項11記載の半導体装置。
  14. 前記層間絶縁膜の中途部に、前記層間絶縁膜をエッチングして前記溝を形成する際のエッチングストッパとして機能するストッパ絶縁膜が形成され、前記ストッパ絶縁膜は、SiCN膜と、前記SiCN膜上に形成されたSiC膜とからなることを特徴とする請求項11記載の半導体装置。
  15. 半導体基板上の層間絶縁膜に形成された溝の内部に金属配線が形成され、前記層間絶縁膜および前記金属配線のそれぞれの上部に、前記配線を構成する金属の拡散を防止するキャップ絶縁膜が形成された半導体装置であって、
    前記層間絶縁膜は、SiOCN膜からなり、
    前記キャップ絶縁膜は、SiCN膜と、前記SiCN膜上に形成されたSiC膜とからなることを特徴とする半導体装置。
  16. 前記層間絶縁膜は、SiOCN膜と、前記SiOCN膜上に形成されたSiON膜とからなることを特徴とする請求項15記載の半導体装置。
  17. 前記層間絶縁膜を構成する前記SiOCN膜の窒素含有量は、10atoms%以下であることを特徴とする請求項15記載の半導体装置。
  18. 前記層間絶縁膜の中途部に、前記層間絶縁膜をエッチングして前記溝を形成する際のエッチングストッパとして機能するストッパ絶縁膜が形成され、前記ストッパ絶縁膜は、SiCN膜と、前記SiCN膜上に形成されたSiC膜とからなることを特徴とする請求項15または16記載の半導体装置。
  19. 半導体基板上の層間絶縁膜に形成された溝の内部に金属配線が形成され、前記層間絶縁膜および前記金属配線のそれぞれの上部に、前記配線を構成する金属の拡散を防止するキャップ絶縁膜が形成され、
    前記層間絶縁膜は、SiOC膜と、前記SiOC膜上に形成されたSiON膜とからなり、
    前記キャップ絶縁膜は、SiCN膜と、前記SiCN膜上に形成されたSiC膜とからなる半導体装置の製造方法であって、
    前記層間絶縁膜の一部を構成する前記SiOC膜を形成した後、前記SiOC膜の表面をプラズマ処理し、その後、前記SiOC膜上に前記SiON膜を形成することを特徴とする半導体装置の製造方法。
  20. 前記層間絶縁膜の一部を構成する前記SiOC膜は、成膜温度375℃のプラズマCVD法で堆積することを特徴とする請求項19記載の半導体装置の製造方法。
  21. 前記層間絶縁膜の一部を構成する前記SiOC膜を、室温から450℃までの温度範囲における膜のストレス変化量が50MPa以下となるように、プラズマCVD法で堆積することを特徴とする請求項19記載の半導体装置の製造方法。

JP2003310953A 2002-10-17 2003-09-03 半導体装置およびその製造方法 Expired - Lifetime JP4606713B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2003310953A JP4606713B2 (ja) 2002-10-17 2003-09-03 半導体装置およびその製造方法
US10/684,399 US7176121B2 (en) 2002-10-17 2003-10-15 Semiconductor device and manufacturing method thereof
TW092128712A TW200414283A (en) 2002-10-17 2003-10-16 Semiconductor device and the manufacturing method thereof
US11/646,434 US7723849B2 (en) 2002-10-17 2006-12-28 Semiconductor device and manufacturing method thereof
US12/771,494 US8012871B2 (en) 2002-10-17 2010-04-30 Semiconductor device and manufacturing method thereof
US13/206,906 US20110291280A1 (en) 2002-10-17 2011-08-10 Semiconductor device and manufacturing method thereof

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2002302689 2002-10-17
JP2003310953A JP4606713B2 (ja) 2002-10-17 2003-09-03 半導体装置およびその製造方法

Publications (3)

Publication Number Publication Date
JP2004158832A true JP2004158832A (ja) 2004-06-03
JP2004158832A5 JP2004158832A5 (ja) 2006-10-05
JP4606713B2 JP4606713B2 (ja) 2011-01-05

Family

ID=32827950

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003310953A Expired - Lifetime JP4606713B2 (ja) 2002-10-17 2003-09-03 半導体装置およびその製造方法

Country Status (3)

Country Link
US (1) US7176121B2 (ja)
JP (1) JP4606713B2 (ja)
TW (1) TW200414283A (ja)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004235637A (ja) * 2003-01-27 2004-08-19 Asm Japan Kk エッチストップ層の2段階形成方法
JP2006005190A (ja) * 2004-06-18 2006-01-05 Renesas Technology Corp 半導体装置
DE102004042168A1 (de) * 2004-08-31 2006-03-16 Advanced Micro Devices, Inc., Sunnyvale Halbleiterelement mit einem Metallisierungsschichtstapel mit kleinem ε mit erhöhter Widerstandsfähigkeit gegen Elektromigration
JP2006128591A (ja) * 2004-01-13 2006-05-18 Tokyo Electron Ltd 半導体装置の製造方法及び成膜システム
JP2007214403A (ja) * 2006-02-10 2007-08-23 Renesas Technology Corp 半導体装置の製造方法
JP2009509319A (ja) * 2005-09-19 2009-03-05 インターナショナル・ビジネス・マシーンズ・コーポレーション 金属相互接続構造体
US7718269B2 (en) 2005-03-14 2010-05-18 Renesas Technology Corp. Semiconductor manufacturing method for inter-layer insulating film
WO2011158319A1 (ja) * 2010-06-14 2011-12-22 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
WO2012020689A1 (ja) * 2010-08-10 2012-02-16 国立大学法人東北大学 半導体装置の製造方法および半導体装置
CN104952790A (zh) * 2010-06-14 2015-09-30 瑞萨电子株式会社 半导体器件的制造方法
JP2017163078A (ja) * 2016-03-11 2017-09-14 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、ガス供給系、およびプログラム
JP7475903B2 (ja) 2020-03-10 2024-04-30 株式会社東芝 アイソレータ

Families Citing this family (166)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7271489B2 (en) * 2003-10-15 2007-09-18 Megica Corporation Post passivation interconnection schemes on top of the IC chips
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP4813778B2 (ja) 2004-06-30 2011-11-09 富士通セミコンダクター株式会社 半導体装置
US7253123B2 (en) * 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
US7576003B2 (en) * 2006-11-29 2009-08-18 International Business Machines Corporation Dual liner capping layer interconnect structure and method
JP5357401B2 (ja) * 2007-03-22 2013-12-04 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US20100260992A1 (en) * 2007-04-11 2010-10-14 Wei-Chih Chen Multi cap layer
US20090283310A1 (en) * 2007-04-11 2009-11-19 Wei-Chih Chen Multi cap layer and manufacturing method thereof
JP2009088269A (ja) * 2007-09-28 2009-04-23 Toshiba Corp 半導体装置、およびその製造方法
DE102008044988A1 (de) * 2008-08-29 2010-04-22 Advanced Micro Devices, Inc., Sunnyvale Verwenden einer Deckschicht in Metallisierungssystemen von Halbleiterbauelementen als CMP- und Ätzstoppschicht
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8357608B2 (en) * 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9257399B2 (en) * 2013-10-17 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3D integrated circuit and methods of forming the same
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9281238B2 (en) * 2014-07-11 2016-03-08 United Microelectronics Corp. Method for fabricating interlayer dielectric layer
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9362239B2 (en) * 2014-10-21 2016-06-07 Globalfoundries Inc. Vertical breakdown protection layer
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9312224B1 (en) 2014-12-11 2016-04-12 International Business Machines Corporation Interconnect structure containing a porous low k interconnect dielectric/dielectric cap
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US10950722B2 (en) * 2014-12-31 2021-03-16 Stmicroelectronics, Inc. Vertical gate all-around transistor
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
KR102616489B1 (ko) 2016-10-11 2023-12-20 삼성전자주식회사 반도체 장치 제조 방법
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002503879A (ja) * 1998-02-11 2002-02-05 アプライド マテリアルズ インコーポレイテッド 低誘電率膜を堆積するためのプラズマ処理方法
JP2002134494A (ja) * 2000-10-19 2002-05-10 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP2004119969A (ja) * 2002-09-03 2004-04-15 Toshiba Corp 半導体装置
JP2004128050A (ja) * 2002-09-30 2004-04-22 Toshiba Corp 半導体装置およびその製造方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US168849A (en) * 1875-10-19 Improvement in muff-block formers
US192945A (en) * 1877-07-10 Improvement in lamp-burners
US30146A (en) * 1860-09-25 livingston
US54765A (en) * 1866-05-15 Improved broom-head
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6255217B1 (en) * 1999-01-04 2001-07-03 International Business Machines Corporation Plasma treatment to enhance inorganic dielectric adhesion to copper
JP3600507B2 (ja) 2000-05-18 2004-12-15 キヤノン販売株式会社 半導体装置及びその製造方法
JP4425432B2 (ja) 2000-06-20 2010-03-03 Necエレクトロニクス株式会社 半導体装置の製造方法
JP2002170882A (ja) 2000-12-01 2002-06-14 Nec Corp 配線構造の製造方法
JP2002203899A (ja) 2000-12-28 2002-07-19 Matsushita Electric Ind Co Ltd 銅相互接続構造の形成方法
JP2002324837A (ja) 2001-04-25 2002-11-08 Hitachi Ltd 半導体装置の製造方法
KR100416596B1 (ko) * 2001-05-10 2004-02-05 삼성전자주식회사 반도체 소자의 연결 배선 형성 방법
JP2002373936A (ja) 2001-06-14 2002-12-26 Nec Corp デュアルダマシン法による配線形成方法
KR100442863B1 (ko) 2001-08-01 2004-08-02 삼성전자주식회사 금속-절연체-금속 커패시터 및 다마신 배선 구조를 갖는반도체 소자의 제조 방법
JP4257051B2 (ja) 2001-08-10 2009-04-22 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
JP4152619B2 (ja) 2001-11-14 2008-09-17 株式会社ルネサステクノロジ 半導体装置およびその製造方法
JP2002270691A (ja) 2002-02-07 2002-09-20 Nec Corp 配線構造
US7238393B2 (en) * 2003-02-13 2007-07-03 Asm Japan K.K. Method of forming silicon carbide films

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002503879A (ja) * 1998-02-11 2002-02-05 アプライド マテリアルズ インコーポレイテッド 低誘電率膜を堆積するためのプラズマ処理方法
JP2002134494A (ja) * 2000-10-19 2002-05-10 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP2004119969A (ja) * 2002-09-03 2004-04-15 Toshiba Corp 半導体装置
JP2004128050A (ja) * 2002-09-30 2004-04-22 Toshiba Corp 半導体装置およびその製造方法

Cited By (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004235637A (ja) * 2003-01-27 2004-08-19 Asm Japan Kk エッチストップ層の2段階形成方法
JP2006128591A (ja) * 2004-01-13 2006-05-18 Tokyo Electron Ltd 半導体装置の製造方法及び成膜システム
JP4715207B2 (ja) * 2004-01-13 2011-07-06 東京エレクトロン株式会社 半導体装置の製造方法及び成膜システム
JP2006005190A (ja) * 2004-06-18 2006-01-05 Renesas Technology Corp 半導体装置
DE102004042168B4 (de) * 2004-08-31 2009-08-20 Advanced Micro Devices, Inc., Sunnyvale Halbleiterelement mit einem Metallisierungsschichtstapel mit kleinem ε mit erhöhter Widerstandsfähigkeit gegen Elektromigration und Verfahren zum Bilden des Halbleiterelements
DE102004042168A1 (de) * 2004-08-31 2006-03-16 Advanced Micro Devices, Inc., Sunnyvale Halbleiterelement mit einem Metallisierungsschichtstapel mit kleinem ε mit erhöhter Widerstandsfähigkeit gegen Elektromigration
US8158266B2 (en) 2005-03-14 2012-04-17 Renesas Electronics Corporation Semiconductor manufacturing method for inter-layer insulating film
US7718269B2 (en) 2005-03-14 2010-05-18 Renesas Technology Corp. Semiconductor manufacturing method for inter-layer insulating film
JP2009509319A (ja) * 2005-09-19 2009-03-05 インターナショナル・ビジネス・マシーンズ・コーポレーション 金属相互接続構造体
JP2007214403A (ja) * 2006-02-10 2007-08-23 Renesas Technology Corp 半導体装置の製造方法
US9337016B2 (en) 2010-06-14 2016-05-10 Renesas Electronics Corporation Semiconductor device and method of manufacturing the same
US10418328B2 (en) 2010-06-14 2019-09-17 Renesas Electronics Corporation Semiconductor device and method of manufacturing the same
US11515257B2 (en) 2010-06-14 2022-11-29 Renesas Electronics Corporation Semiconductor device and method of manufacturing the same
CN102939649A (zh) * 2010-06-14 2013-02-20 瑞萨电子株式会社 半导体器件及其制造方法
JP5684254B2 (ja) * 2010-06-14 2015-03-11 ルネサスエレクトロニクス株式会社 半導体装置
US9030014B2 (en) 2010-06-14 2015-05-12 Renesas Electronics Corporation Semiconductor device and method of manufacturing the same
CN104952790A (zh) * 2010-06-14 2015-09-30 瑞萨电子株式会社 半导体器件的制造方法
WO2011158319A1 (ja) * 2010-06-14 2011-12-22 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
CN104952790B (zh) * 2010-06-14 2019-01-01 瑞萨电子株式会社 半导体器件的制造方法
US10049984B2 (en) 2010-06-14 2018-08-14 Renesas Electronics Corporation Semiconductor device and method of manufacturing the same
JP2012038996A (ja) * 2010-08-10 2012-02-23 Tohoku Univ 半導体装置の製造方法および半導体装置
WO2012020689A1 (ja) * 2010-08-10 2012-02-16 国立大学法人東北大学 半導体装置の製造方法および半導体装置
KR20170106206A (ko) * 2016-03-11 2017-09-20 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 장치, 가스 공급계 및 프로그램
JP2017163078A (ja) * 2016-03-11 2017-09-14 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、ガス供給系、およびプログラム
KR101992156B1 (ko) 2016-03-11 2019-06-24 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치, 가스 공급계 및 프로그램
US10586698B2 (en) 2016-03-11 2020-03-10 Kokusai Electric Corporation Method of manufacturing semiconductor device, substrate processing apparatus and recording medium
JP7475903B2 (ja) 2020-03-10 2024-04-30 株式会社東芝 アイソレータ

Also Published As

Publication number Publication date
US7176121B2 (en) 2007-02-13
TW200414283A (en) 2004-08-01
JP4606713B2 (ja) 2011-01-05
TWI311772B (ja) 2009-07-01
US20040192032A1 (en) 2004-09-30

Similar Documents

Publication Publication Date Title
JP4606713B2 (ja) 半導体装置およびその製造方法
US8012871B2 (en) Semiconductor device and manufacturing method thereof
JP4198906B2 (ja) 半導体装置および半導体装置の製造方法
KR100858450B1 (ko) 반도체 장치 및 그 제조 방법
US8008730B2 (en) Semiconductor device, and manufacturing method thereof
TWI445129B (zh) Semiconductor device and manufacturing method thereof
US20070197023A1 (en) Entire encapsulation of Cu interconnects using self-aligned CuSiN film
US20050224986A1 (en) Stable metal structure with tungsten plug
KR20150069565A (ko) 반도체 구조체 및 그 제조 방법
US8102051B2 (en) Semiconductor device having an electrode and method for manufacturing the same
JP2006005190A (ja) 半導体装置
KR101391480B1 (ko) 상호접속 구조 및 이의 형성 방법
US9257331B2 (en) Method of making interconnect structure
KR100914982B1 (ko) 반도체 소자의 금속배선 및 그 형성방법
US8993442B2 (en) Interconnect structure and method for forming the same
JP2006135363A (ja) 半導体装置および半導体装置の製造方法
US7381660B2 (en) Dielectric barrier layer for a copper metallization layer having a varying silicon concentration along its thickness
US7250364B2 (en) Semiconductor devices with composite etch stop layers and methods of fabrication thereof
JP2001230254A (ja) 半導体装置およびその製造方法
JP2002270689A (ja) 半導体装置の製造方法
US20230386907A1 (en) Dielectric silicon nitride barrier deposition process for improved metal leakage and adhesion
JP4688832B2 (ja) 半導体装置及びその製造方法
KR101029105B1 (ko) 반도체 소자의 금속배선 및 그 형성방법
JP2007201490A (ja) 半導体装置
KR20090121477A (ko) 반도체 소자의 금속배선 형성방법

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060817

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060817

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20081014

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20100528

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100629

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100820

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100914

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20101006

R150 Certificate of patent or registration of utility model

Ref document number: 4606713

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131015

Year of fee payment: 3

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

EXPY Cancellation because of completion of term