JP2004006642A - Semiconductor device and its fabricating method - Google Patents

Semiconductor device and its fabricating method Download PDF

Info

Publication number
JP2004006642A
JP2004006642A JP2003018236A JP2003018236A JP2004006642A JP 2004006642 A JP2004006642 A JP 2004006642A JP 2003018236 A JP2003018236 A JP 2003018236A JP 2003018236 A JP2003018236 A JP 2003018236A JP 2004006642 A JP2004006642 A JP 2004006642A
Authority
JP
Japan
Prior art keywords
semiconductor film
film
insulating film
opening
laser
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003018236A
Other languages
Japanese (ja)
Other versions
JP4397599B2 (en
JP2004006642A5 (en
Inventor
Shunpei Yamazaki
山崎 舜平
Atsuo Isobe
磯部 敦生
Hidekazu Miyairi
宮入 秀和
Koichiro Tanaka
田中 幸一郎
Chiho Kokubo
小久保 千穂
Akihisa Shimomura
下村 明久
Tatsuya Arao
荒尾 達也
Mai Akiba
秋葉 麻衣
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Energy Laboratory Co Ltd
Original Assignee
Semiconductor Energy Laboratory Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Energy Laboratory Co Ltd filed Critical Semiconductor Energy Laboratory Co Ltd
Priority to JP2003018236A priority Critical patent/JP4397599B2/en
Publication of JP2004006642A publication Critical patent/JP2004006642A/en
Publication of JP2004006642A5 publication Critical patent/JP2004006642A5/ja
Application granted granted Critical
Publication of JP4397599B2 publication Critical patent/JP4397599B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To provide a method for fabricating a semiconductor device employing a laser crystallization process capable of preventing formation of a grain boundary in the channel forming region of a TFT, significant lowering in mobility of the TFT due to the grain boundary, reduction of on current and increase of off current, and to provide a semiconductor device fabricated by that method. <P>SOLUTION: Stripe or rectangular protrusions/recesses or openings are provided. A semiconductor film formed on an insulation film is irradiated with a continuously oscillated laser beam. A continuously oscillated laser beam is employed most preferably but a pulse oscillated laser beam may be employed. <P>COPYRIGHT: (C)2004,JPO

Description

【0001】
【発明の属する技術分野】
本発明は、結晶構造を有する半導体膜(結晶性半導体膜)を用いて形成される半導体装置及びその作製方法に係り、特に絶縁表面上に形成された結晶性半導体膜でチャネル形成領域を形成した電界効果型トランジスタを含む半導体装置及びその作製方法に関する。
【0002】
【従来の技術】
近年、基板上にTFTを形成する技術が大幅に進歩し、アクティブマトリクス型の半導体表示装置への応用開発が進められている。結晶構造を有する半導体膜を用いて作製される薄膜トランジスタ(以下、TFTと記す)は、液晶表示装置に代表される平面型表示装置(フラットパネルディスプレイ)に応用されている。特に、多結晶半導体膜を用いたTFTは、従来の非晶質半導体膜を用いたTFTよりも電界効果移動度(モビリティともいう)が高いので、高速動作が可能である。そのため、従来基板の外に設けられた駆動回路で行っていた画素の制御を、画素と同一の基板上に形成した駆動回路で行うことが可能である。
【0003】
半導体装置に用いる基板は、コストの面から単結晶シリコン基板よりも、ガラス基板が有望視されている。ガラス基板は耐熱性に劣り、熱変形しやすい。そのため、ガラス等による絶縁基板上に非晶質半導体膜を形成し、レーザー光の照射(レーザーアニール)により結晶化させる技術が知られている。ガラス基板上にポリシリコンTFTを形成する場合において、半導体膜の結晶化にレーザーアニールを用いることは、ガラス基板の熱変形を避けるのに非常に有効である。
【0004】
半導体製造プロセスにおけるレーザーアニールとは、半導体基板又は半導体膜に形成された損傷層を再結晶化する技術や、絶縁表面上に形成された半導体膜を結晶化させる技術を指している。また、半導体基板又は半導体膜の平坦化や表面改質に適用される技術も含んでいる。レーザーアニールに使われるレーザー発振装置は、エキシマレーザーに代表される気体レーザーまたはYAGレーザーに代表される固体レーザーであり、レーザー光の照射によって半導体の表面層を数十ナノ〜数十マイクロ秒程度のごく短時間加熱して結晶化させるものとして知られている。
【0005】
レーザーアニールの特徴は、輻射加熱或いは伝導加熱を利用するアニール法と比較して処理時間を大幅に短縮できることや、半導体又は半導体膜を選択的、局所的に加熱して、基板に殆ど熱的損傷を与えないことなどが上げられている。
【0006】
レーザーはその発振方法により、パルス発振と連続発振の2種類に大別される。パルス発振のレーザーは出力エネルギーが比較的高いため、レーザービームの大きさを数cm以上として量産性を上げることができる。特に、レーザービームの形状を光学系を用いて加工し、長さ10cm以上の線状にすると、基板へのレーザー光の照射を効率的に行うことができ、量産性をさらに高めることができる。そのため、半導体膜の結晶化には、パルス発振のレーザーを用いるのが主流となりつつあった。
【0007】
しかし近年では、半導体膜の結晶化においてパルス発振のレーザーよりも連続発振のレーザーを用いる方が、半導体膜内に形成される結晶の粒径が大きくなることが見出された。半導体膜内の結晶粒径が大きくなると、該半導体膜を用いて形成されるTFTの移動度が高くなる。そのため、連続発振のレーザーはにわかに脚光を浴び始めている。
【0008】
レーザー光の照射による非晶質半導体膜の結晶化の一例は、下記特許文献1で開示されているように、レーザー光の走査速度をビームスポット径×5000/秒以上として高速走査により非晶質半導体膜を完全な溶融状態に至らしめることなく多結晶化するものや、下記特許文献2には島状に形成された半導体膜に、引き延ばされたレーザー光を照射して実質的に単結晶領域を形成する技術が開示されている。或いは下記特許文献3に開示のレーザー照射装置のように光学系にて線状にビームを加工して照射する方法が知られている。
【0009】
さらに、下記特許文献4に開示されているようにNd:YVOレーザーなど固体レーザー発振装置を用いて、その第2高調波であるレーザー光を非晶質半導体膜に照射して、従来に比べ結晶粒径の大きい結晶性半導体膜を形成し、TFTを作製する技術が開示されている。
【0010】
【特許文献1】
特開昭62−104117号公報(第92頁)
【0011】
【特許文献2】
米国特許4,330,363号明細書(Fig.4)
【0012】
【特許文献3】
特開平8−195357号公報(第3−4頁、第1−5図)
【0013】
【特許文献4】
特開2001−144027号公報(第4頁)
【0014】
なお絶縁表面上に単結晶半導体膜を形成する試みは古くから成され、より積極的な試みとしてグラフォエピタキシー(graphoepitaxy)という技術が考案されている。グラフォエピタキシーは石英基板の表面に段差を形成し、この上に非晶質半導体膜又は多結晶半導体膜を形成してから、レーザービームやヒーターで加熱させ、石英基板上に形成された段差形状を核として、エピタキシャル的な成長層を形成するという技術である。この技術は例えば非特許文献1等に開示されている。
【0015】
【非特許文献1】
J. Vac. Sci. Technol.,”Grapho−epitaxy of silicon on fused silica using surface micropatterns and laser crystallization”, 16(6),1979,pp1640−1643.
【0016】
また、例えば非特許文献2にも、グラフォエピタキシーと呼ばれる半導体膜の結晶化技術について開示されている。これは人為的に作られた非晶質基板表面のレリーフ格子(surface relief grating)の誘導によって半導体膜のエピ成長を試みるものであった。上記非特許文献2には、グラフォエピタキシーの技術とは、絶縁膜の表面に段差を設け、該絶縁膜上に形成された半導体膜に加熱又はレーザー光の照射等の処理を施すことで、該半導体膜の結晶をエピタキシャル成長させることが開示されている。
【0017】
【非特許文献2】
M. W. Geis, et al.,”CRYSTALLINE SILICON ON INSULATORS BY GRAPHOEPITAXY” Technical Digest of International Electron Devices Meeting, 1979, pp.210.
【0018】
【発明が解決しようとする課題】
平坦な表面上に形成された非晶質半導体膜にレーザー光を照射して結晶化させると結晶は多結晶となり、結晶粒界等の欠陥が任意に形成されて配向の揃った結晶を得ることはできなかった。結晶粒内と異なり、粒界には非晶質構造や結晶欠陥などに起因する再結合中心や捕獲中心などのキャリアトラップが、無数に存在している。このキャリアトラップにキャリアがトラップされると、粒界のポテンシャルが上昇し、電子又は正孔などのキャリアに対して障壁となるため、キャリアの電流輸送特性(移動度)が低下することが知られている。
【0019】
よって、TFTの活性層、特にチャネル形成領域中に粒界が存在すると、TFTの移動度が著しく低下したり、オン電流が低減したり、また粒界において電流が流れるためにオフ電流が増加したりと、TFTの特性に重大な影響を及ぼす。また同じ特性が得られることを前提に作製された複数のTFTにおいて、活性層中の粒界の有無によって特性がばらついたりする。
【0020】
半導体膜にレーザー光を照射したときに、得られる結晶粒の位置と大きさがランダムになるのは、以下の理由による。レーザー光の照射によって完全溶融した液体半導体膜中に固相核生成が発生するまでには、ある程度の時間が掛かる。そして時間の経過と共に、完全溶融領域において無数の結晶核が発生し、該結晶核からそれぞれ結晶が成長する。この結晶核の発生する位置は無作為であるため、不均一に結晶核が分布する。そして、互いの結晶粒がぶつかり合ったところで結晶成長が終了するため、結晶粒の位置と大きさは、ランダムなものとなる。
【0021】
パルス発振と連続発振とに大別されるレーザーアニール法を用いて作製される結晶性半導体膜は、一般的に複数の結晶粒が集合して形成される。その結晶粒の位置と大きさはランダムなものであり、結晶粒の位置や大きさを指定して結晶性半導体膜を形成する事は難しい。そのため前記結晶性半導体膜を島状にパターニングすることで形成された活性層中には、結晶粒の界面(粒界)が存在することがある。
【0022】
前述の平面型表示装置などは、ガラス基板上に半導体膜を形成してTFTを作り込むものであるが、TFTは任意に形成される結晶粒界と無関係に配置せしめられるものであった。つまり、TFTのチャネル形成領域の結晶性を厳密な意味で制御することができず、任意に介在する結晶粒界や結晶欠陥により特性が低下し、且つ個々の素子特性がばらつく要因となっていた。
【0023】
TFTの特性に重大な影響を及ぼすチャネル形成領域を、粒界の影響を排除して単一の結晶粒で形成することが理想的であるが、粒界の存在しない結晶性半導体膜をレーザーアニール法で形成するのは殆ど不可能であった。そのためレーザーアニール法を用いて結晶化された結晶質珪素膜を活性層とするTFTで、単結晶シリコン基板に作製されるMOSトランジスタの特性と同等なものは、今日まで得られていない。
【0024】
そのため、欠陥や結晶粒界又は結晶亜粒界が少なく、且つ、配向の揃った高品質の結晶性半導体膜を絶縁表面上に形成するためには、帯域溶融法などとして知られているように絶縁基板上の半導体膜を高温に加熱して溶融状態としてから再結晶化する方法が主流であった。
【0025】
しかし帯域溶融法の1つである公知のグラフォエピタキシー技術を用いて、歪み点が比較的低いガラス基板上に単結晶半導体膜を形成することは出来なかった。
【0026】
いずれにしても、結晶化によって起こる半導体の体積収縮、下地との熱応力や格子不整合などによる欠陥、結晶粒界又は亜粒界の存在しない結晶性半導体膜を形成することは出来なかった。よって、張り合わせSOI(Silicon on Insulator)を省いては、絶縁表面上に形成され、結晶化又は再結晶化された結晶性半導体膜をもって、単結晶基板に形成されるMOSトランジスタと同等の品質を得ることはできなかった。
【0027】
本発明は上記問題点に鑑みなされたものであり、絶縁表面上に、少なくともチャネル長方向と交差する結晶粒界又は結晶亜粒界が可能な限り存在しない結晶性半導体膜を形成し、高速で電流駆動能力が高く、且つ複数の素子間においてばらつきの小さい半導体素子又は半導体素子群により構成される半導体装置を提供することを目的とする。また、TFTのチャネル形成領域に粒界が形成されるのを防ぎ、粒界によってTFTの移動度が著しく低下したり、オン電流が低減したり、オフ電流が増加したりするのを防ぐことができる半導体装置の作製方法及び該作製方法を用いて作製された半導体装置の提供を課題とする。
【0028】
【課題を解決するための手段】
本発明者らは、凹凸を有する絶縁膜上に半導体膜を形成し、該半導体膜にレーザー光を照射すると、結晶化された半導体膜の、絶縁膜の凸部上に位置する部分において選択的に粒界が形成されることを見出した。
【0029】
図47に、凹凸を有する絶縁膜上に形成された200nmの非晶質半導体膜に、連続発振のレーザー光を走査速度が5cm/secとなるように照射したときの、レーザー光の走査方向と垂直な方向におけるTEMの断面像を示す。図47(A)において、6001及び6002は絶縁膜に形成された凸部である。そして結晶化された半導体膜6004は、凸部6001、6002の上部において粒界6003を有している。
【0030】
図47(B)に、図47(A)に示したTEMの断面像を模式的に図示する。図47(B)に示すとおり、凸部6001、6002の上部において粒界6003が形成されている。本発明者らは、これはレーザー光の照射により一次的に半導体膜が溶融することで、絶縁膜の上部に位置していた半導体膜が凹部の底部方向に向かって体積移動し、そのため凸部の上に位置する半導体膜が薄くなり、応力に耐えられなくて粒界が生じたのではないかと考えた。そして、このように結晶化された半導体膜は、凸部の上部において粒界が選択的に形成される一方、凹部(点線で示す領域)6001、6002に位置する部分には粒界が形成されにくい。なお凹部は、凸部が形成されていない窪んだ領域を指す。
【0031】
そこで本発明者らは、意図的に該半導体膜に応力が集中的にかかる部分を形成することで、粒界が形成される位置を選択的に定めることができるのではないかと考えた。本発明では、基板上に凹凸を設けた絶縁膜を形成し、該絶縁膜上に半導体膜を形成することで、レーザー光による結晶化の際に、該半導体膜に応力が集中的にかかる部分を選択的に形成する。具体的には、該半導体膜に凹凸を設ける。そして、該半導体膜に形成された凹凸の長手方向に沿って、連続発振のレーザー光を照射する。なおこのとき、連続発振のレーザー光を用いるのが最も好ましいが、パルス発振のレーザー光を用いても良い。なおレーザー光の走査方向に対して垂直な方向における凸部の断面は、矩形、三角形または台形であっても良い。
【0032】
上記構成により、レーザー光の照射による結晶化の際、半導体膜の凸部上において粒界が選択的に形成される。そして絶縁膜の凹部上に位置する半導体膜は比較的粒界が形成されにくい、絶縁膜の凹部上に位置する半導体膜は結晶性が優れているが、必ずしも粒界を含まないわけではない。しかし、たとえ粒界が存在したとしても絶縁膜の凸部上に位置する半導体膜に比較すると、その結晶粒は大きく、結晶性が比較的優れたものと言える。よって、絶縁膜の形状を設計した段階で、半導体膜の粒界の形成される位置をある程度予測することができる。つまり本発明では粒界が形成される位置を選択的に定めることができるので、活性層、より望ましくはチャネル形成領域に粒界がなるべく含まれないように、活性層をレイアウトすることが可能になる。
【0033】
本発明では、絶縁膜の凹部上に位置する半導体膜を、TFTの活性層として積極的に用いることで、TFTのチャネル形成領域に粒界が形成されるのを防ぐことができ、粒界によってTFTの移動度が著しく低下したり、オン電流が低減したり、オフ電流が増加したりするのを防ぐことができる。なお、どこまでを凸部または凹部のエッジ近傍としてパターニングで除去するかは、設計者が適宜定めることができる。
【0034】
なお、レーザー光のレーザービームのエッジの近傍は、中央付近に比べて一般的にエネルギー密度が低く、半導体膜の結晶性も劣る場合が多い。そのためレーザー光を走査する際に、後にTFTのチャネル形成領域となる部分と、その軌跡のエッジとが重ならないようにするのが望ましい。
【0035】
そこで本発明では、まず設計の段階で得られた、基板上面から見た絶縁膜または半導体膜の形状のデータ(パターン情報)を記憶手段に記憶する。そしてそのパターン情報と、レーザー光のレーザービームの走査方向と垂直な方向における幅とから、少なくともTFTのチャネル形成領域となる部分と、レーザー光の軌跡のエッジとが重ならないように、レーザー光の走査経路を決定する。そして、マーカーを基準として基板の位置を合わせ、決定された走査経路にしたがってレーザー光を基板上の半導体膜に対して照射する。
【0036】
上記構成により、基板全体にレーザー光を照射するのではなく、少なくとも必要不可欠な部分にのみレーザー光を走査するようにすることができる。よって、不必要な部分にレーザー光を照射するための時間を省くことができ、よって、レーザー光照射にかかる時間を短縮化することができ、なおかつ基板の処理速度を向上させることができる。また不必要な部分にレーザー光を照射し、基板にダメージが与えられるのを防ぐことができる。
【0037】
なお、マーカーは、基板を直接レーザー光等によりエッチングすることで形成しても良いし、凹凸を有する絶縁膜を形成する際に、同時に絶縁膜の一部にマーカーを形成するようにしても良い。また、実際に形成された絶縁膜または半導体膜の形状をCCD等の撮像素子を用いて読み取り、データとして第1の記憶手段に記憶し、第2の記憶手段に設計の段階で得られた絶縁膜または半導体膜のパターン情報を記憶し、第1の記憶手段に記憶されているデータと、第2の記憶手段に記憶されているパターン情報とを照合することで、基板の位置合わせを行うようにしても良い。
【0038】
絶縁膜の一部にマーカーを形成したり、絶縁膜または半導体膜の形状をマーカーとして用いることで、マーカー用のマスクを1枚減らすことができ、なおかつ基板にレーザー光で形成するよりもよりも、正確な位置にマーカーを形成することができ、位置合わせの精度を向上させることができる。
【0039】
なお、レーザー光のエネルギー密度は、一般的には完全に均一ではなく、レーザービーム内の位置によりその高さが変わる。本発明では、最低限チャネル形成領域となる部分、より好ましくは凹部の平らな面全体または凸部の平らな面全体に、一定のエネルギー密度のレーザー光を照射することが必要である。よって本発明では、レーザー光の走査により、均一なエネルギー密度を有する領域が、最低限チャネル形成領域となる部分、より好ましくは凹部の平らな面全体または凸部の平らな面全体と完全に重なるような、エネルギー密度の分布を有するレーザービームを用いることが必要である。上記エネルギー密度の条件を満たすためには、レーザービームの形状を、矩形または線形等にすることが望ましいと考えられる。
【0040】
さらにスリットを介し、レーザービームのうちエネルギー密度の低い部分を遮蔽するようにしても良い。スリットを用いることで、比較的均一なエネルギー密度のレーザー光を凹部の平らな面全体または凸部の平らな面全体に照射することができ、結晶化を均一に行うことができる。またスリットを設けることで、絶縁膜または半導体膜のパターン情報によって部分的にレーザービームの幅を変えることができ、チャネル形成領域、さらにはTFTの活性層のレイアウトにおける制約を小さくすることができる。なおレーザービームの幅とは、走査方向と垂直な方向におけるレーザービームの長さを意味する。
【0041】
また複数のレーザー発振装置から発振されたレーザー光を合成することで得られた1つのレーザービームを、レーザー結晶化に用いても良い。上記構成により、各レーザー光のエネルギー密度の弱い部分を補い合うことができる。
【0042】
また半導体膜を成膜した後、大気に曝さないように(例えば希ガス、窒素、酸素等の特定されたガス雰囲気または減圧雰囲気にする)レーザー光の照射を行い、半導体膜を結晶化させても良い。上記構成により、クリーンルーム内における分子レベルでの汚染物質、例えば空気の清浄度を高めるためのフィルター内に含まれるボロン等が、レーザー光による結晶化の際に半導体膜に混入するのを防ぐことができる。
【0043】
また上記問題点を解決するために本発明は、絶縁表面を有する基板上に開口部が設けられた絶縁膜を形成し、絶縁膜上及び開口部にかけて非晶質半導体膜又は結晶粒界が任意に存在する多結晶構造の半導体膜(非単結晶半導体膜)を形成し、その後絶縁膜の開口部に溶融した半導体を流し込むように当該半導体膜を溶融して結晶化又は再結晶化させて結晶性半導体膜を形成する。言い換えると、非単結晶半導体膜を形成し、非単結晶半導体膜を溶融して結晶化又は再結晶化することにより、その開口部を充填する形態で結晶性半導体膜を形成する。そして、絶縁膜上に残存する結晶性半導体膜を除去した後、少なくともチャネル形成領域を形成する部位において当該絶縁膜を除去して該結晶性半導体膜の側面部を露出させ、該結晶性半導体膜の側面部及び上面部に接するゲート絶縁膜とゲート電極を形成することを特徴とするものである。
【0044】
開口部は絶縁基板の表面を直接エッチング処理して形成しても良いし、酸化珪素、窒化珪素、又は酸化窒化珪素膜等を用い、それをエッチング処理して開口部を形成しても良い。開口部はTFTのチャネル形成領域を含む島状の半導体膜の配置に合わせて形成し、少なくともチャネル形成領域に合致するように形成されていることが望ましい。また、開口部はチャネル長方向に延在して設けられている。開口部の幅(チャネル形成領域とする場合におけるチャネル幅方向)が0.01μm以上2μm以下、好ましくは0.1〜1μmで形成し、その深さは、0.01μm以上3μm以下、好ましくは0.1μm以上2μm以下で形成する。
【0045】
最初の段階において絶縁膜上及び開口部にかけて形成する半導体膜はプラズマCVD法、スパッタリング法、減圧CVD法で形成される非晶質半導体膜又は多結晶半導体膜、或いは、固相成長により形成された多結晶半導体膜などが適用される。尚、本発明でいう非晶質半導体膜とは、狭義の意味で完全な非晶質構造を有するものだけではなく、微細な結晶粒子が含まれた状態、又はいわゆる微結晶半導体膜、局所的に結晶構造を含む半導体膜を含む。代表的には非晶質シリコン膜が適用され、その他に非晶質シリコンゲルマニウム膜、非晶質シリコンカーバイト膜などを適用することもできる。また、多結晶半導体膜は、これら非晶質半導体膜を公知の方法で結晶化させたものである。
【0046】
結晶性半導体膜を溶融して結晶化させる手段としては、気体レーザー発振装置、固体レーザー発振装置を光源とするパルス発振又は連続発振レーザー光を適用する。照射するレーザー光は光学系にて線状に集光されたものであり、その強度分布が長手方向において均一な領域を有し、短手方向に分布を持っていても良く、光源として用いるレーザー発振装置は、矩形ビーム固体レーザー発振装置が適用され、特に好ましくは、スラブレーザー発振装置が適用される。或いは、Nd、Tm、Hoをドープしたロッドを用いた固体レーザー発振装置であり、特にYAG、YVO、YLF、YAlOなどの結晶にNd、Tm、Hoをドープした結晶を使った固体レーザー発振装置にスラブ構造増幅器を組み合わせたものでも良い。スラブ材料としては、Nd:YAG、Nd:GGG(ガドリニウム・ガリウム・ガーネット)、Nd:GsGG(ガドリニウム・スカンジウム・ガリウム・ガーネット)等の結晶が使用される。スラブレーザーでは、この板状のレーザー媒質の中を、全反射を繰り返しながらジグザグ光路で進む。
【0047】
また、それに準ずる強光を照射しても良い。例えば、ハロゲンランプ、キセノンランプ、高圧水銀灯、メタルハライドランプ、エキシマランプから放射される光を反射鏡やレンズ等により集光したエネルギー密度の高い光であっても良い。
【0048】
線状に集光され長手方向に拡張されたレーザー光又は強光は非単結晶半導体膜に照射し、且つレーザー光の照射位置と非単結晶半導体膜が形成された基板とを相対的に動かして、レーザー光が一部又は全面を走査することにより非単結晶半導体膜を溶融させ、その状態を経て結晶化又は再結晶化を行う。レーザー光の走査方向は、開口部の長手方向又はトランジスタのチャネル長方向に沿って行う。これによりレーザー光の走査方向に沿って結晶が成長し、結晶粒界又は結晶亜粒界がチャネル長方向と交差することを防ぐことができる。また、このとき、レーザー光又は強光の照射は被処理体の形成された基板を加熱しながら行ってもよい。
【0049】
上記の如く作製される本発明の半導体装置は、絶縁表面上に形成され、一対の一導電型不純物領域の間に連接して、複数の結晶方位を有し、結晶粒界が形成されることなく、チャネル長方向と平行な方向に延在する複数の結晶粒が集合した結晶性半導体膜が備えられている。
【0050】
また他の構成は、絶縁表面上に形成され、一対の一導電型不純物領域の間に連接して複数の結晶方位を有し、結晶粒界が形成されることなくチャネル長方向と平行な方向に延在する複数の結晶粒が集合した結晶性半導体膜と、当該結晶性半導体膜と絶縁層を介して重畳する導電層によりチャネル形成領域が形成される構成を有し、当該結晶性半導体膜はチャネル形成領域における当該結晶性半導体膜はチャネル幅方向が0.01μm以上2μm以下、好ましくは0.1〜1μmであり、厚さが0.01μm以上3μm以下、好ましくは0.1μm以上2μm以下であることを特徴としている。チャネル形成領域の特徴的な一形態は、当該結晶性半導体膜の側面部及び上面部を被覆するゲート絶縁膜を介して重畳するゲート電極により、当該結晶性半導体膜の側面部及び上面部にチャネル形成領域が形成される構成である。
【0051】
開口部の深さを半導体膜の厚さと同程度かそれ以上とすることにより、レーザー光又は強光の照射により溶融した半導体が表面張力により開口部(即ち凹部)に凝集して固化する。その結果、凸部にある半導体膜の厚さは薄くなり、そこに応力歪みを集中させることができる。また開口部の側面は結晶方位をある程度規定する効力を持つ。開口部の側面の角度は基板表面に対して5〜120度、好ましくは80〜100度で形成する。レーザー光をチャネル長方向と平行な方向に走査することにより、その方向に延在する開口部に沿って、特定の結晶方位を優先的に配向させることができる。
【0052】
半導体膜を溶融状態として、表面張力により絶縁表面上に形成した開口部に凝集させ、開口部の底部と側面部の概略交点から結晶成長させることにより結晶化に伴い発生する歪みを開口部以外の領域に集中させることができる。即ち、開口部に充填されるように形成した結晶性半導体膜は歪みから開放することができる。そして、絶縁膜上に残存し、結晶粒界、結晶欠陥を含む結晶性半導体膜はエッチングにより除去してしまう。
【0053】
上記本発明によって、トランジスタ等の半導体素子、特にTFTのチャネル形成領域の場所を指定して、結晶粒界が存在しない結晶性半導体膜を形成することが可能となる。これにより不用意に介在する結晶粒界や結晶欠陥により特性がばらつく要因を無くすことができ、特性ばらつきの小さいTFT又はTFT素子群を形成することができる。
【0054】
また、当該結晶性半導体膜の側面部と上面部に重畳してゲート絶縁膜及びゲート電極を形成することにより、チャネル形成領域の面積が拡大し、オン電流を増加することができる。さらに、三方からゲート電圧を印加することにより、ゲート絶縁膜に注入されるキャリア、特にホットキャリアを少なくすることができ、トランジスタの信頼性を向上させることもできる。
【0055】
また本発明は、絶縁表面を有する基板上に開口部が形成された絶縁膜が設けられ、基板上に形成された結晶性半導体膜は前記開口部を充填する領域を有し、当該充填領域にチャネル形成領域が備えられていることを特徴としている。
【0056】
また他の構成は、絶縁表面を有する基板上にチャネル長方向に延在する開口部が形成された絶縁膜が設けられ、基板上に形成された結晶性半導体膜は前記開口部を充填する領域を有し、当該充填領域にチャネル形成領域が備えられていて、開口部は前記結晶性半導体膜と同じかそれ以上の深さを有していることを特徴としている。
【0057】
また他の構成は、絶縁表面に形成された矩形又は帯状に延在する開口部に結晶性半導体が設けられていて、結晶性半導体とゲート電極とがゲート絶縁膜を介して重畳していることを特徴としている。
【0058】
また他の構成は、絶縁表面に形成されたチャネル長方向に延在する開口部に結晶性半導体が設けられていて、結晶性半導体とゲート電極とがゲート絶縁膜を介して重畳していることを特徴としている。
【0059】
半導体膜がレーザー光又は強光の照射により溶融した後、固化を開始するのは開口部の底面と側面とが交わる領域からであり、ここから結晶成長が始まる。例えば、図45に示すように絶縁膜(1)と絶縁膜(2)により段差形状が形成された系においてA〜D点における熱解析シミュレーションを行った結果、図46のような特性が得られている。熱の逃げる場所として▲1▼直下の絶縁膜(2)と側面に存在する絶縁膜(1)の両方があるため、B点が最も早く温度が下がることになる。以降、A点、C点、D点の順である。このシミュレーション結果は側壁の角度が45度の場合であるが、90度の場合にも定性的には同様な現象が考えられる。
【0060】
即ち、半導体膜を一旦溶融状態とし、表面張力により絶縁表面上に形成した開口部に凝集させ、開口部の底部と側壁の概略交点から結晶成長させることにより結晶化に伴い発生する歪みを開口部以外の領域に集中させることができる。即ち、開口部に充填されるように形成した結晶性半導体膜においては歪みから開放することができる。
【0061】
なお、従来のグラフォエピタキシー(graphoepitaxy)と呼ばれる半導体膜の結晶化技術は、人為的に作られた非晶質基板表面のレリーフ格子(surface relief grating)の誘導によって半導体膜のエピ成長を試みるものであった。このグラフォエピタキシーに関する技術は、上記非特許文献2等に記載されている。上記論文等には、グラフォエピタキシーの技術とは、絶縁膜の表面に段差を設け、該絶縁膜上に形成された半導体膜に加熱又はレーザー光の照射等の処理を施すことで、該半導体膜の結晶をエピタキシャル成長させることが開示されている。しかし、エピタキシャル成長に必要な温度は、少なくとも700℃程度は必要であり、ガラス基板上においてエピタキシャル成長を行おうとすると、絶縁膜の凹部または凸部のエッジ近傍において半導体膜に粒界が形成されてしまう。本発明では、アイランドのマスクをレイアウトして、該アイランドとなる部分における結晶性を高められるように、絶縁膜の凹部または凸部の形状及びエッジの位置を、アイランドのレイアウトに合わせて設計する。具体的には凹部または凸部のエッジ及び凹部または凸部のエッジ間の中央付近と、アイランドとが重ならないように、凹部または凸部の形状、サイズ等を定める。そしてアイランドのレイアウトに合わせてパターンが設計された絶縁膜を用い、アイランドが形成される部分に粒界が形成されるのを防ぐ。そして、パターニングによりその結晶性の比較的優れているアイランドを形成する。よって本発明において開示する技術は、従来のグラフォエピタキシーと、段差を設けた絶縁膜上に半導体膜を形成し、該段差を用いて半導体膜を結晶化させる点では一致しているが、従来のグラフォエピタキシーには段差を用いて粒界がアイランドに入るのを防ぐという概念は含まれておらず、本発明とは似て非なるものである。
【0062】
【発明の実施の形態】
(実施の形態1)
以下、図面を参照して本発明の実施の態様について説明する。図1は本発明の結晶性半導体膜を形成する工程を説明する縦断面図である。
【0063】
図1(A)において、窒化珪素、窒素含有量が酸素含有量よりも大きな酸化窒化珪素、窒化アルミニウム、又は酸化窒化アルミニウムで形成される第1絶縁膜201は30〜300nmの厚さで形成する。その上に酸化珪素又は酸化窒化珪素で10〜3000nm、好ましくは100〜2000nmの厚さで所定の形状で開口部が形成された第2絶縁膜202を形成する。所定の形状とは矩形、円形、多角形、帯状、又は作製するTFTの島状の半導体膜(活性層)の形状に合致する形状としても良い。酸化珪素はオルトケイ酸テトラエチル(Tetraethyl Ortho Silicate:TEOS)とOとを混合しプラズマCVD法で形成することができる。酸化窒化珪素膜はSiH、NO又はSiH、NH、NOを原料として用いプラズマCVD法で形成することができる。
【0064】
第1絶縁膜201と第2絶縁膜202の選択的な加工は、緩衝フッ酸を用いたエッチング、又はCHFやCFを用いたドライエッチングにより行う。いずれにしても、エッチング加工における選択比を確保するために、第2絶縁膜のエッチング速度が第1絶縁膜のそれより相対的に早くなるように材料及び成膜条件を適宜調整することが望ましい。そして、第2絶縁膜で形成される開口部の側面部の角度は5〜120度、好ましくは80〜100度の範囲で適宜設定すれば良い。
【0065】
基板として用いる部材は市販の無アルカリガラス基板、石英基板、サファイア基板、単結晶又は多結晶半導体基板の表面を絶縁膜で被覆した基板、金属基板の表面を絶縁膜で被覆した基板を適用することが可能である。
【0066】
エッチング後に残存する第2絶縁膜202の幅W1に限定はなく0.1〜10μm程度で形成する。また、第2絶縁膜202に形成する開口部の幅W2は0.01〜2μm(好ましくは0.1〜1μm)であり、第2絶縁膜の厚さdは0.01〜3μm(好ましくは0.1〜2μm)で形成する。また、開口部の長さ(紙面と垂直な方向)は特に限定されず、直線的又は曲部をもって形成されていても良く、例えばTFTのチャネル形成領域を形成することができる程度の長さがあれば良い。
【0067】
図1(B)で示すように、この第1絶縁膜201と第2絶縁膜202から成る表面上および開口部を覆う非晶質半導体膜204を0.01〜3μm(好ましくは0.1〜1μm)、即ち第2絶縁膜202で形成される開口部の深さと同程度かそれ以上の厚さで形成することが望ましい。非晶質半導体膜は、珪素、珪素とゲルマニウムの化合物又は合金、珪素と炭素の化合物又は合金を適用することができる。非晶質半導体膜は図示するように、下地の絶縁膜上及び開口部にかけて形成され、下地の凹凸形状を反映して堆積する。また、第1絶縁膜及び第2絶縁膜の表面に付着した硼素などの化学汚染の影響を排除し、しかも窒化珪素と非晶質半導体膜が直接に接しないように、非晶質半導体膜の下層側に第3絶縁膜203として酸化窒化珪素膜を同一の成膜装置内で大気に触れさせることなく連続的に成膜すると良い。
【0068】
そして、この非晶質半導体膜204を瞬間的に溶融させ結晶化させる。この結晶化はレーザー光又はランプ光源からの放射光を光学系にて半導体膜が溶融する程度のエネルギー密度に集光して照射する。この工程においては、特に連続発振レーザー発振装置を光源とするレーザー光を適用することが好ましい。適用されるレーザー光は光学系にて線状に集光及び長手方向に拡張されたものであり、その強度分布が長手方向において均一な領域を有し、短手方向に分布を持たせておくことが望ましい。
【0069】
レーザー発振装置は、矩形ビーム固体レーザー発振装置が適用され、特に好ましくは、スラブレーザー発振装置が適用される。スラブ材料としては、Nd:YAG、Nd:GGG(ガドリニウム・ガリウム・ガーネット)、Nd:GsGG(ガドリニウム・スカンジウム・ガリウム・ガーネット)等の結晶が使用される。スラブレーザーでは、この板状のレーザー媒質の中を、全反射を繰り返しながらジグザグ光路で進む。或いは、Nd、Tm、Hoをドープしたロッドを用いた固体レーザー発振装置であり、特にYAG、YVO、YLF、YAlOなどの結晶にNd、Tm、Hoをドープした結晶を使った固体レーザー発振装置にスラブ構造増幅器を組み合わせたものでも良い。そして、図中に矢印で示すように、線状の長手方向に対し交差する方向に走査する。尚、ここでいう線状とは、短手方向の長さに対し、長手方向の長さの比が1対10以上のものをもって言う。
【0070】
また、連続発振レーザー光の波長は、非晶質半導体膜の光吸収係数を考慮して400〜700nmであることが望ましい。このような波長帯の光は、波長変換素子を用いて基本波の第2高調波、第3高調波を取り出すことで得られる。波長変換素子としてはADP(リン酸二水素化アンモニウム)、BaNaNb15(ニオブ酸バリウムナトリウム)、CdSe(セレンカドミウム)、KDP(リン酸二水素カリウム)、LiNbO(ニオブ酸リチウム)、Se、Te、LBO、BBO、KB5などが適用される。特にLBOを用いることが望ましい。代表的な一例は、Nd:YVOレーザー発振装置(基本波1064nm)の第2高調波(532nm)を用いる。また、レーザーの発振モードはTEM00モードであるシングルモードを適用する。
【0071】
最も適した材料として選ばれる珪素の場合、吸収係数が10〜10cm−1である領域はほぼ可視光域にある。ガラスなど可視光透過率の高い基板と、珪素により30〜200nmの厚さをもって形成される非晶質半導体膜を結晶化する場合、波長400〜700nmの可視光域の光を照射することで、当該半導体膜を選択的に加熱して、下地絶縁膜にダメージを与えずに結晶化を行うことができる。具体的には、非晶質珪素膜に対し、波長532nmの光の侵入長は概略100nm〜1000nmであり、膜厚30nm〜200nmで形成される非晶質半導体膜204の内部まで十分達することができる。即ち、半導体膜の内側から加熱することが可能であり、レーザー光の照射領域における半導体膜のほぼ全体を均一に加熱することができる。
【0072】
レーザー光の照射により瞬間的に溶融した半導体は、表面張力が働いて開口部(凹部)に集まる。それにより固化して形成される結晶性半導体膜205は、図1(C)で示すように表面がほぼ平坦になる。結晶の成長端や結晶粒界は第2絶縁膜上(凸部上)に形成される(図1(C)で示す領域220)。
【0073】
その後、好ましくは図1(D)に示すように500〜600℃の加熱処理を行い、結晶性半導体膜に蓄積された歪みを除去すると良い。この歪みは、結晶化によって起こる半導体の体積収縮、下地との熱応力や格子不整合などにより発生するものである。この加熱処理は、例えば、ガス加熱方式の瞬間熱アニール(RTA)法を用いて1〜10分の処理を行えば良い。尚、この工程は本発明において必須な要件ではなく、適宜選択して行えば良いものである。
【0074】
図1(E)で示すように、結晶性半導体膜205の表面をエッチングして開口部(凹部)に埋め込まれている結晶性半導体膜206を選択的に抽出する。これは、第2絶縁膜202上に残存し結晶粒界、結晶欠陥を含む結晶性半導体膜を除去し、開口部(凹部)にある良質な結晶のみを残すことを目的としている。結晶性半導体膜206は、複数の結晶方位を有し、結晶粒界が形成されていないという特徴を有している。
【0075】
そして、特に開口部(凹部)を充填する結晶性半導体を使ってチャネル形成領域が位置せしめるようにゲート絶縁膜及びゲート電極を形成するとTFTを完成させることができる。この時、TFTのチャネル長方向と平行な方向に開口部を形成し、且つレーザー光をその方向に走査することにより、結晶成長をその方向に行うことができ、特定の結晶方位を優先的に結晶成長させることができる。
【0076】
図2は本発明者による実験結果から得られた結晶化の知見を概念図として示すものである。図2(A)〜(E)は第1絶縁膜及び第2絶縁膜により形成される開口部(凹部)の深さ及び間隔と結晶成長の関係を模式的に説明している。
【0077】
尚、図2で示す長さに関する符号に関し、t01:第2絶縁膜202上(凸部)の非晶質半導体膜204の厚さ、t02:開口部(凹部)の非晶質半導体膜204の厚さ、t11:第2絶縁膜202上(凸部)の結晶性半導体膜205の厚さ、t12:開口部(凹部)の結晶性半導体膜205の厚さ、d:第2絶縁膜202の厚さ(開口部の深さ)、W1:第2絶縁膜202の幅、W2:開口部の幅である。
【0078】
図2(A)は、d<t02、W1,W2が1μmと同程度かそれより小さい場合であり、開口部の溝の深さが非晶質半導体膜204よりも小さい場合には、溶融結晶化の過程を経ても開口部が浅いので結晶性半導体膜205の表面が十分平坦化されることはない。即ち、結晶性半導体膜205の下地の凹凸形状が概略保存されたまま残ってしまう。
【0079】
図2(B)は、d≧t02、W1,W2が1μmと同程度かそれより小さい場合であり、開口部の溝の深さが非晶質半導体膜204とほぼ等しいかそれより大きい場合には、表面張力が働いて開口部(凹部)に集まる。それにより固化した状態では、図2(B)で示すように表面がほぼ平坦になる。この場合、t11<t12となり、第2絶縁膜202上の膜厚が薄い部分220に応力が集中しここに歪みが蓄積され、結晶粒界が形成されることになる。
【0080】
図25で示す走査電子顕微鏡(SEM)写真はその一例を示し、170nmの段差を設け、0.5μmの凸部の幅と間隔を設けた下地絶縁膜上に150nmの非晶質珪素膜を形成して結晶化した結果を示している。結晶性半導体膜の表面は結晶粒界を顕在化させるためにセコ液でエッチングしてある。尚、HF:HO=2:1に添加剤としてKCrを用いて調合した薬液である。この写真から明らかなように、結晶粒界は、段差形状の凸部に集中していることが分かる。
【0081】
図27は開口部(凹部)に形成される結晶性半導体膜の配向性を反射電子回折パターン(EBSP:Electron BackScatter diffraction Pattern)により求めた結果を示している。EBSPは走査型電子顕微鏡(SEM:Scanning Electron Microscopy)に専用の検出器を設け、電子ビームを結晶面に照射してその菊池線からの結晶方位同定をコンピューターで画像認識させることによって、そのミクロな結晶性を表面配向のみならず、結晶の全方向に関して測定するものである(以下、この手法を便宜上EBSP法と呼ぶ)。
【0082】
図27のデータは、開口部(凹部)においては線状に集光されたレーザー光の走査方向と平行な方向に結晶が成長していることを示している。
【0083】
図2(C)は、d>>t02、W1,W2が1μmと同程度かそれより小さい場合であり、この場合は結晶性半導体膜205が開口部を充填するように形成され、第2絶縁膜202上には殆ど残存しないようにすることも可能である。
【0084】
図2(D)は、d≧t02、W1,W2が1μm同程度か若干大きい場合であり、開口部の幅が広がると結晶性半導体膜205が開口部を充填し、平坦化の効果はあるが、開口部の中央付近には結晶粒界や結晶亜粒界が発生する。また、第2絶縁膜上にも同様に応力が集中しここに歪みが蓄積され、結晶粒界が形成される。これは、間隔が広がることで応力緩和の効果が低減するためであると推察される。
【0085】
図2(E)は、d≧t02、W1,W2が1μmよりも大きい場合であり、図2(D)の状態がさらに顕在化してくる。
【0086】
図26で示す走査電子顕微鏡(SEM)写真はその一例を示し、170nmの段差を設け、1.8μmの凸部の幅と間隔を設けた下地絶縁膜上に150nmの非晶質珪素膜を形成して結晶化した結果を示している。結晶性半導体膜の表面は結晶粒界を顕在化させるためにセコ液でエッチングしてある。図25との比較において明らかなように、結晶粒界は、段差形状の凸部のもでなく、開口部(凹部)にも広がっていることが分かる。従ってこのような構造では、結晶粒界のない結晶性半導体膜を選択的に取り出すことはできない。
【0087】
以上、図2を用いて説明したように、半導体素子を形成する場合、特にトランジスタにおけるチャネル形成領域をこのような半導体膜で形成する場合には、図2(B)の形態が最も適していると考えられる。また、ここでは結晶性半導体膜を形成する下地の凹凸形状は、第1絶縁膜201と第2絶縁膜202で形成する一例を示したが、ここで示す形態に限定されず同様な形状を有するものであれば代替することができる。例えば、石英基板の表面をエッチング処理して直接開口部を形成し、凹凸形状を設けても良い。
【0088】
図14は、結晶化に際し適用することのできるレーザー照射装置の構成の一例を示す。図14はレーザー発振装置401a、401b、シャッター402、高変換ミラー403〜406、シリンドリカルレンズ407、408、スリット409、載置台411、載置台411をX方向及びY方向に変位させる駆動手段412、413、当該駆動手段をコントロールする制御手段414、予め記憶されたプログラムに基づいてレーザー発振装置401a、401bや制御手段414に信号を送る情報処理手段415等から成っているレーザー照射装置の構成を正面図と側面図により示すものである。
【0089】
レーザー発振装置は矩形ビーム固体レーザー発振装置が適用され、特に好ましくは、スラブレーザー発振装置が適用される。或いは、YAG、YVO、YLF、YAlOなどの結晶にNd、Tm、Hoをドープした結晶を使った固体レーザー発振装置にスラブ構造増幅器を組み合わせたものでも良い。スラブ材料としては、Nd:YAG、Nd:GGG(ガドリニウム・ガリウム・ガーネット)、Nd:GsGG(ガドリニウム・スカンジウム・ガリウム・ガーネット)等の結晶が使用される。その他にも、連続発振可能な気体レーザー発振装置、固体レーザー発振装置を適用することもできる。連続発振固体レーザー発振装置としてはYAG、YVO、YLF、YAlOなどの結晶にCr、Nd、Er、Ho、Ce、Co、Ti又はTmをドープした結晶を使ったレーザー発振装置を適用する。発振波長の基本波はドープする材料によっても異なるが、1μmから2μmの波長で発振する。5W以上のより高い出力を得る為には、ダイオード励起の固体レーザー発振装置をカスケード接続しても良い。
【0090】
このようなレーザー発振装置から出力される円形状又は矩形状のレーザー光は、シリンドリカルレンズ407、408により照射面の断面形状において線状に集光される。また、照射面での干渉を防ぐため、高変換ミラーを適宜調節して10〜80度の角度を持って斜め方向から入射する構成となっている。シリンドリカルレンズ407、408は合成石英製とすれば高い透過率が得られ、レンズの表面に施されるコーティングは、レーザー光の波長に対する透過率が99%以上を実現するために適用される。勿論、照射面の断面形状は線状に限定されず、矩形状、楕円形又は長円形など任意な形状としても構わない。いずれにしても短軸と長軸の比が、1対10〜1対100の範囲に含まれるものを指している。また、波長変換素子410は基本波に対する高調波を得るために備えられている。
【0091】
また、載置台411を駆動手段412、413により二軸方向に動かすことにより基板420のレーザーアニールを可能としている。一方の方向への移動は基板420の一辺の長さよりも長い距離を1〜200cm/sec、好ましくは5〜75cm/secの等速度で連続的に移動させることが可能であり、他方へは線状ビームの長手方向と同程度の距離を不連続にステップ移動させることが可能となっている。レーザー発振装置401a、401bの発振と、載置台411は、マイクロプロセッサを搭載した情報処理手段415により同期して作動するようになっている。
【0092】
載置台411は図中で示すX方向に直線運動をすることにより、固定された光学系から照射されるレーザー光で基板全面の処理を可能としている。位置検出手段416は基板420がレーザー光の照射位置にあることを検出して、その信号を情報処理手段415に伝送し、情報処理手段415によりレーザー光の照射タイミングを同期させている。つまり、基板420がレーザー光の照射位置にない時は、シャッター402を閉め、レーザー光の照射を止めている。
【0093】
このような構成のレーザー照射装置により基板420に照射されるレーザー光は、図中に示すX方向又はY方向に相対移動させることにより半導体膜の所望の領域または全面を処理することができる。
【0094】
以上のように、非晶質半導体膜に連続発振レーザー光を照射する結晶化において、半導体膜の下地側に開口部(又は、それに伴う凹凸形状)を設けることにより、当該開口部以外の領域に結晶化に伴う歪み又は応力を集中させることができ、結晶粒界など結晶性の悪い領域を選択的に形成することが可能となる。即ち、当該開口部に複数の結晶方位を有し、結晶粒界が形成されることなく、成長方向と平行な方向に延在する複数の結晶粒が集合した結晶性半導体膜を残存させることができる。また、活性層とする結晶性半導体にその歪み又は応力がかからないようにすることができるとも言える。このような結晶性半導体膜でチャネル形成領域が配設されるようにTFTを形成することにより、高速で電流駆動能力を向上させることが可能となり、素子の信頼性を向上させることも可能となる。
【0095】
また図35に、結晶化に際し適用することのできるレーザー照射装置の構成の別の一例を示す。図35に示すレーザー照射装置は、図14に示すレーザー照射装置と光学系のみが異なっている。
【0096】
図35はレーザー発振装置5301a、5301b、シャッター5302、高変換ミラー5303〜5306、スリット5307、シリンドリカルレンズ5308、5309、載置台5311、載置台5311をX方向及びY方向に変位させる駆動手段5312、5313、当該駆動手段をコントロールする制御手段5314、予め記憶されたプログラムに基づいてレーザー発振装置5301a、5301bや制御手段5314に信号を送る情報処理手段5315等から成っているレーザー照射装置の構成を正面図と側面図により示すものである。
【0097】
図35では、シリンドリカルレンズ5308、5309により照射面の断面形状において線状に集光されるレーザー光が、載置台5311上の基板5320表面に対し斜めに入射させる。これにより、非点収差などの収差により焦点位置がずれ、照射面またはその近傍において線状の集光面を形成することができる。シリンドリカルレンズ5308、5309は合成石英製とすれば、高い透過率が得られ、レンズの表面に施されるコーティングは、レーザー光の波長に対する透過率が99%以上を実現するために適用される。
【0098】
(実施の形態2)
図31において示す斜視図は、基板5101上に第1絶縁膜5102と帯状にパターン形成された第2絶縁膜5103〜5105が形成された形態を示している。ここでは、第2絶縁膜による帯状のパターンが3本示されているが、勿論その数に限定されることはない。基板は市販の無アルカリガラス基板、石英基板、サファイア基板、単結晶又は多結晶半導体基板の表面を絶縁膜で被覆した基板、金属基板の表面を絶縁膜で被覆した基板を適用することができる。
【0099】
帯状に形成される第2絶縁膜5103〜5105の幅W1は0.1〜10μm(好ましくは0.5〜1μm)隣接する第2絶縁膜5103〜5105の間隔W2は0.1〜5μm(好ましくは0.5〜1μm)であり、第2絶縁膜5103〜5105の厚さdはその上に形成する非単結晶半導体膜の厚さと同程度かそれ以上の厚さをもって形成する。また、段差形状は規則的な周期パターンである必要はなく、TFTのチャネル形成領域を含む島状の半導体領域の配置及び形状に合わせて形成すれば良い。よって、第2絶縁膜5103〜5105の長さLも限定はなく、例えばTFTのチャネル形成領域を形成することができる程度の長さがあれば良い。
【0100】
第1絶縁膜5102は、窒化珪素又は酸化窒化珪素を用いて形成する。また、第2絶縁膜5103〜5105は酸化珪素又は酸化窒化珪素を用いて形成する。酸化珪素はオルトケイ酸テトラエチル(Tetraethyl Ortho Silicate:TEOS)とOとを混合しプラズマCVD法で形成することができる。酸化窒化珪素膜はSiH、NH、NO又は、SiH、NOを原料として用いプラズマCVD法で形成することができる。
【0101】
図31の形態であるように、開口部による凹凸形状を第1絶縁膜5102と第2絶縁膜5103〜5105により形成する場合には、エッチング加工における選択比を確保するために、第2絶縁膜5103〜5105のエッチング速度が相対的に早くなるように材料及び成膜条件を適宜調整することが望ましい。そして、第2絶縁膜5103〜5105で形成される開口部の側壁の角度は5〜120度、好ましくは80〜100度の範囲で適宜設定すれば良い。
【0102】
図32で示すように、この第1絶縁膜5102と第2絶縁膜5103〜5105から成る表面上および開口部を覆う非晶質半導体膜5106を50〜200nmの厚さに形成する。非晶質半導体膜は、珪素、珪素とゲルマニウムの化合物又は合金、珪素と炭素の化合物又は合金を適用することができる。
【0103】
そして、この非晶質半導体膜5106に連続発振レーザー光を照射して結晶化を行う。適用されるレーザー光は光学系にて線状に集光及び拡張されたものであり、その強度分布が長手方向において均一な領域を有し、短手方向に分布を持っていても良く、光源として用いるレーザー発振装置は、矩形ビーム固体レーザー発振装置が適用され、特に好ましくは、スラブレーザー発振装置が適用される。或いは、Nd、Tm、Hoをドープしたロッドを用いた固体レーザー発振装置であり、特にYAG、YVO、YLF、YAlOなどの結晶にNd、Tm、Hoをドープした結晶を使った固体レーザー発振装置にスラブ構造増幅器を組み合わせたものでも良い。そして、図中に矢印で示すように、線状の長手方向に対し交差する方向に走査する。この時、下地絶縁膜に形成される帯状のパターンの長手方向と平行な方向に走査することが最も望ましい。尚、ここでいう線状とは、短手方向の長さに対し、長手方向の長さの比が1対10以上のものをもって言う。
【0104】
スラブ材料としては、Nd:YAG、Nd:GGG(ガドリニウム・ガリウム・ガーネット)、Nd:GsGG(ガドリニウム・スカンジウム・ガリウム・ガーネット)等の結晶が使用される。スラブレーザーでは、この板状のレーザー媒質の中を、全反射を繰り返しながらジグザグ光路で進む。
【0105】
また、連続発振レーザー光の波長は、非晶質半導体膜5106の光吸収係数を考慮して400〜700nmであることが望ましい。このような波長帯の光は、波長変換素子を用いて基本波の第2高調波、第3高調波を取り出すことで得られる。波長変換素子としてはADP(リン酸二水素化アンモニウム)、BaNaNb15(ニオブ酸バリウムナトリウム)、CdSe(セレンカドミウム)、KDP(リン酸二水素カリウム)、LiNbO(ニオブ酸リチウム)、Se、Te、LBO、BBO、KB5などが適用される。特にLBOを用いることが望ましい。代表的な一例は、Nd:YVOレーザー発振装置(基本波1064nm)の第2高調波(532nm)を用いる。また、レーザーの発振モードはTEM00モードであるシングルモードを適用する。
【0106】
最も適した材料として選ばれる珪素の場合、吸収係数が10〜10cm−1である領域はほぼ可視光域にある。ガラスなど可視光透過率の高い基板と、珪素により30〜200nmの厚さをもって形成される非晶質半導体膜5106を結晶化する場合、波長400〜700nmの可視光域の光を照射することで、当該半導体領域を選択的に加熱して、下地絶縁膜にダメージを与えずに結晶化を行うことができる。具体的には、非晶質珪素膜5106に対し、波長532nmの光の侵入長は概略100nm〜1000nmであり、膜厚30nm〜200nmで形成される非晶質半導体膜5106の内部まで十分達することができる。即ち、半導体膜の内側から加熱することが可能であり、レーザー光の照射領域における半導体膜のほぼ全体を均一に加熱することができる。
【0107】
レーザー光の照射により溶融した半導体は、表面張力が働いて開口部(凹部)に集まる。それにより固化した状態では、図33で示すように表面がほぼ平坦になる。さらに結晶の成長端や結晶粒界又は結晶亜粒界は第2絶縁膜5103〜5105上(凸部上)に形成される(図中ハッチングで示す領域5110)。こうして結晶性半導体膜5107が形成される。
【0108】
その後図34で示すように、結晶性半導体膜5107をエッチングして島状の半導体領域5108、5109を形成する。この時、成長端や結晶粒界又は結晶亜粒界が集中する領域5110をエッチング除去することにより良質な半導体領域のみ残すことができる。そして、この島状の半導体領域5108、5109の、特に開口部(凹部)を充填する結晶性半導体を使ってチャネル形成領域が位置せしめるようにゲート絶縁膜及びゲート電極を形成する。このような各段階を経てTFTを完成させることができる。
【0109】
(実施の形態3)
次に、図48を用いて、本発明で用いられるレーザー光の照射方法について説明する。
【0110】
まず、図48(A)に示すように基板上に絶縁膜からなる第1の下地膜6101を形成する。そして、第1の下地膜6101上に矩形状の絶縁膜からなる第2の下地膜6102が形成され、第1及び第2の下地膜6101、6102を覆うように、第3の下地膜6103が形成されている。本実施の形態では、第1の下地膜6101として窒化珪素を用い、第2の下地膜6102として酸化珪素を用い、第3の絶縁膜6103として酸化珪素膜を用いた。なお第1乃至第3の下地膜6101〜6103の材料はこれに限定されず、後の工程における熱処理に耐え得る材料で、なおかつ後に形成される半導体膜に、TFTの特性に悪影響を与えうるアルカリ金属が混入するのを防ぐことができ、凹凸を形成することができる絶縁膜であれば良い。なおこの凹凸の形成の仕方については、後段において詳しく説明する。また、これらの他の絶縁膜を用いても良い。また2つ以上の膜の積層構造であってもよい。
【0111】
そして図48では第1乃至第3の下地膜6101〜6103を区別して示しているが、ここでは3つの下地膜を合わせて下地膜6104と総称する。なお、本実施の形態では3つの下地膜を使って凹凸を有する下地膜6104を形成しているが、本発明で用いる下地膜の構成はこれに限定されない。
【0112】
このとき、下地膜6104と同時に、下地膜の一部を利用してマーカーを形成するようにしても良い。
【0113】
基板(図示せず)は、後の工程の処理温度に耐えうる材質であれば良く、例えば石英基板、シリコン基板、バリウムホウケイ酸ガラスまたはアルミノホウケイ酸ガラスなどのガラス基板、金属基板またはステンレス基板の表面に絶縁膜を形成した基板を用いることができる。また、処理温度に耐えうる程度に耐熱性を有するプラスチック基板を用いてもよい。
【0114】
次に、下地膜6104を覆うように、半導体膜6105を形成する。半導体膜6105は、公知の手段(スパッタ法、LPCVD法、プラズマCVD法等)により成膜することができる。なお、半導体膜は非晶質半導体膜であっても良いし、微結晶半導体膜や多結晶半導体膜を含む結晶性半導体膜であっても良い。また半導体膜は珪素だけではなくシリコンゲルマニウムやその他の半導体を用いるようにしても良い。また、第3の下地膜6103を成膜した後、大気開放せずに連続的に成膜することで、半導体膜6105と下地膜6104との間に不純物が混入するのを防ぐことができる。
【0115】
なお、下地膜6104の凸部の形状及びそのサイズついては、設計者が適宜設定することができるが、後に形成される半導体膜が凸部のエッジ近傍において膜切れを起こさない程度の厚さに設定する必要がある。
【0116】
次に、図48(B)に示すように、半導体膜6105にレーザー光を照射する。レーザー光の照射により、半導体膜6105は一次的に溶融し、白抜きの矢印で示したように、凸部の上部から凹部に向かってその体積が移動する。そして表面が平坦化され、なおかつ結晶性が高められた半導体膜(LC後)6106が形成される。レーザー光のエネルギー密度は、レーザービームのエッジの近傍において低くなっており、そのためエッジの近傍は結晶粒が小さく、結晶の粒界に沿って突起した部分(リッジ)が出現する。そのため、レーザー光のレーザービームの軌跡のエッジと、チャネル形成領域となる部分または半導体膜6105の凹部上に位置する部分とが重ならないように照射する。
【0117】
なおレーザー光の走査方向は、下地膜6104が有する凸部の長手方向と平行になるようにしても良いし、その他の方向に定めても良い。
【0118】
本発明では公知のレーザーを用いることができる。レーザー光は連続発振であることが望ましいが、パルス発振であってもある程度本発明の効果を得ることができると考えられる。レーザーは、気体レーザーもしくは固体レーザーを用いることができる。気体レーザーとして、エキシマレーザー、Arレーザー、Krレーザーなどがあり、固体レーザーとして、YAGレーザー、YVOレーザー、YLFレーザー、YAlOレーザー、ガラスレーザー、ルビーレーザー、アレキサンドライドレーザー、Ti:サファイアレーザー、Yレーザーなどが挙げられる。固体レーザーとしては、Cr、Nd、Er、Ho、Ce、Co、Ti、Yb又はTmがドーピングされたYAG、YVO、YLF、YAlOなどの結晶を使ったレーザーが適用される。当該レーザーの基本波はドーピングする材料によって異なり、1μm前後の基本波を有するレーザー光が得られる。基本波に対する高調波は、非線形光学素子を用いることで得ることができる。
【0119】
またさらに、固体レーザーから発せられらた赤外レーザー光を非線形光学素子でグリーンレーザー光に変換後、さらに別の非線形光学素子によって得られる紫外レーザー光を用いることもできる。
【0120】
半導体膜(LC後)6106は、レーザー光の照射による体積移動により、下地膜6104の凹部上において膜厚が厚くなり、逆に凸部上において膜厚が薄くなっている。そのため応力によって凸部上に粒界6149が発生しやすく、逆に凹部上においては結晶性の良い状態が得られる。なお、凹部上において半導体膜(LC後)6106が必ずしも粒界を含まないわけではない。しかし、たとえ粒界が存在したとしても結晶粒が大きいので、結晶性は比較的優れたものとなっている。
【0121】
次に、図48(C)に示すように、半導体膜(LC後)6106の表面をエッチングしていき、下地膜6104の凸部上面を露出させる。なお本実施の形態では、第3の下地膜6103の凸部上面を露出させるように、半導体膜(LC後)6106をエッチングし、下地膜6104の凹部に半導体膜6107が残るようにする。
【0122】
次に、下地膜6104のみをエッチングにより除去する。なお、下地膜6104と、半導体膜(エッチング後)6107とは、エッチングの際に選択比が取れる材料であることが重要である。例えば、本実施の形態のように第2の下地膜6102、第3の6103に酸化珪素を用いている場合、CHF、CFガスを用いたドライエッチングか、またはフッ酸系のエッチャントを用いたウェットエッチングを用いるのが好ましい。ドライエッチングを用いた場合、半導体膜6107の下に位置する下地膜6104が回り込みによりエッチングされることなく、なおかつ半導体膜の側面をテーパー形状にすることができる。半導体膜の側面がテーパー形状だと、後の工程において形成される絶縁膜やゲート電極が膜切れを起こすのを防ぐことができる。またウェットエッチングを用いた場合、半導体膜の上面がエッチングされることなく下地膜の凸部を除去することができる。
【0123】
そして、図48(D)に示すような、半導体膜(アイランド)6108が形成される。アイランド6108の下に位置する第3の絶縁膜6103の一部を第4の絶縁膜6109とする。
【0124】
上述した一連の工程によって得られたアイランドをTFTの活性層、より望ましくはTFTのチャネル形成領域として用いることで、TFTのチャネル形成領域に粒界が形成されるのを防ぐことができ、粒界によってTFTの移動度が著しく低下したり、オン電流が低減したり、オフ電流が増加したりするのを防ぐことができる。なお、どこまでを凹部または凸部のエッジ近傍としてパターニングで除去するかは、設計者が適宜定めることができる。
【0125】
(実施の形態4)
本発明の結晶性半導体膜の形成において、実施の形態1乃至3で示すように非晶質半導体膜にレーザー光を照射して結晶化させても良いが、触媒作用のある金属を用いて非晶質半導体膜を結晶化した後さらにレーザー光を照射して溶融させ、再結晶化しても良い。
【0126】
図3はその一例を示し、まず、実施の形態1と同様に第1絶縁膜201、第2絶縁膜202、酸化窒化珪素膜203,非晶質半導体膜204を形成する。非晶質半導体膜204には、珪素の結晶化温度を低温化させ配向性を向上させる等、結晶化を促進する触媒作用のある金属元素としてNiを添加する。Niの添加法に限定はなく、スピン塗布法、蒸着法、スパッタ法などを適用するこができる。スピン塗布法による場合には酢酸ニッケル塩が5〜10ppmの水溶液を塗布して金属元素含有層210を形成する。勿論、触媒元素はNiに限定されるものではなく、他の公知の材料を用いても良い。
【0127】
その後、図3(B)で示すように550〜580℃、4〜8時間の加熱処理により非晶質半導体膜204を結晶化させて結晶性半導体膜211を形成する。この結晶性半導体膜211は棒状または針状の結晶が集合して成り、その各々の結晶は巨視的にはある特定の方向性をもって成長しているため、結晶性が揃っている。また、特定方位の配向率が高いという特徴がある。
【0128】
図3(C)で示すように、加熱処理により結晶化した結晶性半導体膜211に対し、連続発振レーザー光又はそれと同等な強光を照射して溶融させ再結晶化する。こうして、表面がほぼ平坦化された結晶性半導体膜212を得ることができる。この結晶性半導体膜212も同様に結晶の成長端や結晶粒界は第2絶縁膜202上(凸部上)に形成されている。また、結晶性半導体膜211において残存する非晶質領域もこの処理により結晶化させることができる。
【0129】
レーザー光を照射する対象として結晶性半導体膜を用いる利点は半導体膜の光吸収係数の変動率にあり、結晶化した半導体膜にレーザー光を照射して溶融させたとしても光吸収係数は殆ど変動しない。よって、レーザー照射条件のマージンを広くとることができる。
【0130】
その後、結晶性半導体膜212に残存する金属元素を取り除くゲッタリング処理を行うことが好ましい。結晶性半導体膜212に接して薄い酸化珪素等で形成されるバリア膜213を形成し、希ガス元素を1×1020atoms/cm以上の濃度で含有する非晶質珪素膜214をゲッタリングサイトとして形成する。加熱処理は500〜700℃にて行えば良い。この技術の詳細については、特開2002−313811号公報を参照されたい。また、このゲッタリング処理に伴う加熱処理は、結晶性半導体膜212の歪みを緩和するという効果も併せ持っている。
【0131】
その後、図3(E)に示すように、非晶質珪素膜214、バリア膜213を除去し、実施の形態1と同様に、結晶性半導体膜212の表面をエッチングして開口部(凹部)に埋め込まれている結晶性半導体膜215を選択的に抽出する。こうして、複数の結晶方位を有し、結晶粒界が形成されていない結晶性半導体膜215を得ることができる。このような二段階の結晶化処理は、実施の形態1と比較して比較的歪みの少ない結晶性半導体膜を形成することを可能にする。
【0132】
(実施の形態5)
次に、本実施の形態において開口部を有する下地絶縁膜上に結晶性半導体膜を形成し、その開口部に充填された充填領域にチャネル形成領域が配設されるTFTを作製する一形態を図4乃至図11を用いて説明する。尚、各図面において、(A)は上面図、(B)以降はそれに対応する各部位の縦断面図を示す。
【0133】
図4において、ガラス基板301上に30〜300nmの窒化珪素、窒素含有量が酸素含有量よりも大きな酸化窒化珪素、窒化アルミニウム、又は酸化窒化アルミニウムでなる第1絶縁膜302を形成する。その上に酸化珪素膜又は酸化窒化珪素膜を形成し、写真蝕刻により矩形状のパターンを有する第2絶縁膜303を形成する。酸化珪素膜はプラズマCVD法でTEOSとOとを混合し、反応圧力40Pa、基板温度400℃とし、高周波(13.56MHz)電力密度0.6W/cmで放電させ10〜3000nm、好ましくは100〜2000nmの厚さに堆積し、その後エッチングにより開口部304を形成する。開口部の幅は、特にチャネル形成領域が配置される場所において、0.01〜2μm、好ましくは0.1〜1μmで形成する。
【0134】
次いで、図5で示すように第1絶縁膜302及び第2絶縁膜303上に酸化珪素膜又は酸化窒化珪素膜から成る第3絶縁膜305と非晶質半導体膜306を同一のプラズマCVD装置を用い大気に触れさせることなく連続的に成膜する。非晶質珪素膜306は珪素を主成分に含む半導体膜で形成し、プラズマCVD法でSiHを原料気体として用い形成する。この段階では、図示するように開口部304の底面及び側面を被覆して平坦でない表面形状が形成される。
【0135】
そして図6で示すように連続発振レーザー光を照射して結晶化させる。結晶化の条件は、連続発振モードのYVOレーザー発振器を用い、その第2高調波(波長532nm)の出力2〜10Wを、光学系にて短手方向に対する長手方向の比が10以上である線状レーザー光に集光し、且つ長手方向に均一なエネルギー密度分布を有するように集光し、10〜200cm/secの速度で走査して結晶化させる。均一なエネルギー密度分布とは、完全に一定であるもの以外を排除することではなく、エネルギー密度分布において許容される範囲は±10%である。このようなレーザー光の照射は、図14または図35で示す構成のレーザー照射装置を適用することができる。
【0136】
線状に集光されたレーザー光360の走査方向と開口部の配置との関係は図15に示されている。線状に集光されたレーザー光360の強度分布はその強度分布が長手方向において均一な領域を有していることが望ましい。これは加熱される半導体の温度が照射領域の温度を一定にすることが目的である。線状に集光されたレーザー光の長手方向(走査方向と交差する方向)に温度分布が生じると、結晶の成長方向をレーザー光の走査方向に限定することができなくなるためである。開口部304の配列は図示のように線状に集光されたレーザー光360の走査方向と合わせて配列させておくことで、結晶の成長方向と、全てのTFTのチャネル長方向とを合わせることができる。これによりTFTの素子間の特性ばらつきを小さくすることができる。
【0137】
この条件でレーザー光を照射することにより、非晶質半導体膜は瞬間的に溶融し結晶化させる。実質的には溶融帯が移動しながら結晶化が進行する。溶融した珪素は表面張力が働いて開口部(凹部)に凝集し固化する。これにより、図6に示すように開口部304を充填する形態で表面が平坦な結晶性半導体膜307が形成される。
【0138】
その後図7に示すように、少なくとも開口部304に結晶性半導体膜307が残存するようにエッチング処理を行いう。このエッチング処理により、第2絶縁膜303上にある結晶性半導体膜は除去され、開口部の形状に合わせて結晶性半導体膜から成る島状の半導体膜308が得られる。結晶性半導体膜はフッ素系のガスと酸素とをエッチングガスとして用いることにより下地の酸化珪素膜と選択性をもってエッチングすることができる。例えば、エッチングガスとして、CFとOの混合ガスが適用される。この島状の半導体膜308は、実施の形態1で示したように、複数の結晶方位を有し、結晶粒界が形成されていないという特徴を有している。
【0139】
尚、図7は、この島状の半導体膜308の形状、即ち、第1絶縁膜302及び第2絶縁膜303により形成される開口部304の形状を限定的に示すものではなく、実施の形態1で述べた如く、所定のデザインルールに従う範囲内において、特に限定されるものではない。例えば、図7の島状の半導体膜の形状は、複数個の短冊状の結晶性半導体膜は一対の矩形の結晶性半導体膜と連接した形態を有しており、後述するように、複数個の短冊状の結晶性半導体膜にTFTのチャネル形成領域が配置される形態となっている。
【0140】
この後、図8で示すように、島状の半導体膜308のチャネル形成領域が配置される周辺の第2絶縁膜303をエッチング処理により除去して開口部309を形成する。このエッチング処理は緩衝フッ酸のよる薬液処理、又はCHFやCFを用いたドライエッチング等で行うことができる。この開口部309を形成することで、図8(B)に示すように、その領域の配置する島状の半導体膜308の側面部及び上面部が露出し、底面には第3絶縁膜305が残り第1絶縁膜302と接している。他の部位には第2絶縁膜303が残存して、島状の半導体膜308と接している。
【0141】
この工程においてエッチングの深さは図22(A)で示すように第1絶縁膜302までエッチングして開口部309を形成しても良い。このような形態とすることで、後述するように、この部分にゲート電極を形成する場合、島状の半導体膜308の側面部の全面をチャネル形成領域とすることができる。また、図22(B)で示すように、第2絶縁膜303の途中でエッチングを止めても良い。いずれにしてもエッチングの深さを調節することにより島状の半導体膜308のチャネル形成領域の深さを調節することができる。即ち、結晶化領域を選択することができる。
【0142】
図9は、島状の半導体膜308の上面及び側面を覆いゲート絶縁膜として用いる第4絶縁膜310、ゲート電極として用いる導電膜311を形成する。第4絶縁膜310は、30〜200nmの酸化珪素膜又は酸化窒化珪素膜を形成する。また、導電膜311はタングステン又はタングステンを含有する合金で形成する。
【0143】
図10では、島状の半導体膜308に一導電型の不純物領域313を形成する段階を示している。この不純物領域313は、ゲート電極として用いる導電膜311をマスクとして、自己整合的に形成しても良いし、フォトレジスト等でマスキングして形成しても良い。不純物領域313はソース及びドレイン領域を形成し、必要に応じて低濃度ドレイン領域を適宜設けることもできる。
【0144】
この不純物領域313は、不純物イオンを電界で加速して半導体膜に注入するイオン注入法又はイオンドーピング法などが適用される。この場合において、注入するイオン種の質量分離の有無は本発明を適用する上で本質的な問題とはならない。しかしながら、島状に半導体膜308の側面部にも効率良く不純物イオンを注入するためには、イオンの注入方向に対して基板を斜めに配置し、且つ回転させながら注入を行う斜めドーピングを行うことが望ましい。
【0145】
島状の半導体膜において、ゲート電極を形成する導電層311と重畳する領域はチャネル形成領域312となる。このチャネル形成領域312の部位における詳細は図23に示されている。チャネル形成領域312においては島状の半導体膜の側面部及び上面部にゲート絶縁膜として機能する第4絶縁膜310を介してゲート電極として機能する導電層311が配設されている。よって、電位の印加により形成されるチャネルは半導体膜の側面部と上面部に渡って形成される。これにより空乏化領域を増やすことができ、TFTの電流駆動能力を向上させることができる。さらに、トランジスタがn型の場合は、低濃度のp型の不純物をチャネル形成領域312に添加し、逆にトランジスタがp型の場合は、低濃度のn型の不純物をチャネル形成領域312に添加しておくことで、チャネル形成領域312の中心部における空乏化されない領域によってオフ電流が生じるという事態を防ぐことができる。
【0146】
そして、図11に示すように50〜100nm程度の水素を含有する窒化珪素膜又は酸化窒化珪素膜による第5絶縁膜314を形成する。この状態で400〜450℃に熱処理をすることにより窒化珪素膜又は酸化窒化珪素膜が含有する水素が放出され島状の半導体膜に対する水素化を行うことができる。酸化珪素膜等で形成する第6絶縁膜315を形成し、ソース及びドレイン領域を形成する不純物領域313と接触する配線316、317を形成する。
【0147】
こうしてTFTを作製することができる。図4〜図11を用いて説明したTFTの構成は、複数のチャネル形成領域が並列に配設され、一対の不純物領域と連接して設けられたマルチチャネルTFTを示している。この構成において、並列に配設するチャネル形成領域の数に限定はなく、必要に応じて複数個配設すれば良い。
【0148】
このチャネル形成領域は、複数の結晶方位を有し、結晶粒界が形成されることなく、チャネル長方向と平行な方向に延在する複数の結晶粒が集合した結晶性半導体膜で形成されている。
【0149】
(実施の形態6)
図12は低濃度ドレイン(LDD)構造を持ったnチャネル型マルチチャネルTFTと、pチャネル型マルチチャネルTFTとでCMOS構造の基本回路であるインバータ回路を構成する一例を示している。図12において、第2絶縁膜320、開口部321、島状の半導体膜322、323は実施の形態5と同様にして形成される。
【0150】
図12(A)は上面図を示し、島状の半導体膜322にはソース及びドレイン領域を形成する第1n型不純物領域333が形成され、島状の半導体膜323にはソース及びドレイン領域を形成する第1p型不純物領域334が形成され、その他にゲート電極を形成する導電層330、ソース及びドレイン配線337〜339が形成されている。
【0151】
図12(B)及び(C)はG−G’線及びH−H’線に対応した縦断面図を示し、nチャネル型のTFTには第1n型不純物領域333に隣接してLDD領域を形成する第2n型不純物領域332が形成されている。ゲート電極330は二層構造であり、第1n型不純物領域322、第2n型不純物領域332及び第1p型不純物領域334は自己整合的に形成することができる。331はチャネル形成領域である。このようなゲート電極と不純物領域、及びその作製方法の詳細については、特開2002−014337号公報又は特開2002−324808号公報を参照されたい。
【0152】
その他、図12で示す第5絶縁膜314、第6絶縁膜315は実施の形態5と同じものが適用されるのでここでは説明を省略する。
【0153】
(実施の形態7)
実施の形態5で示すマルチチャネルTFTにおいて、ゲート電極の構成が異なる一例を図13により示す。尚、ゲート電極及びLDD領域の構成以外は、実施の形態5と同じであり、共通の符号を用いて示し、詳細な説明は省略する。
【0154】
図13で示すTFTの構造はゲート電極を窒化チタン又は窒化タンタルなど窒化物金属層350aとタングステン又はタングステン合金など高融点金属層350bで形成する一例であり、高融点金属層350bの側面にスペーサ351を設けている。スペーサ351は酸化珪素などの絶縁体で形成しても良いし、導電性を持たせるためにn型の多結晶珪素で形成しても良く、異方性ドライエッチングにより形成する。LDD領域352はこのスペーサを形成する前に形成することにより、自己整合的に形成することができる。スペーサを導電性材料で形成した場合には、LDD領域が実質的にゲート電極と重畳するゲート・オーバーラップLDD(Gate−Overlapped LDD)構造とすることができる。
【0155】
このようなスペーサを設けて自己整合的にLDD領域を形成する構造は、特にデザインルールを微細化する場合において有効である。ここでは単極性のTFT構造を示したが、実施の形態6と同様にCMOS構造を形成することもできる。
【0156】
(実施の形態8)
本発明は様々な半導体装置に適用できるものであり、実施の形態1乃至7に基づいて作製される表示パネルの形態を説明する。
【0157】
図16において、基板900には画素部902、ゲート信号側駆動回路901a、901b、データ信号側駆動回路901c、入出力端子935、配線又は配線群917が備えられている。シールパターン940はゲート信号側駆動回路901a、901b、データ信号側駆動回路901c及び当該駆動回路部と入力端子とを接続する配線又は配線群917と一部が重なっていても良い。このようにすると、表示パネルの額縁領域(画素部の周辺領域)の面積を縮小させることができる。入出力端子935には、FPC936が固着されている。
【0158】
さらに、本発明のTFTを用いてマイクロプロセッサ、メモリ、又はメディアプロセッサ/DSP(Digital Signal Processor)等が形成されたチップ950が実装されていても良い。これらの機能回路は、画素部902、ゲート信号側駆動回路901a、901b、データ信号側駆動回路901cとは異なるデザインルールで形成されるものであり、具体的には1μm以下のデザインルールが適用される。実装の方法に限定はなくCOG方式等が適用されている。
【0159】
例えば、実施の形態1乃至7で示すTFTは画素部902のスイッチング素子として、さらにゲート信号側駆動回路901a、901b、データ信号側駆動回路901cを構成する能動素子として適用することができる。
【0160】
図21は画素部902の一画素の構成を示す一例であり、TFT551〜553が備えられている。これらは、画素に備える発光素子や液晶素子を制御するそれぞれスイッチング用、リセット用、駆動用のTFTである。これらのTFTの作製工程は図17乃至図20により示されている。尚、工程の詳細は実施の形態5と同様であり詳細な説明は省略する。
【0161】
図17は第2絶縁膜503と、それに開口部504、505を形成した段階を示している。図18では、開口部504、505を形成した後、非晶質半導体膜506を堆積し、それに線状に集光されたレーザー光507を照射して結晶性半導体膜508を形成する段階を示している。
【0162】
図19は第2絶縁膜503上にある結晶性半導体膜をエッチングにより選択的に除去し、開口部を充填する形で結晶性半導体膜でなる島状の半導体膜509、510が形成された状態を示している。
【0163】
そして図20に示すように、第2絶縁膜503をエッチングして開口部511〜513を形成し島状の半導体膜509、510の側面部を部分的に露出させる。さらに、ゲート絶縁膜(図示せず)及びゲート電極(又はゲート配線)514〜516を形成する。開口部511〜513は島状の半導体膜509、510がゲート電極(又はゲート配線)514〜516と交差する位置に合わせて形成されるものである。これにより、実施の形態5と同様なゲート構造を得ることができる。その後、n型又はp型の不純物領域を形成し、絶縁膜を介して信号線518、電源線519、その他各種配線520、521及び画素電極517を形成することにより、図21で示す画素構造を得ることができる。
【0164】
図24(A)は図21におけるA−A’線に対応する縦断面図を示している。さらに図24(B)に示すように画素電極517を用いて有機発光素子を形成することができる。
【0165】
図24(B)は有機発光素子33からの発光が基板側とは反対側に放射する形態(上方放射型)の場合を示している。配線521と接続する有機発光素子33の一方の電極である画素電極517を陰極で形成する。有機化合物層27は陰極側から電子注入輸送層、発光層、正孔注入輸送層の順で形成する。その上層側に形成される陽極29との間には薄い透光性の金属層28が設けられている。陽極29は酸化インジウムスズ(ITO)、酸化亜鉛(ZnO)、酸化インジウム亜鉛(IZO)など透光性導電膜を抵抗加熱蒸着法で形成する。この金属層28は陽極29を形成するに当たり、有機化合物層27にダメージが及び素子特性が悪化するのを防いでいる。その後形成する保護膜24、パッシベーション膜25はを形成する。
【0166】
有機化合物層27を低分子有機化合物で形成する場合には、銅フタロシアニン(CuPc)と芳香族アミン系材料であるMTDATA及びα−NPDで形成される正孔注入輸送層、トリス−8−キノリノラトアルミニウム錯体(Alq)で形成される電子注入層兼発光層を積層させて形成することができる。Alqは一重項励起状態からの発光(蛍光)を可能としている。
【0167】
輝度を高めるには三重項励起状態からの発光(燐光)を利用することが好ましい。この場合には、有機化合物層27としてフタロシアニン系材料であるCuPcと芳香族アミン系材料であるα−NPDで形成される正孔注入輸送層上に、カルバゾール系のCBP+Ir(ppy)を用いて発光層を形成し、さらにバソキュプロイン(BCP)を用いて正孔ブロック層、Alqによる電子注入輸送層を積層させた構造とすることもできる。
【0168】
上記二つの構造は低分子系有機化合物を用いた例であるが、高分子系有機化合物と低分子系有機化合物を組み合わせた有機発光素子を実現することもできる。例えば、有機化合物層27として陽極側から、高分子系有機化合物のポリチオフェン誘導体(PEDOT)により正孔注入輸送層、α−NPDによる正孔注入輸送層、CBP+Ir(ppy)による発光層、BCPによる正孔ブロック層、Alqによる電子注入輸送層を積層させても良い。正孔注入層をPEDOTに変えることにより、正孔注入特性が改善され、発光効率を向上させることができる。
【0169】
いずれにしても、三重項励起状態かからの発光(燐光)は、一重項励起状態からの発光(蛍光)よりも発光効率が高く、同じ発光輝度を得るにも動作電圧(有機発光素子を発光させるに要する電圧)を低くすることが可能である。
【0170】
このように本発明を用いて有機発光素子を用いた表示パネルを作製することができる。また、ここでは例示しなかったが、液晶の電気光学特性を利用した表示パネルを作製することもできる。
【0171】
図44も、画素部902の一画素の構成を示す一例であり、TFT5801〜5803が備えられている。これらは、画素に備える発光素子や液晶素子を制御するそれぞれスイッチング用、リセット用、駆動用のTFTである。
【0172】
これらのTFTのチャネル形成領域を含む島状の半導体領域5812〜5814は、その下層に形成されている下地絶縁膜の開口5810、5811に合わせて形成されている。島状の半導体領域5812〜5814は実施の形態1〜7に基づいて形成することができる。島状の半導体領域5812〜5814の上層には、ゲート配線5815〜5817が形成され、パッシベーション膜及び平坦化膜を介して信号線5818、電源線5819、その他各種配線5820、5821及び画素電極5823が形成されている。
【0173】
このように、本発明は何ら影響を与えることなく表示パネルを完成させることができる。
【0174】
(実施の形態9)
本発明を用いて様々な装置を完成させることができる。その一例は、携帯情報端末(電子手帳、モバイルコンピュータ、携帯電話等)、ビデオカメラ、デジタルカメラ、パーソナルコンピュータ、テレビ受像器、投影型表示装置等が挙げられる。それらの一例を図28、図29に示す。
【0175】
図28(A)は本発明を適用してテレビ受像器を完成させる一例であり、筐体3001、支持台3002、表示部3003等により構成されている。本発明により作製されるTFTは表示部3003の他に、各種論理回路、高周波回路、メモリ、マイクロプロセッサ、メディアプロセッサ、グラフィクス用LSIなど様々な集積回路がガラス上に形成し組み込むことができ、本発明によりテレビ受像器を完成させることができる。
【0176】
図28(B)は本発明を適用してビデオカメラを完成させた一例であり、本体3011、表示部3012、音声入力部3013、操作スイッチ3014、バッテリー3015、受像部3016等により構成されている。本発明により作製されるTFTは表示部3012の他に、各種論理回路、高周波回路、メモリ、マイクロプロセッサ、メディアプロセッサ、グラフィクス用LSIなど様々な集積回路がガラス上に形成し組み込むことができ、本発明によりビデオカメラを完成させることができる。
【0177】
図28(C)は本発明を適用してノート型のパーソナルコンピュータを完成させた一例であり、本体3021、筐体3022、表示部3023、キーボード3024等により構成されている。本発明により作製されるTFTは表示部3023の他、各種論理回路、高周波回路、メモリ、マイクロプロセッサ、メディアプロセッサ、グラフィクス用LSI、暗号LSIなど様々な集積回路がガラス上に形成し組み込むことができ、本発明によりパーソナルコンピュータを完成させることができる。
【0178】
図28(D)は本発明を適用してPDA(Personal Digital Assistant)を完成させた一例であり、本体3031、スタイラス3032、表示部3033、操作ボタン3034、外部インターフェース3035等により構成されている。本発明により作製されるTFTは表示部3033の他、各種論理回路、高周波回路、メモリ、マイクロプロセッサ、メディアプロセッサ、グラフィクス用LSI、暗号LSIなど様々な集積回路がガラス上に形成し組み込むことができ、本発明によりPDAを完成させることができる。
【0179】
図28(E)は本発明を適用して音響再生装置を完成させた一例であり、具体的には車載用のオーディオ装置であり、本体3041、表示部3042、操作スイッチ3043、3044等により構成されている。本発明により作製されるTFTは表示部3042の他、各種論理回路、高周波回路、メモリ、マイクロプロセッサ、メディアプロセッサ、グラフィクス用LSI、増幅回路など様々な集積回路がガラス上に形成し組み込むことができ、本発明によりオーディオ装置を完成させることができる。
【0180】
図28(F)は本発明を適用してデジタルカメラを完成させた一例であり、本体3051、表示部(A)3052、接眼部3053、操作スイッチ3054、表示部(B)3055、バッテリー3056等により構成されている。本発明により作製されるTFTは表示部(A)3052および表示部(B)3055の他、各種論理回路、高周波回路、メモリ、マイクロプロセッサ、メディアプロセッサ、グラフィクス用LSI、暗号LSIなど様々な集積回路がガラス上に形成し組み込むことができ、本発明によりデジタルカメラを完成させることができる。
【0181】
図28(G)は本発明を適用して携帯電話を完成させた一例であり、本体3061、音声出力部3062、音声入力部3063、表示部3064、操作スイッチ3065、アンテナ3066等により構成されている。本発明により作製されるTFTは表示部3064の他、各種論理回路、高周波回路、メモリ、マイクロプロセッサ、メディアプロセッサ、グラフィクス用LSI、暗号LSI、携帯電話用LSIなど様々な集積回路がガラス上に形成し組み込むことができ、本発明により携帯電話を完成させることができる。
【0182】
図29(A)はフロント型プロジェクターであり、投射装置2601、スクリーン2602等を含む。図29(B)はリア型プロジェクターであり、本体2701、投射装置2702、ミラー2703、スクリーン2704等を含む。
【0183】
尚、図29(C)は、図29(A)及び図29(B)中における投射装置2601、2702の構造の一例を示した図である。投射装置2601、2702は、光源光学系2801、ミラー2802、2804〜2806、ダイクロイックミラー2803、プリズム2807、液晶表示装置2808、位相差板2809、投射光学系2810で構成される。投射光学系2810は、投射レンズを含む光学系で構成される。本実施例は三板式の例を示したが、特に限定されず、例えば単板式であってもよい。また、図29(C)中において矢印で示した光路に実施者が適宜、光学レンズや、偏光機能を有するフィルムや、位相差を調節するためのフィルム、IRフィルム等の光学系を設けてもよい。
【0184】
また、図29(D)は、図29(C)中における光源光学系2801の構造の一例を示した図である。本実施例では、光源光学系2801は、リフレクター2811、光源2812、レンズアレイ2813、2814、偏光変換素子2815、集光レンズ2816で構成される。なお、図29(D)に示した光源光学系は一例であって特に限定されない。例えば、光源光学系に実施者が適宜、光学レンズや、偏光機能を有するフィルムや、位相差を調節するフィルム、IRフィルム等の光学系を設けてもよい。
【0185】
尚、ここで示す装置はごく一例であり、これらの用途に限定するものではない。
【0186】
(実施の形態10)
本実施の形態では、図1に示した第2絶縁膜202を形成するにあたって、ガラス基板をエッチングストッパーとして用い、第2絶縁膜202上に第1絶縁膜201に相当する絶縁膜を形成する例を示す。
【0187】
図30(A)において、まずガラス基板601上に酸化珪素又は酸化窒化珪素で10〜3000nm、好ましくは100〜2000nmの厚さで所定の形状で開口部が形成された第2絶縁膜602を形成する。詳細は、実施の形態1と同様である。開口部の形成は、ウェットエッチングでもドライエッチングでも良いが、本実施例では、CHFガスを用いたドライエッチングを用いる。この場合、ガス流量は30〜40sccm、反応圧力は2.7〜4.0KPa、印加電力は500W、基板温度は20℃とすれば良い。
【0188】
また、本実施の形態の場合、ガラス基板601としては、酸化珪素膜との選択比の高い材質(例えば、コーニング社製1737ガラス基板等)を用いることが好ましい。選択比が高ければ第2絶縁膜602の形成にあたって、ガラス基板601をそのままエッチングストッパーとして用いることが可能だからである。
【0189】
そして、第2絶縁膜602を形成したら、その上を窒化珪素、窒素含有量が酸素含有量よりも大きな酸化窒化珪素またはこれらの積層でなる第1絶縁膜603で覆い、さらにその上に非晶質半導体膜604を形成して、図30(B)の状態を得る。これら第1絶縁膜603及び非晶質半導体膜604の詳細については、実施の形態1の記載を参照すれば良い。また、図30(B)以降の工程は、実施の形態1に従えば良いのでここでの説明は省略する。
【0190】
本実施の形態によれば、ガラス基板601と第2絶縁膜602との選択比を十分高く確保することが可能であるため、第2絶縁膜602の開口部を形成する際のプロセスマージンが向上する。また、第2絶縁膜602の下端部におけるえぐれ等の問題も起こることがない。さらに、第2絶縁膜602を設けない部分は、ガラス基板上に窒化珪素膜、窒素含有量が酸素含有量よりも大きな酸化窒化珪素またはこれらの積層膜という構成となるため、窒化アルミニウム等の特殊な絶縁膜を用いる必要がない。
【0191】
なお、本実施の形態は、実施の形態1〜9のいずれの構成とも自由に組み合わせて実施することが可能である。
【0192】
【実施例】
以下、本発明の実施例について説明する。
【0193】
(実施例1)
本実施例は、開口部を有する下地絶縁膜上に結晶性半導体膜を形成し、その開口部に充填された充填領域にチャネル形成領域が配設されるTFTを作製する一例を示す。
【0194】
図36において、ガラス基板5601上に100nmの酸化窒化珪素膜でなる第1絶縁膜5602を形成する。その上に酸化珪素膜を形成し、写真蝕刻により矩形状のパターンを有する第2絶縁膜5603を形成する。酸化珪素膜はプラズマCVD法でTEOSとOとを混合し、反応圧力40Pa、基板温度400℃とし、高周波(13.56MHz)電力密度0.6W/cmで放電させ150nmの厚さに堆積し、その後エッチングにより開口部5604a、5604bを形成する。
【0195】
尚、図36において(A)は上面図、(B)はA−A’線に対応する縦断面図、(C)はB−B’線に対応する縦断面図を示す。以降、図37〜図41は同様の扱いとする。
【0196】
そして図37で示すように第1絶縁膜5602及び第2絶縁膜5603を覆う非晶質珪素膜5605を150nmの厚さで形成する。非晶質珪素膜5605はプラズマCVD法でSiHを原料気体として用い形成する。
【0197】
そして、図38で示すように連続発振レーザー光を照射して結晶化させる。結晶化の条件は、連続発振モードのYVOレーザー発振器を用い、その第2高調波(波長532nm)の出力5.5Wを長手方向に400μm、短手方向に50〜100μmに光学系にて長手方向に均一なエネルギー密度分布を有するように集光し、50cm/secの速度で走査して結晶化させる。均一なエネルギー密度分布とは、完全に一定であるもの以外を排除することではなく、エネルギー密度分布において許容される範囲は±5%である。このようなレーザー光の照射は、図35で示す構成のレーザー照射装置を適用することができる。光学系にて集光したレーザー光は、その強度分布が長手方向において均一な領域を有し、短手方向に分布を持っていても良い。結晶化はこの強度分布が長手方向において均一な領域で成されるようにし、これによりレーザー光の走査方向と平行な方向に結晶成長する効力を高めることができる。
【0198】
この条件でレーザー光を照射することにより、非晶質珪素膜は瞬間的に溶融し溶融帯が移動しながら結晶化が進行する。溶融した珪素は表面張力が働いて開口部(凹部)に凝集し固化する。これにより、開口部5604a、5604bを充填する形態で結晶性半導体膜5606が形成される。
【0199】
その後図39に示すように、少なくとも開口部5604a、5604bに結晶性半導体膜が残存するようにマスクパターンを形成してエッチング処理を施し、チャネル形成領域を含む島状の半導体領域5607、5608を形成する。
【0200】
図40は、半導体領域5607、5608の上層側にゲート絶縁膜5609、ゲート電極5610、5611が形成された状態を示している。ゲート絶縁膜は80nmの酸化珪素膜をプラズマCVD法で形成すれば良い。ゲート電極5610、5611はタングステン又はタングステンを含有する合金で形成する。このような構造とすることにより、開口部5604a、5604bを充填する島状の半導体領域にチャネル形成領域を設けることができる。
【0201】
以降、ソース及びドレイン領域、低濃度ドレイン領域等を適宜形成すればTFTを完成させることができる。
【0202】
(実施例2)
実施例1と同様な工程で形成されるものであるが、図41で示すように、第2絶縁膜5603に形成する開口部の形状を、細長い短冊状の領域とそれと連接する領域とで形成し、その開口部5604cに合わせて結晶性半導体膜で成る島状の半導体領域5620を形成し、ゲート絶縁膜5621、ゲート電極5622を形成することにより、マルチチャネルTFTを形成することができる。
【0203】
(実施例3)
実施例2において、第2絶縁膜5603を非晶質半導体膜の厚さよりも厚く形成し、例えば350nmで形成することで、図42に示すように、結晶性半導体膜で形成される島状の半導体領域5620を開口部5604dに完全に埋め込むことができる。そして、ゲート絶縁膜5621及びゲート電極5622を同様に形成すればマルチチャネルTFTを形成することができる。
【0204】
(実施例4)
図43はマルチチャネルTFTの他の一例を示している。基板5601上に第1絶縁膜5602、第2絶縁膜5603、島状の半導体領域5630、ゲート絶縁膜5631、ゲート電極5632は実施例1乃至3と同様に形成するものである。図43において異なる部分は、第2絶縁膜5603で形成される開口部5604eの他に、島状の半導体領域5630が形成された後において、チャネル形成領域が形成される当該半導体領域の周辺の第2絶縁膜を除去して第2の開口部5625を形成している点にある。
【0205】
チャネル形成領域付近の形態を図43(D)に拡大図として示すが、島状の半導体領域5630の側面及び上面に接してゲート絶縁膜5631が形成され、それを覆う形でゲート電極5632が形成されることになり、この場合チャネル形成領域は半導体領域5630の上部5634と側面部5635の両方に形成されることになる。これにより空乏化領域を増やすことができ、TFTの電流駆動能力を向上させることができる。
【0206】
(実施例5)
本実施例では、本発明の半導体装置に用いられる、互いに分離した複数のチャネル形成領域を有する、所謂マルチチャネルTFTの作製工程について述べる。
【0207】
まず図49(A)に示すように、基板上に凸部6124を有する下地膜6120を形成する。なお、図49(A)のA−A’における断面図を図49(B)に示し、図49(A)のB−B’における断面図を図49(C)に示す。
【0208】
本実施例では、実施の形態において示したのと同じ構成を有する下地膜6120を用いる。下地膜6120は、3つの下地膜からなり、まず窒化珪素からなる第1の下地膜6121上に、矩形状の酸化珪素から成る第2の下地膜6122が形成されており、第1及び第2の下地膜6121、6122を覆うように、酸化珪素からなる第3の下地膜6123が形成されている。本実施例では凸部6124が、矩形状の第2の絶縁膜6122と、第3の絶縁膜6123のうち第1の絶縁膜6121ではなく第2の絶縁膜6122に接している部分と、で構成されている。
【0209】
なお、凸部6124の形状及びそのサイズついては、設計者が適宜設定することができるが、後に形成される半導体膜が凸部のエッジ近傍において膜切れを起こさない程度の厚さに設定する必要がある。本実施例では凸部の高さを0.1〜1μm程度にする。
【0210】
なお、基板の歪がそのまま後に形成される下地膜6120の形状に影響を与えることになる。下地膜の歪は後に形成される半導体膜の結晶性の均一性を乱す原因になるので、基板の表面を、その歪の差が10nm以下に抑えられるように化学的機械的研磨法(CMP法)を用いて研磨したり、後の工程における加熱処理により基板が歪まないように、下地膜を形成する前に予め基板に加熱処理を施しておくと良い。
【0211】
そして、下地膜6120を覆って非単結晶半導体膜6125を形成する。非単結晶半導体膜6125は、公知の手段(スパッタ法、LPCVD法、プラズマCVD法等)により成膜することができる。本実施例ではプラズマCVD法により300nmの非単結晶半導体膜6125を成膜した。
【0212】
次に、図50(A)に示すように、非単結晶半導体膜6125にレーザー光を照射し、結晶化を行なう。なお、図50(B)は、図50(A)の破線A−A’における断面図に相当する。本実施例では連続発振のYVOレーザーを用い、50cm/secの走査速度で照射を行った。このとき、レーザー光の走査方向は、後に形成されるチャネル形成領域においてキャリアが移動する方向と同じ方向に揃える。本実施例では、白抜きの矢印で示したように、走査方向を矩形の凸部6124の長手方向に揃えてレーザー光を照射した。レーザー光の照射により、非単結晶半導体膜6125は溶融し、凸部上から凹部上に体積が移動し、結晶性半導体膜6126が形成される。
【0213】
次に、図51(A)に示すように結晶性半導体膜6126をパターニングすることで、サブアイランド6127を形成する。なお、図51(B)は、図51(A)の破線A−A’における断面図に相当する。サブアイランド6127は、凸部6124間に形成される凹部上にその一部が存在する。目的とするマルチチャネルTFTのチャネル形成領域は、結晶性半導体膜6126の凹部上に位置する部分を用いて形成されるので、そのチャネル形成領域の数、チャネル長、チャネル幅を考慮して、サブアイランド6127と凸部6124との位置関係を定めることが肝要である。
【0214】
次に、図52(A)に示すようにサブアイランド6127を上面から、第3の下地膜6123の凸部6124の上面を露出させる程度に除去することで、アイランド6128を形成する。なお、図52(B)は、図52(A)の破線A−A’における断面図に相当する。サブアイランド6127の上面からの除去は、どのような方法を用いて行っても良く、例えばエッチングにより行っても良いし、CMP法により行っても良い。
【0215】
このサブアイランド6127の上面からの除去により、凸部6124上の粒界が存在する部分が除去され、凸部6124間に相当する凹部の上には、粒界が殆ど存在しておらず、後にチャネル形成領域となる部分において結晶性の良い半導体膜が残される。そして、図52(A)、(B)に示すような、チャネル形成領域の部分のみ分離したスリット状のアイランド6128が形成される。なお、ソース領域またはドレイン領域となる部分はチャネル形成領域ほど半導体膜の結晶性によるTFTの特性への影響が大きくない。そのため、ソース領域またはドレイン領域となる部分が、チャネル形成領域となる部分に比べて結晶性が芳しくなくても然程問題にはならない。
【0216】
次に、図53(A)に示すように、下地膜6120の凸部6124の一部を除去し、アイランド6128のチャネル形成領域となる部分を露出する。なお、図53(B)は、図53(A)の破線A−A’における断面図に相当する。この凸部6124の除去は、ドライエッチングでもウェットエッチングでも良く、その他の方法を用いていても良い。エッチングに際し、アイランド6128の一部が除去されることもあり得る。
【0217】
なお、凸部6124が完全に除去されずに、チャネル形成領域と一部が接する状態で残っていても良い。図59(A)に、チャネル形成領域6130の側面が一部第3の下地膜6123に覆われている程度に、第2の下地膜6122及び第3の下地膜6123が残っている状態を示す。また、下地膜6120のうち、凸部6124以外の部分も多少エッチングされることも有り得る。図59(B)に、第1の下地膜6121が一部エッチングされている状態を示す。
【0218】
また、凸部6124のうち、後に形成されるチャネル形成領域となる部分と接していない部分も除去するようにしても良いし、凸部6124を全て除去するようにしても良い。本実施例では、凸部6124を一部除去することで、第4の下地膜6129が形成される。
【0219】
次に、図54(A)に示すように、アイランド6128を用いてTFTを作製する。なお、TFTの構造及びその作製方法は様々である。図54(B)は、図54(A)の破線A−A’における断面図に相当し、図54(C)は、図54(A)の破線B−B’における断面図に相当し、図55(A)は、図54(A)の破線C−C’における断面図に相当し、図55(B)は、図54(A)の破線D−D’における断面図に相当する。
【0220】
アイランド6128が有するチャネル形成領域6130は、ゲート絶縁膜6131を間に挟んでゲート電極6132と重なっている。またチャネル形成領域6130は、同じくアイランド6128が有する2つの不純物領域6133に挟まれている。なお、2つの不純物領域6133はソース領域又はドレイン領域として機能する。
【0221】
そして、アイランド6128、ゲート絶縁膜6131及びゲート電極6132を覆って、第1層間絶縁膜6134が形成されている。そして第1層間絶縁膜6134を覆って、第2層間絶縁膜6135が形成されている。なお、第1層間絶縁膜6134は無機の絶縁膜であり、第2層間絶縁膜6135が有する炭素等の不純物がアイランド6128に入るのを防ぐことができる。また第2層間絶縁膜6135は有機樹脂膜であり、後に形成される配線が断線されないように、表面を平坦化する効果がある。
【0222】
そして、ゲート絶縁膜6131、第1層間絶縁膜6134及び第2層間絶縁膜6135に形成されたコンタクトホールを介して、不純物領域6133に接続された配線6136が、第2層間絶縁膜6135上に形成されている。
【0223】
上記作製工程によって、互いに分離した複数のチャネル形成領域を有するTFTが完成する。このような構成にすることで、チャネル形成領域のうち、ゲート絶縁膜を間に挟んでゲート電極と重なっている領域を広く取ることができるので、チャネル幅を長くすることができる。チャネル幅を長くすることでオン電流を確保しつつ、TFTを駆動させることで発生した熱を効率的に放熱することができる。
【0224】
なお本発明において、TFTの構造は図53に示したものに限定されない。また、チャネル形成領域の数は4つに限定されず、1つまたは4以外の複数のチャネル形成領域を有していても良い。
【0225】
また、TFTの構造は上記構成に限定されず、例えば、図56に示すような構成を有していても良い。図56(A)に示すTFTは、2層の導電膜6140、6141からなるゲート電極を有している。該導電膜6140の上面及び導電膜6141の側面に接するように、絶縁膜からなるサイドウォール6142が形成されている。例えば導電膜6140としてTaN、導電膜6141としてWを用い、サイドウォール6142としてSiOなどを用いることができる。図56(B)に示すTFTは、2層の導電膜6144、6145からなるゲート電極を有している。該導電膜6144は不純物領域の一部と重なっている。
【0226】
なお、上記工程において、レーザー光の照射後または結晶質珪素膜を下地膜の凸部が露出する程度にエッチングした後において、500〜600℃で1分から60分程度加熱することで、半導体膜内において生じている応力を緩和することができる。
【0227】
本発明では、絶縁膜の凹部上に位置する半導体膜を、TFTの活性層として積極的に用いることで、TFTのチャネル形成領域に粒界が形成されるのを防ぐことができ、粒界によってTFTの移動度が著しく低下したり、オン電流が低減したり、オフ電流が増加したりするのを防ぐことができる。
【0228】
(実施例6)
本実施例では、実施例5とは工程順序が異なる、アイランドの作製方法について説明する。なお、各工程の詳しい説明については、実施例5を参照する。
【0229】
図57(A)に示すように、まず矩形状の凸部6301を有する下地膜を形成し、該下地膜上に非単結晶半導体膜6302を形成する。次に、該非単結晶半導体膜6302にレーザー光を照射し、結晶性半導体膜6303を形成する(図57(B))。
【0230】
次に、結晶性半導体膜6303を、凸部6301の上面が露出する程度まで、その表面から一部を除去していく。なお、本実施例ではエッチングを用いて除去を行い、除去後の結晶性半導体膜をここでは結晶性半導体膜(エッチング後)6304とする(図57(C))。
【0231】
次に、結晶性半導体膜(エッチング後)6304をパターニングし、アイランド6305を形成する(図57(D))。そして、アイランド6305のチャネル形成領域となる部分を露出するように、凸部6301の一部または全てを除去する。なお、本実施例ではエッチングを用いて凸部6301の一部のみを除去し、凸部(エッチング後)6306を形成した(図57(E))。
【0232】
なお、上記工程において、レーザー光の照射後、結晶性半導体膜を下地膜の凸部が露出する程度にエッチングした後またはアイランドを形成した後において、500〜600℃で1分から60分程度加熱することで、半導体膜内において生じている応力を緩和することができる。
【0233】
上記工程によって、アイランドを形成する前に、結晶性半導体膜を下地膜の凸部が露出する程度にエッチングすることで、アイランドの端部及び側面が一部エッチングによって除去されてしまうのを防ぐことができる。
【0234】
(実施例7)
本実施例では、実施例5、6とは工程順序が異なる、アイランドの作製方法について説明する。なお、各工程の詳しい説明については、実施例5を参照する。
【0235】
図58(A)に示すように、まず矩形状の凸部6311を有する下地膜を形成し、該下地膜上に非単結晶半導体膜6312を形成する。
【0236】
次に、非単結晶半導体膜6312をパターニングし、サブアイランド6313を形成する(図58(B))。
【0237】
次に、該サブアイランド6313にレーザー光を照射し、結晶化させる。本実施例では結晶化後のサブアイランドをサブアイランド(結晶化後)6314とする(図58(C))。
【0238】
次に、サブアイランド(結晶化後)6314を、凸部6311の上面が露出する程度まで、その表面から一部を除去していく。なお、本実施例ではエッチングを用いて除去を行い、アイランド6315を形成する(図58(D))。
【0239】
そして、アイランド6315のチャネル形成領域となる部分を露出するように、凸部6311の一部または全てを除去する。なお、本実施例ではエッチングを用いて凸部6311の一部のみを除去し、凸部(エッチング後)6316を形成した(図58(E))。
【0240】
なお、上記工程において、レーザー光の照射後またはアイランドを形成した後において、500〜600℃で1分から60分程度加熱することで、半導体膜内において生じている応力を緩和することができる。
【0241】
(実施例8)
本実施例では、複数の凸部を用いて、マルチチャネルTFTと、チャネル形成領域を1つだけ有するシングルチャネルTFTとを形成する例について説明する。
【0242】
図60(A)に、矩形状の複数の凸部6330を有する下地膜を示す。該下地膜上に形成されたアイランドを用いたTFTを、図60(B)に示す。図60(B)では、4つのチャネル形成領域を有するマルチチャネルTFT6331と、2つのチャネル形成領域を有するマルチチャネルTFT6332と、シングルチャネル型TFT6333とを有している。
【0243】
各TFTは、チャネル形成領域が凸部6330間に位置する凹部上に形成されている。より好ましくは、チャネル形成領域と、LDD領域とが凸部6330間に位置する凹部上に形成されていることが望ましい。
【0244】
本実施例は、実施例5〜7と組み合わせて実施することが可能である。
【0245】
(実施例9)
本実施例では、半導体膜の結晶化に際し、レーザー光の照射の工程と、触媒を用いて半導体膜を結晶化させる工程とを組み合わせた例について説明する。触媒元素を用いる場合、特開平7−130652号公報、特開平8−78329号公報で開示された技術を用いることが望ましい。
【0246】
まず図61(A)に示すように、凸部6350を有する下地膜6351上に、非単結晶半導体膜6352を成膜する。次に触媒元素を用いて非単結晶半導体膜6352を結晶化させる(図61(B))。例えば特開平7−130652号公報に開示されている技術を用いる場合、重量換算で10ppmのニッケルを含む酢酸ニッケル塩溶液を非単結晶半導体膜6352に塗布してニッケル含有層6353を形成し、500℃、1時間の脱水素工程の後、500〜650℃で4〜12時間、例えば550℃、8時間の熱処理を行い、結晶性が高められた結晶性半導体膜6354を形成する。尚、使用可能な触媒元素は、ニッケル(Ni)の以外にも、ゲルマニウム(Ge)、鉄(Fe)、パラジウム(Pd)、スズ(Sn)、鉛(Pb)、コバルト(Co)、白金(Pt)、銅(Cu)、金(Au)、といった元素を用いても良い。
【0247】
そして、レーザー光照射により、NiSPCにより結晶化された結晶性半導体膜(NiSPC後)6354から、結晶性がさらに高められた結晶性半導体膜(LC後)6355が形成される(図61(C))。結晶性半導体膜(LC後)6355は、レーザー光の照射の際に一次的に溶融し、凸部6350の上部から凹部に向かって体積移動し、表面が平坦化される。そして、凸部6350上においてその膜厚が薄くなっており、応力によって粒界6356ができやすくなっている。
【0248】
次に、結晶性半導体膜(LC後)6355中の触媒元素をゲッタリングする工程について説明する。なお本実施例ではゲッタリングをレーザー光の照射後に行なっているが、結晶性半導体膜(LC後)6355をエッチングしてから行っても良い。
【0249】
結晶性半導体膜(LC後)6355に珪素を主成分とするバリア層6357を形成する(図61(D))。なお、このバリア層6357は極薄いものでよく、自然酸化膜であってもよいし、酸素を含む雰囲気下において紫外線の照射によりオゾンを発生させて酸化させる酸化膜であってもよい。また、このバリア層6357として、炭素、即ち有機物の除去のために行われるヒドロ洗浄と呼ばれる表面処理に使用するオゾンを含む溶液で酸化させた酸化膜であってもよい。このバリア層6357は、主にエッチングストッパーとして用いるものである。また、このバリア層6357を形成した後、チャネルドープを行い、その後、強光を照射して活性化させてもよい。
【0250】
次いで、バリア層6357上にゲッタリング用の第1半導体膜6358を形成する。このゲッタリング用の第1半導体膜6358は非晶質構造を有する半導体膜であってもよいし、結晶構造を有する半導体膜であってもよい。このゲッタリング用の第1半導体膜6358の膜厚は、5〜50nm、好ましくは10〜20nmとする。ゲッタリング用の第1半導体膜6358には、酸素(SIMS分析での濃度が5×1018atoms/cm以上、好ましくは1×1019atoms/cm以上)を含有させてゲッタリング効率を向上させることが望ましい。
【0251】
次に、ゲッタリング用の第1半導体膜6358上に希ガス元素を含む第2の半導体膜(ゲッタリングサイト)6359を形成する。このゲッタリング用の第2半導体膜6359はプラズマCVD法、減圧熱CVD法、またはスパッタ法を用いた非晶質構造を有する半導体膜であってもよいし、結晶構造を有する半導体膜であってもよい。第2の半導体膜は、成膜段階で希ガス元素を含む半導体膜であってもよいし、希ガス元素を含んでいない半導体膜の成膜後に希ガス元素を添加してもよい。本実施例では成膜段階で希ガス元素を含むゲッタリング用の第2半導体膜6359を形成した後、さらに希ガス元素を選択的に添加してゲッタリング用の第2半導体膜6359を形成した例を示した。また、ゲッタリング用の第1半導体膜と第2半導体膜とを大気に触れることなく連続的に成膜してもよい。また、第1の半導体膜の膜厚と第2の半導体膜の膜厚との和は30〜200nm、例えば50nmとすればよい。
【0252】
本実施例は、ゲッタリング用の第1半導体膜6358によって、結晶性半導体膜(LC後)6355と第2の半導体膜6359との間隔を空けている。ゲッタリングの際、結晶性半導体膜(LC後)6355中に存在する金属等の不純物元素は、ゲッタリングサイトの境界付近に集まりやすい傾向があるため、本実施例のようにゲッタリング用の第1半導体膜6358によって、ゲッタリングサイトの境界を結晶性半導体膜(LC後)6355から遠ざけてゲッタリング効率を向上させることが望ましい。加えて、ゲッタリング用の第1半導体膜6358は、ゲッタリングの際、ゲッタリングサイトに含まれる不純物元素が拡散して第1の半導体膜の界面に達することがないようにブロッキングする効果も有している。また、ゲッタリング用の第1半導体膜6358は、希ガス元素を添加する場合、結晶性半導体膜(LC後)6355にダメージを与えないように保護する効果も有している。
【0253】
次いで、ゲッタリングを行う。ゲッタリングを行う工程としては、窒素雰囲気中で450〜800℃、1〜24時間、例えば550℃にて14時間の熱処理を行えばよい。また、熱処理に代えて強光を照射してもよい。また、熱処理に加えて強光を照射してもよい。また、加熱したガスを噴射して基板を加熱するようにしても良い。この場合、600℃〜800℃、より望ましくは650℃〜750℃で1〜60分加熱を行えば良く。時間を短縮化することができる。このゲッタリングにより、図61(D)中の矢印に示したように第2半導体膜6359に不純物元素が移動し、バリア層6357で覆われた結晶性半導体膜(LC後)6355に含まれる不純物元素の除去、または不純物元素の濃度の低減が行われる。このゲッタリングにより、含まれる不純物元素がほとんど存在しない、即ち膜中の不純物元素濃度が1×1018atoms/cm以下、望ましくは1×1017atoms/cm以下になるような結晶性半導体膜(ゲッタリング後)6360が形成される。
【0254】
次いで、バリア層6357をエッチングストッパーとして、ゲッタリング用の第1半導体膜6358と、第2の半導体膜6359を選択的に除去する。
【0255】
そしてバリア層6357をエッチング条件を変えて除去した後、図61(E)に示すように、凸部6350の上面を露出させる程度に結晶性半導体膜(ゲッタリング後)6360をエッチングし、エッチング後の結晶性半導体膜6361が凹部に形成される。そして、凸部6350をエッチングすることでアイランド6362が形成される。
【0256】
なお、結晶化前の半導体膜に触媒元素を含む溶液を塗布した後に、SPCではなく、レーザー光の照射により結晶成長を行うようにしても良い。またゲッタリングは、特開平10−135468号公報または特開平10−135469号公報等に記載された技術を用いても良い。
【0257】
なお本実施例ではレーザー光を照射した後にゲッタリングを行っているが、本発明はこの構成に限定されない。図61(E)のエッチングを行った後にゲッタリングをするようにしても良い。
【0258】
本実施例は、実施例1〜4と組み合わせて実施することが可能である。
【0259】
(実施例10)
次に、本発明において用いられるレーザー照射装置の構成について、図64を用いて説明する。6151はレーザー発振装置である。図64では4つのレーザー発振装置を用いているが、レーザー照射装置が有するレーザー発振装置はこの数に限定されない。
【0260】
なお、レーザー発振装置6151は、チラー6152を用いてその温度を一定に保つようにしても良い。チラー6152は必ずしも設ける必要はないが、レーザー発振装置6151の温度を一定に保つことで、出力されるレーザー光のエネルギーが温度によってばらつくのを抑えることができる。
【0261】
また6154は光学系であり、レーザー発振装置6151から出力された光路を変更したり、そのレーザービームの形状を加工したりして、レーザー光を集光することができる。さらに、図64のレーザー照射装置では、光学系6154によって、複数のレーザー発振装置6151から出力されたレーザー光のレーザービームを互いに一部を重ね合わせることで、合成することができる。
【0262】
なお、レーザー光の進行方向を極短時間で変化させるAO変調器6153を、被処理物である基板6156とレーザー発振装置6151との間の光路に設けても良い。また、AO変調器の代わりに、アテニュエイター(光量調整フィルタ)を設けて、レーザー光のエネルギー密度を調整するようにしても良い。
【0263】
また、被処理物である基板6156とレーザー発振装置6151との間の光路に、レーザー発振装置6151から出力されたレーザー光のエネルギー密度を測定する手段(エネルギー密度測定手段)6165を設け、測定したエネルギー密度の経時変化をコンピューター6160において監視するようにしても良い。この場合、レーザー光のエネルギー密度の減衰を補うように、レーザー発振装置6151からの出力を高めるようにしても良い。
【0264】
合成されたレーザービームは、スリット6155を介して被処理物である基板6156に照射される。スリット6155は、レーザー光を遮ることが可能であり、なおかつレーザー光によって変形または損傷しないような材質で形成するのが望ましい。そして、スリット6155はスリットの幅が可変であり、該スリットの幅によってレーザービームの幅を変更することができる。
【0265】
なお、スリット6155を介さない場合の、レーザー発振装置6151から発振されるレーザー光の基板6156におけるレーザービームの形状は、レーザーの種類によって異なり、また光学系により成形することもできる。
【0266】
基板6156はステージ6157上に載置されている。図64では、位置制御手段6158、6159が、被処理物におけるレーザービームの位置を制御する手段に相当しており、ステージ6157の位置が、位置制御手段6158、6159によって制御されている。
【0267】
図64では、位置制御手段6158がX方向におけるステージ6157の位置の制御を行っており、位置制御手段6159はY方向におけるステージ6157の位置制御を行う。
【0268】
また図64のレーザー照射装置は、メモリ等の記憶手段及び中央演算処理装置を兼ね備えたコンピューター6160を有している。コンピューター6160は、レーザー発振装置6151の発振を制御し、レーザー光の走査経路を定め、なおかつレーザー光のレーザービームが定められた走査経路にしたがって走査されるように、位置制御手段6158、6159を制御し、基板を所定の位置に移動させることができる。
【0269】
なお図64では、レーザービームの位置を、基板を移動させることで制御しているが、ガルバノミラー等の光学系を用いて移動させるようにしても良いし、その両方であってもよい。
【0270】
さらに図64では、コンピューター6160によって、該スリット6155の幅を制御し、マスクのパターン情報に従ってレーザービームの幅を変更することができる。なおスリットは必ずしも設ける必要はない。
【0271】
さらにレーザー照射装置は、被処理物の温度を調節する手段を備えていても良い。また、レーザー光は指向性およびエネルギー密度の高い光であるため、ダンパーを設けて、反射光が不適切な箇所に照射されるのを防ぐようにしても良い。ダンパーは、反射光を吸収させる性質を有していることが望ましく、ダンパー内に冷却水を循環させておき、反射光の吸収により隔壁の温度が上昇するのを防ぐようにしても良い。また、ステージ6157に基板を加熱するための手段(基板加熱手段)を設けるようにしても良い。
【0272】
なお、マーカーをレーザーで形成する場合、マーカー用のレーザー発振装置を設けるようにしても良い。この場合、マーカー用のレーザー発振装置の発振を、コンピューター6160において制御するようにしても良い。さらにマーカー用のレーザー発振装置を設ける場合、マーカー用のレーザー発振装置から出力されたレーザー光を集光するための光学系を別途設ける。なおマーカーを形成する際に用いるレーザーは、代表的にはYAGレーザー、COレーザー等が挙げられるが、無論この他のレーザーを用いて形成することは可能である。
【0273】
またマーカーを用いた位置合わせのために、CCDカメラ6163を1台、場合によっては数台設けるようにしても良い。なおCCDカメラとは、CCD(電荷結合素子)を撮像素子として用いたカメラを意味する。
【0274】
なお、マーカーを設けずに、CCDカメラ6163によって絶縁膜または半導体膜のパターンを認識し、基板の位置合わせを行うようにしても良い。この場合、コンピューター6160に入力されたマスクによる絶縁膜または半導体膜のパターン情報と、CCDカメラ6163において収集された実際の絶縁膜または半導体膜のパターン情報とを照らし合わせて、基板の位置情報を把握することができる。この場合マーカーを別途設ける必要がない。
【0275】
なお必ずしもCCDを用いて形状を把握するのではなく、例えばレーザーダイオードから発せられるレーザー光を絶縁膜または半導体膜に照射し、反射してきた光をモニターすることで、形状を把握するようにしても良い。
【0276】
また、基板に入射したレーザー光は該基板の表面で反射し、入射したときと同じ光路を戻る、いわゆる戻り光となるが、該戻り光はレーザの出力や周波数の変動や、ロッドの破壊などの悪影響を及ぼす。そのため、前記戻り光を取り除きレーザの発振を安定させるため、アイソレータを設置するようにしても良い。
【0277】
なお、図64では、レーザー発振装置を複数台設けたレーザー照射装置の構成について示したが、レーザー発振装置は1台であってもよい。図65にレーザー発振装置が1台の、レーザー照射装置の構成を示す。図65において、6201はレーザー発振装置、6202はチラーである。また6215はエネルギー密度測定装置、6203はAO変調器、6204は光学系、6205はスリット、6213はCCDカメラである。基板6206はステージ6207上に設置し、ステージ6207の位置はX方向位置制御手段6208、Y方向位置制御手段6209によって制御されている。そして図64に示したものと同様に、コンピューター6210によって、レーザー照射装置が有する各手段の動作が制御されており、図64と異なるのはレーザー発振装置が1つであることである。また光学系6204は図64の場合と異なり、1つのレーザー光を集光する機能を有していれば良い。
【0278】
なお、半導体膜全体にレーザー光を走査して照射するのではなく、少なくとも必要不可欠な部分を最低限結晶化できるようにレーザー光を走査することで、半導体膜を結晶化させた後パターニングにより除去される部分にレーザー光を照射する時間を省くことができ、基板1枚あたりにかかる処理時間を大幅に短縮することができる。
【0279】
本実施例は、実施例5〜9と組み合わせて実施することが可能である。
【0280】
(実施例11)
本実施例では、凹凸を有する下地膜の形成の仕方について説明する。
【0281】
まず、図62(A)に示すように、基板6250上に絶縁膜からなる第1の下地膜6251を成膜する。第1の下地膜6251は本実施例では酸化窒化珪素を用いるがこれに限定されず、第2の下地膜とエッチングにおける選択比が大きい絶縁膜であれば良い。本実施例では第1の下地膜6251をCVD装置でSiHとNOを用いて50〜200nmの厚さになるように形成した。なお第1の下地膜は単層であっても、複数の絶縁膜を積層した構造であってもよい。
【0282】
次に、図62(B)に示すように、第1の下地膜6251に接するように絶縁膜からなる第2の下地膜6252を形成する。第2の下地膜6252は後の工程においてパターニングし、凹凸を形成したときに、その後に成膜される半導体膜の表面に凹凸が現れる程度の膜厚にする必要がある。本実施例では第2の下地膜6252として、プラズマCVD法を用いて30nm〜300nmの酸化珪素を形成する。
【0283】
次に、図62(C)に示すようにマスク6253を形成し、第2の下地膜6252をエッチングする。なお本実施例では、フッ化水素アンモニウム(NHHF)を7.13%とフッ化アンモニウム(NHF)を15.4%含む混合溶液(ステラケミファ社製、商品名LAL500)をエッチャントとし、20℃においてウエットエッチングを行う。このエッチングにより、矩形状の凸部6254が形成される。本明細書では、第1の下地膜6251と凸部6254とを合わせて1つの下地膜とみなす。
【0284】
なお、第1の下地膜6251として窒化アルミニウム、窒化酸化アルミニウムまたは窒化珪素を用い、第2の下地膜6252として酸化珪素膜を用いる場合、RFスパッタ法を用いて第2の下地膜6252をパターニングすることが望ましい。第1の下地膜6251として窒化アルミニウム、窒化酸化アルミニウムまたは窒化珪素は熱伝導度が高いので、発生した熱をすばやく拡散することができ、TFTの劣化を防ぐことができる。
【0285】
次に、第1の下地膜6251と凸部6254を覆うように半導体膜を形成する。本実施例では凸部の厚さが30nm〜300nmであるので、半導体膜の膜厚を50〜200nmとするのが望ましく、ここでは60nmとする。なお、半導体膜と下地膜との間に不純物が混入すると、半導体膜の結晶性に悪影響を与え、作製するTFTの特性バラツキやしきい値電圧の変動を増大させる可能性があるため、下地膜と半導体膜とは連続して成膜するのが望ましい。そこで本実施例では、第1の下地膜6251と凸部6254とからなる下地膜を形成した後は、酸化珪素膜6255を薄く該下地膜上に成膜し、その後大気にさらさないように連続して半導体膜6256を成膜する。酸化珪素膜の厚さは設計者が適宜設定することができるが、本実施例では5nm〜30nm程度とした。
【0286】
次に、図62とは異なる下地膜の形成の仕方について説明する。まず図63(A)に示すように基板6260上に絶縁膜からなる第1の下地膜を形成する。第1の下地膜は、酸化珪素膜、窒化珪素膜、酸化窒化珪素膜などで形成する。
【0287】
酸化珪素膜を用いる場合には、プラズマCVD法で、オルトケイ酸テトラエチル(Tetraethyl Orthosilicate:TEOS)とOとを混合し、反応圧力40Pa、基板温度300〜400℃とし、高周波(13.56MHz)電力密度0.5〜0.8W/cmで放電させて形成することができる。酸化窒化珪素膜を用いる場合には、プラズマCVD法でSiH、NO、NHから作製される酸化窒化珪素膜、またはSiH、NOから作製される酸化窒化珪素膜で形成すれば良い。この場合の作製条件は反応圧力20〜200Pa、基板温度300〜400℃とし、高周波(60MHz)電力密度0.1〜1.0W/cmで形成することができる。また、SiH、NO、Hから作製される酸化窒化水素化珪素膜を適用しても良い。窒化珪素膜も同様にプラズマCVD法でSiH、NHから作製することが可能である。
【0288】
第1の下地膜は20〜200nm(好ましくは30〜60nm)の厚さに基板の全面に形成した後、図63(B)に示すように、フォトリソグラフィーの技術を用いマスク6262を形成する。そして、エッチングにより不要な部分を除去して、矩形状の凸部6263を形成する。第1の下地膜6261に対してはフッ素系のガスを用いたドライエッチング法を用いても良いし、フッ素系の水溶液を用いたウエットエッチング法を用いても良い。後者の方法を選択する場合には、例えば、フッ化水素アンモニウム(NHHF)を7.13%とフッ化アンモニウム(NHF)を15.4%含む混合溶液(ステラケミファ社製、商品名LAL500)でエッチングすると良い。
【0289】
次いで、凸部6263及び基板6260を覆うように、絶縁膜からなる第2の下地膜6264を形成する。この層は第1の下地膜6261と同様に酸化珪素膜、窒化珪素膜、酸化窒化珪素膜などで50〜300nm(好ましくは100〜200nm)の厚さに形成する。
【0290】
上記作製工程によって、凸部6263及び第2の下地膜6264からなる下地膜が形成される。なお、第2の下地膜6264を形成した後、大気に曝さないように連続して半導体膜を成膜するようにすることで、半導体膜と下地膜の間に大気中の不純物が混入するのを防ぐことができる。
【0291】
本実施例は実施例5〜10と自由に組み合わせて実施することが可能である。
【0292】
(実施例12)
本実施例では、複数のレーザービームを重ね合わせることで合成される、レーザービームの形状について説明する。
【0293】
図66(A)に、複数のレーザー発振装置からそれぞれ発振されるレーザー光の、スリットを介さない場合の被処理物におけるレーザービームの形状の一例を示す。図66(A)に示したレーザービームは楕円形状を有している。なお本発明において、レーザー発振装置から発振されるレーザー光のレーザービームの形状は、楕円に限定されない。レーザービームの形状はレーザーの種類によって異なり、また光学系により成形することもできる。例えば、ラムダ社製のXeClエキシマレーザー(波長308nm、パルス幅30ns)L3308から射出されたレーザー光の形状は、10mm×30mm(共にビームプロファイルにおける半値幅)の矩形状である。また、YAGレーザーから射出されたレーザー光の形状は、ロッド形状が円筒形であれば円状となり、スラブ型であれば矩形状となる。このようなレーザー光を光学系により、さらに成形することにより、所望の大きさのレーザー光をつくることもできる。
【0294】
図66(B)に図66(A)に示したレーザービームの長軸Y方向におけるレーザー光のエネルギー密度の分布を示す。図66(A)に示すレーザービームは、図66(B)におけるエネルギー密度のピーク値の1/eのエネルギー密度を満たしている領域に相当する。レーザービームが楕円形状であるレーザー光のエネルギー密度の分布は、楕円の中心Oに向かうほど高くなっている。このように図66(A)に示したレーザービームは、中心軸方向におけるエネルギー密度がガウス分布に従っており、エネルギー密度が均一だと判断できる領域が狭くなる。
【0295】
次に、図66(A)に示したレーザービームを有するレーザー光を合成したときの、レーザービームの形状を、図66(C)に示す。なお図66(C)では4つのレーザー光のレーザービームを重ね合わせることで1つの線状のレーザービームを形成した場合について示しているが、重ね合わせるレーザービームの数はこれに限定されない。
【0296】
図66(C)に示すように、各レーザー光のレーザービームは、各楕円の長軸が一致し、なおかつ互いにレーザービームの一部が重なることで合成され、1つのレーザービーム6385が形成されている。なお以下、各楕円の中心Oを結ぶことで得られる直線をレーザービーム6385の中心軸とする。
【0297】
図66(D)に、図66(A)に示した合成後のレーザービームの、中心軸y方向におけるレーザー光のエネルギー密度の分布を示す。なお、図66(C)に示すレーザービームは、図66(B)におけるエネルギー密度のピーク値の1/eのエネルギー密度を満たしている領域に相当する。合成前の各レーザービームが重なり合っている部分において、エネルギー密度が加算される。例えば図示したように重なり合ったビームのエネルギー密度E1とE2を加算すると、ビームのエネルギー密度のピーク値E3とほぼ等しくなり、各楕円の中心Oの間においてエネルギー密度が平坦化される。
【0298】
なお、E1とE2を加算するとE3と等しくなるのが理想的だが、現実的には必ずしも等しい値にはならない。E1とE2を加算した値とE3との値のずれの許容範囲は、設計者が適宜設定することが可能である。
【0299】
レーザービームを単独で用いると、エネルギー密度の分布がガウス分布に従っているので、絶縁膜の平坦な部分に接している半導体膜またはアイランドとなる部分全体に均一なエネルギー密度のレーザー光を照射することが難しい。しかし、図66(D)からわかるように、複数のレーザー光を重ね合わせてエネルギー密度の低い部分を互いに補い合うようにすることで、複数のレーザー光を重ね合わせないで単独で用いるよりも、エネルギー密度が均一な領域が拡大され、半導体膜の結晶性を効率良く高めることができる。
【0300】
なお、計算によって求めた図66(C)のB−B’、C−C’におけるエネルギー密度の分布を、図67に示す。なお、図67は、合成前のレーザービームの、ピーク値の1/eのエネルギー密度を満たしている領域を基準としている。合成前のレーザービームの短軸方向の長さを37μm、長軸方向の長さを410μmとし、中心間の距離を192μmとしたときの、B−B’、C−C’におけるエネルギー密度は、それぞれ図67(A)、図67(B)に示すような分布を有している。B−B’の方がC−C’よりも弱冠小さくなっているが、ほぼ同じ大きさとみなすことができ、合成前のレーザービームのピーク値の1/eのエネルギー密度を満たしている領域における、合成されたレーザービームの形状は、線状と言い表すことができる。
【0301】
図68(A)は、合成されたレーザービームのエネルギー密度分布を示す図である。6380で示した領域はエネルギー密度が均一な領域であり、6381で示した領域はエネルギー密度が低い領域である。図68において、レーザービームの中心軸方向の長さをWTBWとし、エネルギー密度が均一な領域6380における中心軸方向の長さをWmaxとする。WTBWがWmaxに比べて大きくなればなるほど、結晶化に用いることができるエネルギー密度が均一な領域6380に対する、半導体膜の結晶化に用いることができないエネルギー密度が均一ではない領域6381の割合が大きくなる。エネルギー密度が均一ではない領域6381のみが照射された半導体膜は、微結晶が生成し結晶性が芳しくない。よって半導体膜のアイランドとなる領域と、領域6381のみを重ねないように、走査経路及び絶縁膜の凹凸のレイアウトを定める必要が生じ、領域6380に対する領域6381の比率が高くなるとその制約はさらに大きくなる。よってスリットを用いて、エネルギー密度が均一ではない領域6381のみが絶縁膜の凹部または凸部上に形成された半導体膜に照射されるのを防ぐことは、走査経路及び絶縁膜の凹凸のレイアウトの際に生じる制約を小さくするのに有効である。
【0302】
本実施例は実施例6〜12と組み合わせて実施することが可能である。
【0303】
(実施例13)
本実施例では、本発明に用いられるレーザー照射装置の光学系と、各光学系とスリットとの位置関係について説明する。
【0304】
楕円形状のレーザービームを有するレーザー光は、走査方向と垂直な方向におけるエネルギー密度の分布がガウス分布に従っているので、エネルギー密度の低い領域の全体に占める割合が、矩形または線形のレーザービームを有するレーザー光に比べて高い。そのため本発明では、レーザー光のレーザービームが、エネルギー密度の分布が比較的均一な矩形または線形であることが望ましい。
【0305】
図69は、レーザービームを4つ合成して1つのレーザービームにする場合の光学系を示している。図69に示す光学系は、6つのシリンドリカルレンズ6417〜6422を有している。矢印の方向から入射した4つのレーザー光は、4つのシリンドリカルレンズ6419〜6422のそれぞれに入射する。そしてシリンドリカルレンズ6419、6421において成形された2つのレーザー光は、シリンドリカルレンズ6417において再びそのレーザービームの形状が成形されて被処理物6423に照射される。一方シリンドリカルレンズ6420、6422において成形された2つのレーザー光は、シリンドリカルレンズ6418において再びそのレーザービームの形状が成形されて被処理物6423に照射される。
【0306】
被処理物6423における各レーザー光のレーザービームは、互いに一部重なることで合成されて1つのレーザービームを形成している。
【0307】
各レンズの焦点距離及び入射角は設計者が適宜設定することが可能であるが、被処理物6423に最も近いシリンドリカルレンズ6417、6418の焦点距離は、シリンドリカルレンズ6419〜6422の焦点距離よりも小さくする。例えば、被処理物6423に最も近いシリンドリカルレンズ6417、6418の焦点距離を20mmとし、シリンドリカルレンズ6419〜6422の焦点距離を150mmとする。そしてシリンドリカルレンズ6417、6418から被処理物400へのレーザー光の入射角は、本実施例では25°とし、シリンドリカルレンズ6419〜6422からシリンドリカルレンズ6417、6418へのレーザー光の入射角を10°とするように各レンズを設置する。なお、戻り光を防ぎ、また均一な照射を行なうために、レーザー光の基板への入射角度を0°より大きく、望ましくは5〜30°に保つのが望ましい。
【0308】
図69では、4つのレーザービームを合成する例について示しており、この場合4つのレーザー発振装置にそれぞれ対応するシリンドリカルレンズを4つと、該4つのシリンドリカルレンズに対応する2つのシリンドリカルレンズとを有している。合成するレーザービームの数はこれに限定されず、合成するレーザービームの数は2以上8以下であれば良い。n(n=2、4、6、8)のレーザービームを合成する場合、nのレーザー発振装置にそれぞれ対応するnのシリンドリカルレンズと、該nのシリンドリカルレンズに対応するn/2のシリンドリカルレンズとを有している。n(n=3、5、7)のレーザービームを合成する場合、nのレーザー発振装置にそれぞれ対応するnのシリンドリカルレンズと、該nのシリンドリカルレンズに対応する(n+1)/2のシリンドリカルレンズとを有している。
【0309】
そして、レーザービームを5つ以上重ね合わせるとき、光学系を配置する場所及び干渉等を考慮すると、5つ目以降のレーザー光は基板の反対側から照射するのが望ましく、その場合スリットを基板の反対側にも設ける必要がある。また、基板は透過性を有していることが必要である。
【0310】
なお、戻り光がもときた光路をたどって戻るのを防ぐために、基板に対する入射角は、0より大きく90°より小さくなるように保つようにするのが望ましい。
【0311】
また、均一なレーザー光の照射を実現するためには、照射面に垂直な平面であって、かつ合成前の各ビームの形状をそれぞれ長方形と見立てたときの短辺を含む面または長辺を含む面のいずれか一方を入射面と定義すると、前記レーザー光の入射角度θは、入射面に含まれる前記短辺または前記長辺の長さがW、前記照射面に設置され、かつ、前記レーザー光に対して透光性を有する基板の厚さがdであるとき、θ≧arctan(W/2d)を満たすのが望ましい。この議論は合成前の個々のレーザー光について成り立つ必要がある。なお、レーザー光の軌跡が、前記入射面上にないときは、該軌跡を該入射面に射影したものの入射角度をθとする。この入射角度θでレーザー光が入射されれば、基板の表面での反射光と、前記基板の裏面からの反射光とが干渉せず、一様なレーザー光の照射を行うことができる。以上の議論は、基板の屈折率を1として考えた。実際は、基板の屈折率が1.5前後のものが多く、この数値を考慮に入れると上記議論で算出した角度よりも大きな計算値が得られる。しかしながら、ビームスポットの長手方向の両端のエネルギーは減衰があるため、この部分での干渉の影響は少なく、上記の算出値で十分に干渉減衰の効果が得られる。上記のθに対する不等式は、基板がレーザービームに対して透光性のあるもの以外には適用されない。
【0312】
なお本発明に用いられるレーザー照射装置が有する光学系は、本実施
例で示した構成に限定されない。
【0313】
また、複数のレーザービームを組み合わせなくとも矩形または線形のレーザービームを得られるガスレーザーとして代表的なのはエキシマレーザーがあり、固体レーザーとして代表的なのはスラブレーザーである。本発明では、これらのレーザーを用いていても良い。また光ファイバーを用いて、エネルギー密度が均一な線状又は矩形状のレーザービームを形成することも可能である。
【0314】
本実施例は実施例5〜12と組み合わせて実施することが可能である。
【0315】
(実施例14)
本実施例では、レーザービームを重ね合わせたときの、各レーザービームの中心間の距離と、エネルギー密度との関係について説明する。
【0316】
図70に、各レーザービームの中心軸方向におけるエネルギー密度の分布を実線で、合成されたレーザービームのエネルギー密度の分布を破線で示す。レーザービームの中心軸方向におけるエネルギー密度の値は、一般的にガウス分布に従っている。
【0317】
合成前のビームスポットにおいて、ピーク値の1/e以上のエネルギー密度を満たしている中心軸方向の距離を1としたときの、各ピーク間の距離をXとする。また、合成されたビームスポットにおいて、合成後のピーク値と、バレー値の平均値に対するピーク値の割増分をYとする。シミュレーションで求めたXとYの関係を、図71に示す。なお図71では、Yを百分率で表した。
【0318】
図71において、エネルギー差Yは以下の式1の近似式で表される。
【0319】
【式1】
Y=60−293X+340X(Xは2つの解のうち大きい方とする)
【0320】
式1に従えば、例えばエネルギー差を5%程度にしたい場合、X≒0.584となるようにすれば良いということがわかる。Y=0となるのが理想的だが、それではビームスポットの長さが短くなるので、スループットとのバランスでXを決定すると良い。
【0321】
次に、Yの許容範囲について説明する。図72に、レーザービームが楕円形状を有している場合の、中心軸方向におけるビーム幅に対するYVOレーザーの出力(W)の分布を示す。斜線で示す領域は、良好な結晶性を得るために必要な出力エネルギーの範囲であり、3.5〜6Wの範囲内に合成したレーザー光の出力エネルギーが納まっていれば良いことがわかる。
【0322】
合成後のビームスポットの出力エネルギーの最大値と最小値が、良好な結晶性を得るために必要な出力エネルギー範囲にぎりぎりに入るとき、良好な結晶性が得られるエネルギー差Yが最大になる。よって図72の場合は、エネルギー差Yが±26.3%となり、上記範囲にエネルギー差Yが納まっていれば良好な結晶性が得られることがわかる。
【0323】
なお、良好な結晶性を得るために必要な出力エネルギーの範囲は、どこまでを結晶性が良好だと判断するかによって変わり、また出力エネルギーの分布もレーザービームの形状によって変わってくるので、エネルギー差Yの許容範囲は必ずしも上記値に限定されない。設計者が、良好な結晶性を得るために必要な出力エネルギーの範囲を適宜定め、用いるレーザーの出力エネルギーの分布からエネルギー差Yの許容範囲を設定する必要がある。
【0324】
本実施例は、実施例5〜13と組み合わせて実施することが可能である。
【0325】
(実施例15)
本発明は様々な半導体装置に適用できるものであり、実施例5〜14に基づいて作製される表示パネルの形態を図73と図74を用いて説明する。
【0326】
図73は基板6900には画素部6902、ゲート信号側駆動回路6901a、6901b、データ信号側駆動回路6901c、入出力端子6908、配線又は配線群6904が備えられている。シールドパターン6905はゲート信号側駆動回路6901a、6901b、データ信号側駆動回路6901c及び当該駆動回路部と入出力端子6908とを接続する配線又は配線群6904と一部が重なっていても良い。このようにすると、表示パネルの額縁領域(画素部の周辺領域)の面積を縮小させることができる。入出力端子6908には、FPC6903が固着されている。
【0327】
本発明は、画素部6902、ゲート信号側駆動回路6901a、6901b、データ信号側駆動回路6901cを構成する能動素子に用いることができる。
【0328】
図74は図73で示す画素部6902の一画素の構成を示す一例である。本実施例では本発明の半導体装置の1つである発光装置の、画素について説明する。なお、発光装置とは、基板上に形成された発光素子を該基板とカバー材の間に封入した表示用パネルおよび該表示用パネルにTFT等を実装した表示用モジュールを総称したものである。なお、発光素子は、電場を加えることで発生するルミネッセンス(Electro Luminescence)が得られる有機化合物を含む層(発光層)と陽極と、陰極とを有する。
【0329】
なお本実施例で用いられる発光素子は、正孔注入層、電子注入層、正孔輸送層または電子輸送層等が、無機化合物単独で、または有機化合物に無機化合物が混合されている材料で形成されている形態をも取り得る。また、これらの層どうしが互いに一部混合していても良い。
【0330】
6801は画素に入力されるビデオ信号の入力を制御するスイッチング素子としてのTFT(スイッチング用TFT)であり、6802はビデオ信号が有する情報に基づき、画素電極に電流を供給するためのTFT(駆動用TFT)である。
【0331】
スイッチング用TFT6801は、1〜2μm程度のチャネル幅の、複数のチャネル形成領域を有する活性層6803と、ゲート絶縁膜(図示せず)と、ゲート線6804の一部であるゲート電極6805とを有している。スイッチング用TFT6801は、ゲート信号側駆動回路6901a、6901bからゲート線6804に入力される選択信号によって、そのスイッチングが制御されている。
【0332】
スイッチング用TFT6801の活性層6803が有するソース領域とドレイン領域は、一方はデータ信号側駆動回路6901cによってビデオ信号が入力される信号線6806に、もう一方は素子の接続用の配線6807に接続されている。
【0333】
6820は活性層6803を形成する際に用いた下地膜の凸部である。
【0334】
一方駆動用TFT6802は、1〜2μm程度のチャネル幅の、複数のチャネル形成領域を有する活性層6808と、ゲート絶縁膜(図示せず)と、容量用配線6809の一部であるゲート電極6810とを有している。
【0335】
駆動用TFT6802の活性層6808が有するソース領域とドレイン領域は、一方は電源線6811に、もう一方は画素電極6812に接続されている。
【0336】
6821は活性層6808を形成する際に用いた下地膜の凸部である。
【0337】
6813は容量用の半導体膜であり、ゲート絶縁膜を間に挟んで容量用配線6809と重なっている。容量用の半導体膜6813は電源線と接続されている。この容量用の半導体膜6813とゲート絶縁膜と容量用配線6809とが重なっている部分が駆動用TFT6802のゲート電圧を保持するための容量として機能する。また、容量用配線6809と電源線6811は、間に層間絶縁膜(図示せず)を間に挟んで重なっている。この容量用配線6809と、層間絶縁膜と、電源線6811とが重なり合っている部分も、駆動用TFT6802のゲート電圧を保持するための容量として機能させることは可能である。
【0338】
なお本明細書において接続とは、特に記載のない限り電気的な接続を意味する。
【0339】
スイッチング用TFT6801の活性層6803と、駆動用TFT6802の活性層6808とがそれぞれ有するチャネル形成領域のキャリアが移動する方向は、全て矢印に示したレーザー光の走査方向と揃っている。
【0340】
駆動用TFT6802の活性層6808が有するチャネル形成領域の数は、スイッチング用TFT6801の活性層6803が有するチャネル形成領域の数よりも多くすることが望ましい。なぜなら、駆動用TFT6802の方がスイッチング用TFT6801よりも大きな電流能力が必要であり、チャネル形成領域が多いほどオン電流を大きくすることができるからである。
【0341】
なお本実施例では発光装置に用いられるTFT基板の構成について説明したが、本実施例の作製工程を用いて液晶表示装置を作製することもできる。
【0342】
本実施例は、実施例5〜実施例14と自由に組み合わせて実施することが可能である。
【0343】
(実施例16)
本発明の半導体装置が有するTFTは、チャネル形成領域において結晶性が優れているため、通常は単結晶シリコンを用いた素子で形成される回路、例えばLSIを用いたCPU、各種ロジック回路の記憶素子(例えばSRAM)、カウンタ回路、分周回路ロジック等を、形成することができる。
【0344】
超LSIは最小寸法がサブミクロン領域に近づいており、より高集積化を目指すためには部分的な素子の三次元化が必要である。本実施例では、スタック構造を有する本発明の半導体装置の構造について説明する。
【0345】
図76に本実施例の半導体装置の断面図を示す。基板7700上に第1の絶縁膜7701が形成されている。そして、第1の絶縁膜7701上に第1のTFT7702が形成されている。なお、第1のTFT7702のチャネル形成領域のチャネル幅は、1〜2ミクロン程度である。
【0346】
第1のTFT7702を覆うように第1層間絶縁膜7703が形成されており、第1層間絶縁膜7703上に、第1の接続配線7705と、第1のTFT7702に電気的に接続されている配線7704とが形成されている。
【0347】
そして、配線7704、第1の接続配線7705を覆うように、第2層間絶縁膜7706が形成されている。第2層間絶縁膜7706は無機の絶縁膜で形成されており、酸化珪素、酸化窒化珪素などに、後の工程において照射されるレーザー光を吸収するような物質、例えば有色の顔料やカーボンを混入したものを混ぜたものを用いる。
【0348】
そして、第2層間絶縁膜7706の上面を、化学的機械研磨法(CMP法)を用いて研磨しておくと、後に形成される第2の絶縁膜7706の表面がより平坦化され、第2の絶縁膜7706上に形成される半導体膜をレーザー光により結晶化するときに、その結晶性をより高めることができる。
【0349】
そして第2層間絶縁膜7706上に第2の絶縁膜7707が形成されている。そして、第2の絶縁膜7707上に第2のTFT7708が形成されている。なお、第2の絶縁膜7707のチャネル形成領域のチャネル幅は、1〜2ミクロン程度である。
【0350】
第2のTFT7708を覆うように第3の層間絶縁膜7709が形成されており、第3の層間絶縁膜7709上に、第2の接続配線7711と、第2のTFT7708に電気的に接続されている配線7710とが形成されている。なお、第1の接続配線7705と第2の接続配線7711との間にはダマシンプロセス等によって埋め込み配線(プラグ)7712が形成されている。
【0351】
そして、配線7710、第2の接続配線7711を覆うように、第4の層間絶縁膜7713が形成されている。
【0352】
本実施例では、第1のTFT7702と第2のTFT7708とを、層間絶縁膜を介して重ね合わせることができる、所謂スタック構造を有している。図76(A)では、2層のスタック構造を有する半導体装置について示したが、3層以上のスタック構造を有していても良い。その場合、下層に形成された素子にレーザー光が照射されるのを防ぐため、各層の間に、第2層間絶縁膜7706のようなレーザー光を吸収する無機の絶縁膜を設けるようにする。
【0353】
このように三次元化された半導体装置は高集積化が可能であり、また各素子間を電気的に接続する配線を短くすることができるので、配線の容量による信号の遅延を防ぎ、より高速な動作が可能になる。
【0354】
なお本発明を用いたTFTは、第4回新機能素子技術シンポジウム予稿集、1985年7月p205.に記載されている、CAM、RAM共存チップにも用いることができる。図76(B)は、メモリ(RAM)に対応するプロセッサを配置した連想メモリ(CAM)と、RAMの共存チップ化を図ったモデルである。第1層目はワード処理系の回路が形成された層であり、第2層目は3層目のRAMに対応したプロセッサが各種論理回路によって形成された層であり、第3層目はRAMセルが形成された層である。第2層目のプロセッサと3層目のRAMセルとによって連想メモリ(CAM)が形成される。さらに、第4層目はデータ用のRAM(データRAM)であり、2層目及び3層目で形成される連想メモリと共存している。
【0355】
このように、本発明は、三次元化された様々な半導体装置に応用することが可能である。
【0356】
本実施例は、実施例5〜15と自由に組み合わせて実施することが可能である。
【0357】
(実施例17)
本発明を用いて作製されるTFTを搭載した半導体装置は、様々な電子機器への適用が可能である。その一例は、携帯情報端末(電子手帳、モバイルコンピュータ、携帯電話等)、ビデオカメラ、デジタルカメラ、パーソナルコンピュータ、テレビ受像器、携帯電話、投影型表示装置等が挙げられる。それら電子機器の具体例を図75に示す。
【0358】
図75(A)は表示装置であり、筐体7001、支持台7002、表示部7003、スピーカー部7004、ビデオ入力端子7005等を含む。本発明の半導体装置を表示部7003に用いることで、本発明の表示装置が完成する。発光装置は自発光型であるためバックライトが必要なく、液晶ディスプレイよりも薄い表示部とすることができる。なお、表示装置は、パソコン用、TV放送受信用、広告表示用などの全ての情報表示用表示装置が含まれる。
【0359】
図75(B)はデジタルスチルカメラであり、本体7101、表示部7102、受像部7103、操作キー7104、外部接続ポート7105、シャッター7106等を含む。本発明の半導体装置を表示部7102に用いることで、本発明のデジタルスチルカメラが完成する。
【0360】
図75(C)はノート型パーソナルコンピュータであり、本体7201、筐体7202、表示部7203、キーボード7204、外部接続ポート7205、ポインティングマウス7206等を含む。本発明の半導体装置を表示部7203に用いることで、本発明のノート型パーソナルコンピュータが完成する。
【0361】
図75(D)はモバイルコンピュータであり、本体7301、表示部7302、スイッチ7303、操作キー7304、赤外線ポート7305等を含む。本発明の半導体装置を表示部7302に用いることで、本発明のモバイルコンピュータが完成する。
【0362】
図75(E)は記録媒体を備えた携帯型の画像再生装置(具体的にはDVD再生装置)であり、本体7401、筐体7402、表示部A7403、表示部B7404、記録媒体(DVD等)読み込み部7405、操作キー7406、スピーカー部7407等を含む。表示部A7403は主として画像情報を表示し、表示部B7404は主として文字情報を表示する。なお、記録媒体を備えた画像再生装置には家庭用ゲーム機器なども含まれる。本発明の半導体装置を表示部A、B7403、7404に用いることで、本発明の画像再生装置が完成する。
【0363】
図75(F)はゴーグル型ディスプレイ(ヘッドマウントディスプレイ)であり、本体7501、表示部7502、アーム部7503を含む。本発明の半導体装置を表示部7502に用いることで、本発明のゴーグル型ディスプレイが完成する。
【0364】
図75(G)はビデオカメラであり、本体7601、表示部7602、筐体7603、外部接続ポート7604、リモコン受信部7605、受像部7606、バッテリー7607、音声入力部7608、操作キー7609、接眼部7610等を含む。本発明の半導体装置を表示部7602に用いることで、本発明のビデオカメラが完成する。
【0365】
ここで図75(H)は携帯電話であり、本体7701、筐体7702、表示部7703、音声入力部7704、音声出力部7705、操作キー7706、外部接続ポート7707、アンテナ7708等を含む。なお、表示部7703は黒色の背景に白色の文字を表示することで携帯電話の消費電流を抑えることができる。本発明の半導体装置を表示部7703に用いることで、本発明の携帯電話が完成する。
【0366】
以上の様に、本発明の適用範囲は極めて広く、あらゆる分野の電子機器に用いることが可能である。また、本実施例は実施例5〜16に示したいずれの構成とも組み合わせて実施することが可能である。
【0367】
【発明の効果】
以上説明したように、半導体膜を溶融状態にして表面張力により絶縁表面上に形成した開口部に凝集させ、開口部の底部と側面部の概略交点から結晶成長させることにより、結晶化に伴い発生する歪みを開口部以外の領域に集中させることができる。この開口部以外の領域にある結晶性半導体膜をエッチング除去することにより、結晶性の良い領域を選択的に取り出すことができる。また、絶縁表面上に形成した開口部に半導体を充填するように溶融結晶化させることにより、結晶化に伴い発生する歪みを開口部以外の領域に集中させることができ、開口部に充填されるように形成した結晶性半導体膜においては歪みから開放することができるとも言える。
【0368】
即ち、非晶質半導体膜に連続発振レーザー光を照射する結晶化において、下地絶縁膜に開口部を設け、溶融結晶化の過程において当該開口部に半導体を充填するように形成することにより、結晶化に伴う歪みや結晶粒界又は結晶亜粒界を開口部以外の領域に集中させることができる。
【0369】
そして、トランジスタ等の半導体素子、特にTFTのチャネル形成領域の場所を指定して、結晶粒界が存在しない結晶性半導体膜を形成することができる。これにより不用意に介在する結晶粒界や結晶欠陥により特性がばらつく要因を無くすことができ、特性ばらつきの小さいTFT又はTFT素子群を形成することができる。また、開口部の結晶性半導体膜にチャネル形成領域が配設されるようにTFTを形成することにより、高速で電流駆動能力を向上させることが可能となり、素子の信頼性を向上させることも可能となる。
【0370】
さらに、当該結晶性半導体膜の側面部と上面部に重畳してゲート絶縁膜及びゲート電極を形成することにより、チャネル形成領域の面積が拡大し、オン電流を増加することができる。さらに、三方からゲート電圧を印加することにより、ゲート絶縁膜に注入されるキャリア、特にホットキャリアを少なくすることができ、トランジスタの信頼性を向上させることもできる。
【0371】
また本発明では、下地膜の凹部上に位置する半導体膜を、TFTの活性層として積極的に用いることで、TFTのチャネル形成領域に粒界が形成されるのを防ぐことができ、粒界によってTFTの移動度が著しく低下したり、オン電流が低減したり、オフ電流が増加したりするのを防ぐことができる。なお、どこまでを凸部または凹部のエッジ近傍としてパターニングで除去するかは、設計者が適宜定めることができる。
【0372】
また、TFTの複数のチャネル形成領域が互いに分離していることで、チャネル形成領域のうち、ゲート絶縁膜を間に挟んでゲート電極と重なっている領域を広く取ることができるので、チャネル幅を長くすることができる。チャネル幅を長くすることでオン電流を確保しつつ、TFTを駆動させることで発生した熱を効率的に放熱することができる。
【図面の簡単な説明】
【図1】本発明における結晶化方法を説明する図。
【図2】結晶化における開口部の形状と結晶性半導体膜の形態との関係の詳細を説明する縦断面図。
【図3】本発明における結晶化方法を説明する図。
【図4】本発明により作製されるTFTの作製工程を説明する上面図及び縦断面図。
【図5】本発明により作製されるTFTの作製工程を説明する上面図及び縦断面図。
【図6】本発明により作製されるTFTの作製工程を説明する上面図及び縦断面図。
【図7】本発明により作製されるTFTの作製工程を説明する上面図及び縦断面図。
【図8】本発明により作製されるTFTの作製工程を説明する上面図及び縦断面図。
【図9】本発明により作製されるTFTの作製工程を説明する上面図及び縦断面図。
【図10】本発明により作製されるTFTの作製工程を説明する上面図及び縦断面図。
【図11】本発明により作製されるTFTの作製工程を説明する上面図及び縦断面図。
【図12】本発明により作製されるTFTの構造を説明する上面図及び縦断面図。
【図13】本発明により作製されるTFTの構造を説明する上面図及び縦断面図。
【図14】本発明に適用するレーザー照射装置の一態様を示す配置図。
【図15】本発明における線状に集光されたレーザー光とその走査方向を説明する図。
【図16】本発明を用いて作製される半導体装置の外観図の一例。
【図17】図16で示す半導体装置の画素部の作製工程を説明する上面図。
【図18】図16で示す半導体装置の画素部の作製工程を説明する上面図。
【図19】図16で示す半導体装置の画素部の作製工程を説明する上面図。
【図20】図16で示す半導体装置の画素部の作製工程を説明する上面図。
【図21】図16で示す半導体装置の画素部の構造を説明する上面図。
【図22】本発明により作製されるTFTの作製工程を説明する縦断面図。
【図23】本発明により作製されるTFTのチャネル形成領域の詳細を説明する縦断面図。
【図24】図21に対応する画素部の構造を説明する縦断面図。
【図25】170nmの段差を設け、0.5μmの凸部の幅と間隔を設けた下地絶縁膜上に150nmの非晶質珪素膜を形成して結晶化したときの表面状態を表す走査電子顕微鏡(SEM)写真(セコエッチ後)。
【図26】170nmの段差を設け、1.8μmの凸部の幅と間隔を設けた下地絶縁膜上に150nmの非晶質珪素膜を形成して結晶化したときの表面状態を表す走査電子顕微鏡(SEM)写真(セコエッチ後)。
【図27】凹部に形成された結晶の配向を示すEBSPマッピングデータ。
【図28】半導体装置の一例を示す図。
【図29】プロジェクターの一例を示す図。
【図30】本発明における結晶化方法を説明する図。
【図31】本発明における結晶化方法を説明する斜視図。
【図32】本発明における結晶化方法を説明する斜視図。
【図33】本発明における結晶化方法を説明する斜視図。
【図34】本発明における結晶化方法を説明する斜視図。
【図35】本発明に適用するレーザー照射装置の一態様を示す配置図。
【図36】本発明により作製されるTFTの作製工程を説明する上面図及び縦断面図。
【図37】本発明により作製されるTFTの作製工程を説明する上面図及び縦断面図。
【図38】本発明により作製されるTFTの作製工程を説明する上面図及び縦断面図。
【図39】本発明により作製されるTFTの作製工程を説明する上面図及び縦断面図。
【図40】本発明により作製されるTFTの作製工程を説明する上面図及び縦断面図。
【図41】本発明により作製されるTFTの一例を説明する上面図及び縦断面図。
【図42】本発明により作製されるTFTの一例を説明する上面図及び縦断面図。
【図43】本発明により作製されるTFTの一例を説明する上面図及び縦断面図。
【図44】本発明を用いて作製される表示パネルの画素部の構造を説明する上面図。
【図45】熱解析のシミュレーションに用いた構造を示す断面図。
【図46】熱解析のシミュレーションの結果を示すグラフ。
【図47】凸部を有する下地膜上に形成された半導体膜にレーザー光を照射して結晶化させた後のTEMの断面像と、その模式図。
【図48】本発明の半導体膜の結晶化の流れを示す図。
【図49】本発明のTFTの作製工程を示す図。
【図50】本発明のTFTの作製工程を示す図。
【図51】本発明のTFTの作製工程を示す図。
【図52】本発明のTFTの作製工程を示す図。
【図53】本発明のTFTの作製工程を示す図。
【図54】本発明のTFTの作製工程を示す図。
【図55】本発明のTFTの断面図。
【図56】本発明のTFTの断面図。
【図57】本発明のTFTの作製工程を示す図。
【図58】本発明のTFTの作製工程を示す図。
【図59】下地膜のエッチング後におけるアイランドと下地膜の形状を示す図。
【図60】下地膜上に形成された複数のTFTの上面図。
【図61】触媒元素を用いた本発明の半導体膜の結晶化の流れを示す図。
【図62】凸部を有する下地膜の作製方法を示す図。
【図63】凸部を有する下地膜の作製方法を示す図。
【図64】レーザー照射装置の図。
【図65】レーザー照射装置の図。
【図66】レーザービームのエネルギー密度の分布を示す図。
【図67】レーザービームのエネルギー密度の分布を示す図。
【図68】レーザービームのエネルギー密度の分布を示す図。
【図69】光学系の図。
【図70】重ね合わせたレーザービームの中心軸方向におけるエネルギー密度の分布を示す図。
【図71】レーザービームの中心間の距離とエネルギー差の関係を示す図。
【図72】レーザービームの中心軸方向における出力エネルギーの分布を示す図。
【図73】本発明の半導体装置の一例である発光装置の構造を示す図。
【図74】本発明の半導体装置の一例である発光装置の画素の構造を示す図。
【図75】本発明の半導体装置を用いた電子機器の図。
【図76】スタック構造を有するTFTの断面図及びそれを用いた半導体装置の構成の一例。
[0001]
TECHNICAL FIELD OF THE INVENTION
The present invention relates to a semiconductor device formed using a semiconductor film having a crystal structure (crystalline semiconductor film) and a method for manufacturing the same, and more particularly, a channel formation region is formed using a crystalline semiconductor film formed over an insulating surface. The present invention relates to a semiconductor device including a field-effect transistor and a method for manufacturing the same.
[0002]
[Prior art]
In recent years, the technology for forming a TFT on a substrate has been greatly advanced, and application development to an active matrix type semiconductor display device has been advanced. A thin film transistor (hereinafter, referred to as a TFT) manufactured using a semiconductor film having a crystal structure is applied to a flat display device (flat panel display) represented by a liquid crystal display device. In particular, a TFT using a polycrystalline semiconductor film has higher field-effect mobility (also referred to as mobility) than a TFT using a conventional amorphous semiconductor film, and thus can operate at high speed. Therefore, control of a pixel which has been conventionally performed by a driving circuit provided outside a substrate can be performed by a driving circuit formed on the same substrate as a pixel.
[0003]
As a substrate used for a semiconductor device, a glass substrate is considered more promising than a single crystal silicon substrate in terms of cost. Glass substrates have poor heat resistance and are easily deformed by heat. Therefore, a technique is known in which an amorphous semiconductor film is formed on an insulating substrate made of glass or the like and crystallized by laser light irradiation (laser annealing). In the case of forming a polysilicon TFT on a glass substrate, using laser annealing for crystallization of a semiconductor film is very effective for avoiding thermal deformation of the glass substrate.
[0004]
Laser annealing in a semiconductor manufacturing process refers to a technique for recrystallizing a damaged layer formed on a semiconductor substrate or a semiconductor film or a technique for crystallizing a semiconductor film formed on an insulating surface. Also, the present invention includes a technique applied to planarization and surface modification of a semiconductor substrate or a semiconductor film. The laser oscillation device used for laser annealing is a gas laser typified by an excimer laser or a solid laser typified by a YAG laser. By irradiating a laser beam, the surface layer of a semiconductor is irradiated for several tens of nanoseconds to tens of microseconds. It is known to crystallize by heating for a very short time.
[0005]
The characteristics of laser annealing are that the processing time can be significantly reduced compared to the annealing method using radiant heating or conduction heating, and that the semiconductor or semiconductor film is selectively and locally heated to almost thermally damage the substrate. Not giving them.
[0006]
Lasers are roughly classified into two types, pulse oscillation and continuous oscillation, depending on the oscillation method. Since the pulse oscillation laser has a relatively high output energy, the size of the laser beam is several cm. 2 As described above, mass productivity can be improved. In particular, when the shape of the laser beam is processed using an optical system to be a line having a length of 10 cm or more, the substrate can be efficiently irradiated with laser light, and mass productivity can be further improved. Therefore, the use of a pulsed laser for crystallization of a semiconductor film has been becoming mainstream.
[0007]
However, in recent years, it has been found that the diameter of a crystal formed in a semiconductor film is larger when a continuous wave laser is used than in a pulsed laser in crystallization of a semiconductor film. As the crystal grain size in the semiconductor film increases, the mobility of a TFT formed using the semiconductor film increases. As a result, continuous-wave lasers are quickly gaining traction.
[0008]
As an example of crystallization of an amorphous semiconductor film by laser light irradiation, as disclosed in Patent Document 1 below, a laser beam scanning speed is set to a beam spot diameter × 5000 / sec or more, and an amorphous semiconductor film is formed by high-speed scanning. Patent Document 2 discloses that a semiconductor film which is polycrystallized without bringing a semiconductor film into a completely molten state, or a semiconductor film formed in an island shape is irradiated with an elongated laser beam to be substantially single-crystal. A technique for forming a crystal region is disclosed. Alternatively, there is known a method in which a beam is linearly processed by an optical system and irradiated as in a laser irradiation apparatus disclosed in Patent Document 3 below.
[0009]
Further, as disclosed in Patent Document 4 below, Nd: YVO 4 A solid-state laser oscillator such as a laser is used to irradiate the amorphous semiconductor film with laser light that is the second harmonic thereof to form a crystalline semiconductor film having a larger crystal grain size as compared with the conventional one, thereby manufacturing a TFT. The technology is disclosed.
[0010]
[Patent Document 1]
JP-A-62-104117 (page 92)
[0011]
[Patent Document 2]
U.S. Pat. No. 4,330,363 (FIG. 4)
[0012]
[Patent Document 3]
JP-A-8-195357 (page 3-4, FIG. 1-5)
[0013]
[Patent Document 4]
JP 2001-144027 A (page 4)
[0014]
Note that attempts to form a single crystal semiconductor film over an insulating surface have been made for a long time, and a technique called graphoepitaxy has been devised as a more aggressive attempt. Graphoepitaxy forms a step on the surface of a quartz substrate, forms an amorphous semiconductor film or a polycrystalline semiconductor film on this, and then heats it with a laser beam or a heater to form a step formed on the quartz substrate. Is a technique of forming an epitaxially grown layer with the nucleus as a nucleus. This technique is disclosed in, for example, Non-Patent Document 1.
[0015]
[Non-patent document 1]
J. Vac. Sci. Technol. , "Grapho-epitaxy of silicon on fused silicone using surface micropatterns and laser crystallization", 16 (6), 1979, pp 1640-1643.
[0016]
For example, Non-Patent Document 2 discloses a technique for crystallization of a semiconductor film called graphoepitaxy. In this method, an epitaxial growth of a semiconductor film is attempted by inducing a relief grating on a surface of an amorphous substrate which is artificially formed. In Non-Patent Document 2, the technique of graphoepitaxy is that a step is provided on the surface of an insulating film, and a semiconductor film formed on the insulating film is subjected to a treatment such as heating or irradiation with a laser beam. It is disclosed that the crystal of the semiconductor film is epitaxially grown.
[0017]
[Non-patent document 2]
M. W. Geis, et al. , "CRYSTALLINE SILICON ON INSULATORS BY GRAPHOEPITAXY", Technical Digest of International Electron Devices Meeting, 1979, pp. 210.
[0018]
[Problems to be solved by the invention]
When an amorphous semiconductor film formed on a flat surface is crystallized by irradiating a laser beam to the crystal, the crystal becomes polycrystalline, and defects such as crystal grain boundaries are arbitrarily formed to obtain a crystal having a uniform orientation. Could not. Unlike in a crystal grain, countless carrier traps such as recombination centers and trapping centers due to an amorphous structure, crystal defects, and the like are present at the grain boundaries. It is known that when carriers are trapped in the carrier trap, the potential of the grain boundary increases and acts as a barrier against carriers such as electrons or holes, so that the current transport characteristics (mobility) of the carriers are reduced. ing.
[0019]
Therefore, when a grain boundary is present in the active layer of the TFT, particularly in the channel formation region, the mobility of the TFT is significantly reduced, the ON current is reduced, and the OFF current is increased due to the flow of current at the grain boundary. Severely affects the characteristics of the TFT. In a plurality of TFTs manufactured on the premise that the same characteristics can be obtained, the characteristics vary depending on the presence or absence of a grain boundary in the active layer.
[0020]
When the semiconductor film is irradiated with laser light, the positions and sizes of the obtained crystal grains become random for the following reason. It takes some time until solid-phase nucleation occurs in the liquid semiconductor film completely melted by laser light irradiation. Then, as time passes, countless crystal nuclei are generated in the completely melted region, and crystals grow from the crystal nuclei. Since the positions where the crystal nuclei occur are random, the crystal nuclei are unevenly distributed. Then, since the crystal growth ends when the crystal grains collide with each other, the positions and the sizes of the crystal grains are random.
[0021]
A crystalline semiconductor film manufactured by using a laser annealing method roughly classified into pulse oscillation and continuous oscillation is generally formed by aggregating a plurality of crystal grains. The positions and sizes of the crystal grains are random, and it is difficult to form a crystalline semiconductor film by specifying the positions and sizes of the crystal grains. Therefore, an active layer formed by patterning the crystalline semiconductor film into an island shape may include an interface (grain boundary) of crystal grains.
[0022]
In the above-described flat display device and the like, a TFT is formed by forming a semiconductor film on a glass substrate. However, the TFT is arranged independently of a crystal grain boundary arbitrarily formed. In other words, the crystallinity of the channel formation region of the TFT cannot be controlled in a strict sense, and the characteristics are degraded due to arbitrarily interposed crystal grain boundaries or crystal defects, and individual device characteristics vary. .
[0023]
Ideally, the channel formation region that has a significant effect on the characteristics of the TFT should be formed of a single crystal grain without the influence of the grain boundaries. However, a crystalline semiconductor film having no grain boundaries is laser-annealed. It was almost impossible to form by the method. Therefore, a TFT using a crystalline silicon film crystallized by a laser annealing method as an active layer and having the same characteristics as those of a MOS transistor manufactured on a single crystal silicon substrate has not been obtained to date.
[0024]
Therefore, in order to form a high-quality crystalline semiconductor film with a small number of defects and crystal grain boundaries or crystal sub-boundaries, and a uniform orientation on an insulating surface, as known as a zone melting method or the like. The mainstream method is to heat a semiconductor film on an insulating substrate to a high temperature to form a molten state and then recrystallize the semiconductor film.
[0025]
However, it was not possible to form a single-crystal semiconductor film on a glass substrate having a relatively low strain point using a known graphoepitaxy technique, which is one of the zone melting methods.
[0026]
In any case, it was impossible to form a crystalline semiconductor film having no volume shrinkage of the semiconductor caused by crystallization, defects due to thermal stress or lattice mismatch with the base, and no grain boundaries or sub-grain boundaries. Therefore, except for the bonded SOI (Silicon on Insulator), the same quality as a MOS transistor formed on a single crystal substrate can be obtained with a crystalline semiconductor film formed on an insulating surface and crystallized or recrystallized. I couldn't do that.
[0027]
The present invention has been made in view of the above problems, and forms a crystalline semiconductor film on an insulating surface in which at least a crystal grain boundary or a crystal sub-grain boundary that intersects at least with a channel length direction does not exist. It is an object of the present invention to provide a semiconductor device including a semiconductor element or a semiconductor element group having high current driving capability and small variation among a plurality of elements. Further, it is possible to prevent a grain boundary from being formed in a channel formation region of the TFT, and to prevent the mobility of the TFT from being significantly reduced, the on current from being reduced, and the off current from being increased due to the grain boundary. It is an object to provide a method for manufacturing a semiconductor device which can be manufactured and a semiconductor device manufactured using the manufacturing method.
[0028]
[Means for Solving the Problems]
The present inventors form a semiconductor film over an insulating film having projections and depressions, and irradiate the semiconductor film with a laser beam, so that a portion of the crystallized semiconductor film which is located over a projection of the insulating film is selectively formed. It was found that grain boundaries were formed in the grains.
[0029]
FIG. 47 shows the scanning direction of laser light when a 200-nm amorphous semiconductor film formed over an insulating film having projections and depressions is irradiated with continuous oscillation laser light so that the scanning speed becomes 5 cm / sec. 4 shows a cross-sectional image of a TEM in a vertical direction. In FIG. 47A, reference numerals 6001 and 6002 denote protrusions formed in an insulating film. The crystallized semiconductor film 6004 has a grain boundary 6003 over the convex portions 6001 and 6002.
[0030]
FIG. 47B schematically shows a cross-sectional image of the TEM shown in FIG. As shown in FIG. 47B, a grain boundary 6003 is formed above the convex portions 6001 and 6002. The present inventors have found that this is because the semiconductor film is temporarily melted by laser light irradiation, so that the semiconductor film located at the top of the insulating film moves in volume toward the bottom of the concave portion, so that the convex portion is formed. It was thought that the semiconductor film located on the top became thinner and could not withstand the stress, resulting in grain boundaries. In the semiconductor film thus crystallized, grain boundaries are selectively formed in the upper portions of the convex portions, while grain boundaries are formed in portions located in the concave portions (regions indicated by dotted lines) 6001 and 6002. Hateful. Note that the concave portion indicates a concave region where no convex portion is formed.
[0031]
Therefore, the present inventors thought that a position where a grain boundary is formed can be selectively determined by intentionally forming a portion where stress is intensively applied to the semiconductor film. In the present invention, by forming an insulating film having irregularities on a substrate and forming a semiconductor film on the insulating film, a portion where stress is concentrated on the semiconductor film during crystallization by laser light Are selectively formed. Specifically, unevenness is provided in the semiconductor film. Then, continuous oscillation laser light is applied along the longitudinal direction of the unevenness formed on the semiconductor film. In this case, continuous oscillation laser light is most preferably used, but pulse oscillation laser light may be used. Note that the cross section of the protrusion in the direction perpendicular to the scanning direction of the laser beam may be rectangular, triangular, or trapezoidal.
[0032]
With the above structure, at the time of crystallization by laser light irradiation, a grain boundary is selectively formed on the convex portion of the semiconductor film. The semiconductor film located on the concave portion of the insulating film is relatively hard to form a grain boundary. The semiconductor film located on the concave portion of the insulating film has excellent crystallinity, but does not necessarily include the grain boundary. However, even if a grain boundary exists, it can be said that the crystal grains are large and the crystallinity is relatively excellent as compared with the semiconductor film located on the convex portion of the insulating film. Therefore, at the stage of designing the shape of the insulating film, the position where the grain boundary of the semiconductor film is formed can be predicted to some extent. That is, in the present invention, the position at which the grain boundary is formed can be selectively determined, so that the active layer can be laid out so that the active layer, more preferably, the channel forming region contains the grain boundary as little as possible. Become.
[0033]
According to the present invention, the semiconductor film located on the concave portion of the insulating film is positively used as an active layer of the TFT, so that a grain boundary can be prevented from being formed in a channel formation region of the TFT. It is possible to prevent the mobility of the TFT from being significantly reduced, the ON current from being reduced, and the OFF current from being increased. It should be noted that the designer can appropriately determine how far the pattern is to be removed near the edge of the convex or concave portion by patterning.
[0034]
Note that the energy density in the vicinity of the edge of the laser beam of the laser beam is generally lower than that in the vicinity of the center, and the crystallinity of the semiconductor film is often inferior. Therefore, when scanning with a laser beam, it is preferable that the edge of the locus does not overlap with a portion to be a channel forming region of the TFT later.
[0035]
Therefore, in the present invention, first, data (pattern information) of the shape of the insulating film or the semiconductor film viewed from the upper surface of the substrate, which is obtained in the design stage, is stored in the storage means. Then, based on the pattern information and the width of the laser beam in the direction perpendicular to the scanning direction of the laser beam, at least the portion to be a channel forming region of the TFT and the edge of the trajectory of the laser beam do not overlap. Determine the scan path. Then, the position of the substrate is adjusted with reference to the marker, and the semiconductor film on the substrate is irradiated with laser light in accordance with the determined scanning path.
[0036]
With the above configuration, the laser light can be scanned only at least in an indispensable part without irradiating the entire substrate with the laser light. Therefore, the time required to irradiate unnecessary portions with laser light can be saved, and thus the time required for laser light irradiation can be reduced, and the processing speed of the substrate can be improved. In addition, unnecessary portions can be irradiated with laser light to prevent the substrate from being damaged.
[0037]
Note that the marker may be formed by directly etching the substrate with a laser beam or the like, or may be formed on a part of the insulating film at the same time as forming the insulating film having irregularities. . Further, the shape of the actually formed insulating film or semiconductor film is read using an image pickup device such as a CCD, and stored as data in the first storage means, and the insulation obtained at the design stage is stored in the second storage means. The pattern information of the film or the semiconductor film is stored, and the data stored in the first storage means and the pattern information stored in the second storage means are collated to perform the alignment of the substrate. You may do it.
[0038]
By forming a marker on a part of the insulating film or using the shape of the insulating film or the semiconductor film as a marker, the number of masks for the marker can be reduced by one, and the substrate can be formed more than by laser light. The marker can be formed at an accurate position, and the accuracy of positioning can be improved.
[0039]
The energy density of the laser beam is generally not completely uniform, and its height changes depending on the position in the laser beam. In the present invention, it is necessary to irradiate a laser beam having a constant energy density to at least a portion to be a channel forming region, more preferably the entire flat surface of the concave portion or the entire flat surface of the convex portion. Therefore, in the present invention, a region having a uniform energy density is completely overlapped with a portion serving as a channel forming region, more preferably, the entire flat surface of the concave portion or the entire flat surface of the convex portion by scanning with the laser beam. It is necessary to use a laser beam having such an energy density distribution. In order to satisfy the above energy density conditions, it is considered desirable that the shape of the laser beam be rectangular or linear.
[0040]
Further, a portion having a low energy density in the laser beam may be shielded through the slit. By using the slit, a laser beam having a relatively uniform energy density can be applied to the entire flat surface of the concave portion or the entire flat surface of the convex portion, so that crystallization can be performed uniformly. By providing the slit, the width of the laser beam can be partially changed depending on the pattern information of the insulating film or the semiconductor film, so that restrictions on the layout of the channel formation region and the active layer of the TFT can be reduced. Note that the width of the laser beam means the length of the laser beam in a direction perpendicular to the scanning direction.
[0041]
Further, one laser beam obtained by synthesizing laser beams oscillated from a plurality of laser oscillation devices may be used for laser crystallization. According to the above configuration, it is possible to compensate for a portion where the energy density of each laser beam is weak.
[0042]
After the semiconductor film is formed, laser light irradiation is performed so that the semiconductor film is not exposed to the air (for example, a specified gas atmosphere such as a rare gas, nitrogen, or oxygen or a reduced-pressure atmosphere) to crystallize the semiconductor film. Is also good. With the above structure, it is possible to prevent contaminants at a molecular level in a clean room, for example, boron and the like contained in a filter for improving cleanliness of air from being mixed into a semiconductor film during crystallization by laser light. it can.
[0043]
In order to solve the above problem, the present invention provides an insulating film provided with an opening over a substrate having an insulating surface, and an amorphous semiconductor film or a crystal grain boundary is formed over the insulating film and over the opening. A semiconductor film having a polycrystalline structure (non-single-crystal semiconductor film) existing in the above is formed, and then the semiconductor film is melted and crystallized or recrystallized so that the melted semiconductor flows into the opening of the insulating film. A conductive semiconductor film is formed. In other words, a non-single-crystal semiconductor film is formed, and the non-single-crystal semiconductor film is melted and crystallized or recrystallized, so that the crystalline semiconductor film is formed so as to fill the opening. Then, after removing the crystalline semiconductor film remaining on the insulating film, the insulating film is removed at least at a portion where a channel formation region is to be formed, exposing side surfaces of the crystalline semiconductor film, and removing the crystalline semiconductor film. And forming a gate electrode and a gate electrode in contact with the side and top surfaces of the gate electrode.
[0044]
The opening may be formed by directly etching the surface of the insulating substrate, or may be formed by using a silicon oxide, silicon nitride, or silicon oxynitride film or the like and etching the film. The opening is preferably formed in accordance with the arrangement of the island-shaped semiconductor film including the channel forming region of the TFT, and is preferably formed so as to match at least the channel forming region. The opening extends in the channel length direction. The width of the opening (channel width direction in the case of forming a channel forming region) is 0.01 μm or more and 2 μm or less, preferably 0.1 to 1 μm, and the depth is 0.01 μm or more and 3 μm or less, preferably 0 μm or less. It is formed in a thickness of 1 μm or more and 2 μm or less.
[0045]
In the first stage, a semiconductor film formed over the insulating film and over the opening is formed by an amorphous semiconductor film or a polycrystalline semiconductor film formed by a plasma CVD method, a sputtering method, a low-pressure CVD method, or a solid phase growth. A polycrystalline semiconductor film or the like is used. Note that the term “amorphous semiconductor film” in the present invention means not only a film having a completely amorphous structure in a narrow sense, but also a state containing fine crystal grains, or a so-called microcrystalline semiconductor film, Includes a semiconductor film having a crystal structure. Typically, an amorphous silicon film is applied. In addition, an amorphous silicon germanium film, an amorphous silicon carbide film, or the like can be used. The polycrystalline semiconductor film is obtained by crystallizing these amorphous semiconductor films by a known method.
[0046]
As a means for melting and crystallizing the crystalline semiconductor film, a pulsed or continuous wave laser beam using a gas laser oscillator or a solid laser oscillator as a light source is used. The laser light to be irradiated is linearly condensed by an optical system, and its intensity distribution has a uniform region in the longitudinal direction and may have a distribution in the lateral direction. As the oscillation device, a rectangular beam solid laser oscillation device is applied, and particularly preferably, a slab laser oscillation device is applied. Alternatively, it is a solid-state laser oscillation device using a rod doped with Nd, Tm, and Ho, and in particular, YAG, YVO 4 , YLF, YAlO 3 A solid-state laser oscillation device using a crystal in which Nd, Tm, and Ho are doped into a crystal such as the above may be combined with a slab structure amplifier. As the slab material, crystals such as Nd: YAG, Nd: GGG (gadolinium / gallium / garnet), and Nd: GsGG (gadolinium / scandium / gallium / garnet) are used. In the slab laser, the laser beam travels in a zigzag optical path in this plate-shaped laser medium while repeating total reflection.
[0047]
Further, strong light corresponding thereto may be applied. For example, the light emitted from a halogen lamp, a xenon lamp, a high-pressure mercury lamp, a metal halide lamp, or an excimer lamp may be a light having a high energy density collected by a reflecting mirror or a lens.
[0048]
The laser light or intense light condensed linearly and extended in the longitudinal direction irradiates the non-single-crystal semiconductor film, and relatively moves the irradiation position of the laser light and the substrate on which the non-single-crystal semiconductor film is formed. Then, the non-single-crystal semiconductor film is melted by scanning a part or the entire surface with the laser light, and crystallization or recrystallization is performed through that state. The scanning direction of the laser light is along the longitudinal direction of the opening or the channel length direction of the transistor. Thereby, the crystal grows along the scanning direction of the laser light, and it is possible to prevent the crystal grain boundary or the crystal sub-grain boundary from intersecting with the channel length direction. At this time, irradiation with laser light or strong light may be performed while heating the substrate on which the object to be processed is formed.
[0049]
The semiconductor device of the present invention manufactured as described above is formed on an insulating surface, connected between a pair of one conductivity type impurity regions, has a plurality of crystal orientations, and has a crystal grain boundary formed. Instead, a crystalline semiconductor film in which a plurality of crystal grains extending in a direction parallel to the channel length direction is provided.
[0050]
Another structure is formed on an insulating surface, has a plurality of crystal orientations connected between a pair of one conductivity type impurity regions, and has a direction parallel to the channel length direction without forming a crystal grain boundary. A channel forming region is formed by a crystalline semiconductor film in which a plurality of crystal grains extending in a group and a conductive layer overlapping the crystalline semiconductor film with an insulating layer interposed therebetween; In the crystalline semiconductor film in the channel formation region, the channel width direction is 0.01 μm or more and 2 μm or less, preferably 0.1 to 1 μm, and the thickness is 0.01 μm or more and 3 μm or less, preferably 0.1 μm or more and 2 μm or less. It is characterized by being. One characteristic mode of the channel formation region is that a channel overlaps with a side surface and an upper surface of the crystalline semiconductor film by a gate electrode which overlaps with a gate insulating film covering the side surface and the upper surface of the crystalline semiconductor film. This is a configuration in which a formation region is formed.
[0051]
When the depth of the opening is approximately equal to or greater than the thickness of the semiconductor film, the semiconductor melted by irradiation with laser light or strong light is aggregated and solidified in the opening (that is, the concave portion) by surface tension. As a result, the thickness of the semiconductor film on the convex portion becomes thin, and stress strain can be concentrated there. The side surfaces of the opening have the effect of defining the crystal orientation to some extent. The angle of the side surface of the opening is 5 to 120 degrees, preferably 80 to 100 degrees with respect to the substrate surface. By scanning the laser light in a direction parallel to the channel length direction, a specific crystal orientation can be preferentially oriented along an opening extending in the direction.
[0052]
The semiconductor film is brought into a molten state, aggregated in an opening formed on the insulating surface by surface tension, and crystal is grown from a general intersection of the bottom and the side of the opening, thereby distorting a strain caused by crystallization in other than the opening. You can concentrate on the area. That is, the crystalline semiconductor film formed so as to fill the opening can be released from distortion. Then, the crystalline semiconductor film which remains on the insulating film and includes crystal grain boundaries and crystal defects is removed by etching.
[0053]
According to the present invention, it is possible to form a crystalline semiconductor film having no crystal grain boundary by specifying the location of a channel formation region of a semiconductor element such as a transistor, particularly a TFT. As a result, it is possible to eliminate a factor in which the characteristics vary due to crystal grain boundaries or crystal defects that are inadvertently interposed, and it is possible to form a TFT or a TFT element group with small characteristic variations.
[0054]
Further, by forming the gate insulating film and the gate electrode so as to overlap with the side surface portion and the upper surface portion of the crystalline semiconductor film, the area of the channel formation region can be increased, and on-state current can be increased. Further, by applying a gate voltage from three directions, carriers injected into the gate insulating film, particularly hot carriers, can be reduced, and the reliability of the transistor can be improved.
[0055]
Further, the present invention provides an insulating film in which an opening is formed over a substrate having an insulating surface, wherein the crystalline semiconductor film formed over the substrate has a region which fills the opening, A channel formation region is provided.
[0056]
In another structure, an insulating film provided with an opening extending in a channel length direction is provided over a substrate having an insulating surface, and a crystalline semiconductor film formed over the substrate has a region filling the opening. And a channel formation region is provided in the filling region, and the opening has a depth equal to or greater than that of the crystalline semiconductor film.
[0057]
In another structure, a crystalline semiconductor is provided in a rectangular or band-shaped opening formed in an insulating surface, and the crystalline semiconductor and a gate electrode overlap with each other with a gate insulating film interposed therebetween. It is characterized by.
[0058]
In another structure, a crystalline semiconductor is provided in an opening formed in an insulating surface and extending in a channel length direction, and the crystalline semiconductor and a gate electrode overlap with each other with a gate insulating film interposed therebetween. It is characterized by.
[0059]
After the semiconductor film is melted by irradiation with laser light or strong light, solidification starts from a region where the bottom surface and the side surface of the opening intersect, from which crystal growth starts. For example, as a result of performing a thermal analysis simulation at points A to D in a system in which a stepped shape is formed by the insulating film (1) and the insulating film (2) as shown in FIG. 45, characteristics as shown in FIG. 46 are obtained. ing. Since there is both the insulating film (2) immediately below {circle around (1)} and the insulating film (1) existing on the side surface as a place where heat escapes, the temperature decreases at point B most quickly. Hereinafter, point A, point C, and point D are in that order. This simulation result is for the case where the angle of the side wall is 45 degrees, but the same phenomenon can be qualitatively observed when the angle is 90 degrees.
[0060]
That is, the semiconductor film is once brought into a molten state, aggregated in an opening formed on an insulating surface by surface tension, and crystal is grown from a substantially intersection of a bottom and a side wall of the opening, thereby causing distortion generated by crystallization to occur in the opening. Can be concentrated in other areas. That is, the crystalline semiconductor film formed so as to fill the opening can be free from distortion.
[0061]
The conventional crystallization technology of a semiconductor film called graphoepitaxy attempts to epitaxially grow a semiconductor film by inducing a relief lattice on a surface of an amorphous substrate which is artificially formed. Met. The technology related to this graphoepitaxy is described in the above-mentioned Non-Patent Document 2. In the above-mentioned papers and the like, the technique of graphoepitaxy is that a step is provided on the surface of an insulating film, and a semiconductor film formed on the insulating film is subjected to a treatment such as heating or irradiation with a laser beam. It is disclosed that the crystal of the film is grown epitaxially. However, the temperature required for epitaxial growth needs to be at least about 700 ° C., and when epitaxial growth is performed on a glass substrate, a grain boundary is formed in the semiconductor film near the edge of the concave portion or the convex portion of the insulating film. In the present invention, the shape of the concave portion or the convex portion of the insulating film and the position of the edge are designed in accordance with the layout of the island so that the island mask is laid out and the crystallinity in the portion serving as the island can be enhanced. Specifically, the shape, size, and the like of the concave portion or the convex portion are determined so that the island does not overlap with the edge of the concave portion or the convex portion and the center between the edges of the concave portion or the convex portion. Then, an insulating film whose pattern is designed according to the layout of the island is used to prevent the formation of a grain boundary in a portion where the island is formed. Then, an island having relatively excellent crystallinity is formed by patterning. Therefore, the technology disclosed in the present invention is the same as the conventional graphoepitaxy in that a semiconductor film is formed on an insulating film having a step and the semiconductor film is crystallized using the step. Does not include the concept of using a step to prevent grain boundaries from entering an island, and is similar to the present invention.
[0062]
BEST MODE FOR CARRYING OUT THE INVENTION
(Embodiment 1)
Hereinafter, embodiments of the present invention will be described with reference to the drawings. FIG. 1 is a longitudinal sectional view for explaining a step of forming a crystalline semiconductor film of the present invention.
[0063]
In FIG. 1A, a first insulating film 201 formed using silicon nitride, silicon oxynitride, aluminum nitride, or aluminum oxynitride in which the nitrogen content is larger than the oxygen content is formed to have a thickness of 30 to 300 nm. . A second insulating film 202 having a thickness of 10 to 3000 nm, preferably 100 to 2000 nm and having an opening in a predetermined shape is formed thereover with silicon oxide or silicon oxynitride. The predetermined shape may be a rectangle, a circle, a polygon, a strip, or a shape that matches the shape of the island-shaped semiconductor film (active layer) of the TFT to be manufactured. Silicon oxide is composed of tetraethyl orthosilicate (TEOS) and O 2 Can be mixed and formed by a plasma CVD method. Silicon oxynitride film is SiH 4 , N 2 O or SiH 4 , NH 3 , N 2 It can be formed by a plasma CVD method using O as a raw material.
[0064]
The first insulating film 201 and the second insulating film 202 are selectively processed by etching using buffered hydrofluoric acid or CHF 3 And CF 4 This is performed by dry etching using. In any case, in order to secure a selectivity in the etching process, it is desirable to appropriately adjust the material and the film forming conditions so that the etching rate of the second insulating film is relatively faster than that of the first insulating film. . The angle of the side surface of the opening formed by the second insulating film may be appropriately set in the range of 5 to 120 degrees, preferably 80 to 100 degrees.
[0065]
As a member used as a substrate, a commercially available alkali-free glass substrate, a quartz substrate, a sapphire substrate, a substrate in which the surface of a single crystal or polycrystalline semiconductor substrate is covered with an insulating film, or a substrate in which the surface of a metal substrate is covered with an insulating film, must be used. Is possible.
[0066]
There is no limitation on the width W1 of the second insulating film 202 remaining after the etching, and the second insulating film 202 is formed to have a width of about 0.1 to 10 μm. The width W2 of the opening formed in the second insulating film 202 is 0.01 to 2 μm (preferably 0.1 to 1 μm), and the thickness d of the second insulating film is 0.01 to 3 μm (preferably (0.1-2 μm). The length of the opening (the direction perpendicular to the plane of the paper) is not particularly limited, and the opening may be formed linearly or with a curved portion. For example, the length is such that a channel forming region of a TFT can be formed. I just want it.
[0067]
As shown in FIG. 1B, the amorphous semiconductor film 204 covering the surface of the first insulating film 201 and the second insulating film 202 and covering the opening is formed to have a thickness of 0.01 to 3 μm (preferably 0.1 to 0.1 μm). 1 μm), that is, the thickness is preferably equal to or greater than the depth of the opening formed in the second insulating film 202. As the amorphous semiconductor film, silicon, a compound or alloy of silicon and germanium, or a compound or alloy of silicon and carbon can be used. As shown, the amorphous semiconductor film is formed over the underlying insulating film and over the opening, and is deposited reflecting the irregularities of the underlying layer. In addition, the influence of chemical contamination such as boron attached to the surfaces of the first insulating film and the second insulating film is eliminated, and the amorphous semiconductor film is formed so that silicon nitride does not directly contact the amorphous semiconductor film. It is preferable that a silicon oxynitride film be continuously formed as the third insulating film 203 on the lower layer side without being exposed to the air in the same deposition apparatus.
[0068]
Then, the amorphous semiconductor film 204 is instantaneously melted and crystallized. In this crystallization, laser light or radiation light from a lamp light source is condensed by an optical system to an energy density at which the semiconductor film is melted and irradiated. In this step, it is particularly preferable to apply laser light using a continuous wave laser oscillation device as a light source. The applied laser light is linearly condensed by the optical system and expanded in the longitudinal direction, and its intensity distribution has a uniform region in the longitudinal direction and has a distribution in the lateral direction. It is desirable.
[0069]
As the laser oscillation device, a rectangular beam solid laser oscillation device is applied, and particularly preferably, a slab laser oscillation device is applied. As the slab material, crystals such as Nd: YAG, Nd: GGG (gadolinium / gallium / garnet), and Nd: GsGG (gadolinium / scandium / gallium / garnet) are used. In the slab laser, the laser beam travels in a zigzag optical path in this plate-shaped laser medium while repeating total reflection. Alternatively, it is a solid-state laser oscillation device using a rod doped with Nd, Tm, and Ho, and in particular, YAG, YVO 4 , YLF, YAlO 3 A solid-state laser oscillation device using a crystal in which Nd, Tm, and Ho are doped into a crystal such as the above may be combined with a slab structure amplifier. Then, as shown by an arrow in the drawing, scanning is performed in a direction intersecting the linear longitudinal direction. Here, the term “linear” means that the ratio of the length in the longitudinal direction to the length in the lateral direction is 1:10 or more.
[0070]
The wavelength of the continuous wave laser light is preferably 400 to 700 nm in consideration of the light absorption coefficient of the amorphous semiconductor film. Light in such a wavelength band is obtained by extracting the second harmonic and the third harmonic of the fundamental wave using a wavelength conversion element. ADP (ammonium dihydrogen phosphate), Ba 2 NaNb 5 O Fifteen (Sodium barium niobate), CdSe (selenium cadmium), KDP (potassium dihydrogen phosphate), LiNbO 3 (Lithium niobate), Se, Te, LBO, BBO, KB5 and the like are applied. It is particularly desirable to use LBO. A typical example is Nd: YVO 4 A second harmonic (532 nm) of a laser oscillation device (fundamental wave 1064 nm) is used. The laser oscillation mode is TEM 00 Apply the single mode that is the mode.
[0071]
For silicon, which is chosen as the most suitable material, the absorption coefficient is 10 3 -10 4 cm -1 Is substantially in the visible light range. When crystallizing a substrate having a high visible light transmittance such as glass and an amorphous semiconductor film formed with silicon to have a thickness of 30 to 200 nm, irradiation with light in a visible light region having a wavelength of 400 to 700 nm is performed. By selectively heating the semiconductor film, crystallization can be performed without damaging the base insulating film. Specifically, the penetration length of light having a wavelength of 532 nm into the amorphous silicon film is approximately 100 nm to 1000 nm, and it is possible to sufficiently reach the inside of the amorphous semiconductor film 204 formed with a film thickness of 30 nm to 200 nm. it can. That is, heating can be performed from the inside of the semiconductor film, and substantially the entire semiconductor film in the laser light irradiation region can be uniformly heated.
[0072]
Semiconductors that are instantaneously melted by the irradiation of laser light are gathered in openings (concave portions) due to surface tension. Thus, the crystalline semiconductor film 205 formed by solidification has a substantially flat surface as shown in FIG. A crystal growth end and a crystal grain boundary are formed on the second insulating film (on the convex portion) (region 220 shown in FIG. 1C).
[0073]
After that, heat treatment is preferably performed at 500 to 600 ° C. as shown in FIG. 1D to remove strain accumulated in the crystalline semiconductor film. This distortion is caused by volume shrinkage of the semiconductor caused by crystallization, thermal stress with the base, lattice mismatch, and the like. This heat treatment may be performed for 1 to 10 minutes using, for example, a gas heating type instantaneous thermal annealing (RTA) method. This step is not an essential requirement in the present invention, and may be appropriately selected and performed.
[0074]
As shown in FIG. 1E, the surface of the crystalline semiconductor film 205 is etched to selectively extract the crystalline semiconductor film 206 embedded in the opening (recess). This is intended to remove the crystalline semiconductor film remaining on the second insulating film 202 and including the crystal grain boundaries and crystal defects, and to leave only high-quality crystals in the openings (recesses). The crystalline semiconductor film 206 has a plurality of crystal orientations and has a feature that no crystal grain boundary is formed.
[0075]
In particular, a TFT can be completed by forming a gate insulating film and a gate electrode so that a channel formation region is positioned using a crystalline semiconductor which fills an opening (recess). At this time, by forming an opening in a direction parallel to the channel length direction of the TFT and scanning laser light in that direction, crystal growth can be performed in that direction, and a specific crystal orientation can be preferentially given. Crystals can be grown.
[0076]
FIG. 2 is a conceptual diagram showing the knowledge of crystallization obtained from the experimental results by the present inventors. FIGS. 2A to 2E schematically illustrate the relationship between the depth and spacing of openings (recesses) formed by the first insulating film and the second insulating film and the crystal growth.
[0077]
It should be noted that, regarding the reference numerals related to the length shown in FIG. 2, t01: the thickness of the amorphous semiconductor film 204 on the second insulating film 202 (convex portion), and t02: the thickness of the amorphous semiconductor film 204 in the opening portion (concave portion). Thickness, t11: thickness of the crystalline semiconductor film 205 on the second insulating film 202 (convex portion), t12: thickness of the crystalline semiconductor film 205 on the opening (concave portion), d: thickness of the second insulating film 202 The thickness (depth of the opening), W1: the width of the second insulating film 202, and W2: the width of the opening.
[0078]
FIG. 2A shows a case where d <t02, W1 and W2 are about the same as or smaller than 1 μm, and when the depth of the groove of the opening is smaller than the amorphous semiconductor film 204, the molten crystal Since the opening is shallow even after the formation process, the surface of the crystalline semiconductor film 205 is not sufficiently flattened. That is, the uneven shape of the base of the crystalline semiconductor film 205 is left almost preserved.
[0079]
FIG. 2B shows a case where d ≧ t02 and W1 and W2 are about the same as or smaller than 1 μm, and when the depth of the opening is substantially equal to or larger than that of the amorphous semiconductor film 204. Are gathered in the openings (recesses) due to surface tension. As a result, in the solidified state, the surface becomes almost flat as shown in FIG. In this case, t11 <t12, and stress concentrates on the thinner portion 220 on the second insulating film 202, where distortion is accumulated and a crystal grain boundary is formed.
[0080]
A scanning electron microscope (SEM) photograph shown in FIG. 25 shows an example, in which a 150-nm amorphous silicon film is formed on a base insulating film having a step of 170 nm and a width and interval of a convex portion of 0.5 μm. Shows the result of crystallization. The surface of the crystalline semiconductor film is etched with a Seco solution in order to make crystal grain boundaries visible. HF: H 2 O = 2: 1 K as additive 2 Cr 2 O 7 It is a drug solution prepared using As is clear from this photograph, it is understood that the crystal grain boundaries are concentrated on the step-shaped protrusions.
[0081]
FIG. 27 shows the result of determining the orientation of a crystalline semiconductor film formed in an opening (recess) using a backscattered electron diffraction pattern (EBSP). The EBSP is provided with a dedicated detector in a scanning electron microscope (SEM), irradiates the crystal surface with an electron beam, and allows the computer to recognize the crystal orientation from the Kikuchi line using a computer to recognize the microscopic image. The crystallinity is measured not only in the surface orientation but also in all directions of the crystal (hereinafter, this method is referred to as EBSP method for convenience).
[0082]
The data in FIG. 27 shows that the crystal grows in the opening (recess) in a direction parallel to the scanning direction of the laser light condensed linearly.
[0083]
FIG. 2C shows a case where d >> t02 and W1 and W2 are equal to or smaller than 1 μm. In this case, the crystalline semiconductor film 205 is formed so as to fill the opening, and the second insulating film 205 is formed. It is also possible to make it hardly remain on the film 202.
[0084]
FIG. 2D shows a case where d ≧ t02 and W1 and W2 are about the same or slightly larger than 1 μm. When the width of the opening is widened, the crystalline semiconductor film 205 fills the opening, and there is an effect of flattening. However, a crystal grain boundary or a crystal sub-grain boundary is generated near the center of the opening. In addition, stress is similarly concentrated on the second insulating film, where strain is accumulated, and a crystal grain boundary is formed. It is presumed that this is because the effect of stress relaxation is reduced by increasing the interval.
[0085]
FIG. 2E shows a case where d ≧ t02 and W1 and W2 are larger than 1 μm, and the state of FIG. 2D becomes more apparent.
[0086]
A scanning electron microscope (SEM) photograph shown in FIG. 26 shows an example, in which a 150 nm amorphous silicon film is formed on a base insulating film provided with a step of 170 nm and a width and interval of a convex portion of 1.8 μm. Shows the result of crystallization. The surface of the crystalline semiconductor film is etched with a Seco solution in order to make crystal grain boundaries visible. As is clear from the comparison with FIG. 25, it can be seen that the crystal grain boundary extends not only to the step-shaped projection but also to the opening (depression). Therefore, with such a structure, a crystalline semiconductor film without a crystal grain boundary cannot be selectively extracted.
[0087]
As described above with reference to FIG. 2, when a semiconductor element is formed, in particular, when a channel formation region in a transistor is formed using such a semiconductor film, the embodiment in FIG. 2B is most suitable. it is conceivable that. Further, here, as an example, the unevenness of the base on which the crystalline semiconductor film is formed is formed using the first insulating film 201 and the second insulating film 202; however, the present invention is not limited to this embodiment and has a similar shape. Anything can be substituted. For example, an opening may be directly formed by etching the surface of a quartz substrate to form an uneven shape.
[0088]
FIG. 14 illustrates an example of a configuration of a laser irradiation apparatus that can be used for crystallization. FIG. 14 shows laser oscillators 401a and 401b, shutter 402, high conversion mirrors 403 to 406, cylindrical lenses 407 and 408, slit 409, mounting table 411, and driving means 412 and 413 for displacing mounting table 411 in the X and Y directions. FIG. 2 is a front view showing the configuration of a laser irradiation apparatus including control means 414 for controlling the driving means, laser oscillation devices 401a and 401b based on a program stored in advance, and information processing means 415 for sending a signal to the control means 414. And a side view.
[0089]
As the laser oscillation device, a rectangular beam solid laser oscillation device is applied, and particularly preferably, a slab laser oscillation device is applied. Or YAG, YVO 4 , YLF, YAlO 3 A solid-state laser oscillation device using a crystal in which Nd, Tm, and Ho are doped into a crystal such as the above may be combined with a slab structure amplifier. As the slab material, crystals such as Nd: YAG, Nd: GGG (gadolinium / gallium / garnet), and Nd: GsGG (gadolinium / scandium / gallium / garnet) are used. In addition, a gas laser oscillation device and a solid laser oscillation device capable of continuous oscillation can be applied. YAG, YVO as continuous wave solid-state laser oscillators 4 , YLF, YAlO 3 A laser oscillation device using a crystal in which Cr, Nd, Er, Ho, Ce, Co, Ti, or Tm is doped into such a crystal is applied. The fundamental wave of the oscillation wavelength varies depending on the material to be doped, but oscillates at a wavelength of 1 μm to 2 μm. In order to obtain a higher output of 5 W or more, a diode-pumped solid-state laser oscillator may be cascaded.
[0090]
The circular or rectangular laser light output from such a laser oscillation device is condensed linearly by the cylindrical lenses 407 and 408 in the cross-sectional shape of the irradiation surface. Further, in order to prevent interference on the irradiation surface, the high conversion mirror is appropriately adjusted so that the light enters from an oblique direction at an angle of 10 to 80 degrees. If the cylindrical lenses 407 and 408 are made of synthetic quartz, a high transmittance can be obtained, and a coating applied to the lens surface is applied to achieve a transmittance of 99% or more with respect to the wavelength of laser light. Of course, the cross-sectional shape of the irradiation surface is not limited to a linear shape, and may be an arbitrary shape such as a rectangular shape, an elliptical shape, or an oval shape. In any case, the ratio of the short axis to the long axis is in the range of 1:10 to 1: 1100. Further, the wavelength conversion element 410 is provided for obtaining a harmonic with respect to the fundamental wave.
[0091]
In addition, the substrate 420 can be laser-annealed by moving the mounting table 411 in the biaxial directions by the driving means 412 and 413. In one direction, the substrate 420 can be continuously moved at a constant speed of 1 to 200 cm / sec, preferably 5 to 75 cm / sec over a distance longer than the length of one side of the substrate 420, and linearly moved to the other. It is possible to step-discontinuously move the same distance as the longitudinal direction of the shaped beam. The oscillation of the laser oscillation devices 401a and 401b and the mounting table 411 are operated in synchronization by the information processing means 415 equipped with a microprocessor.
[0092]
The mounting table 411 makes a linear motion in the X direction shown in the drawing, thereby enabling the entire surface of the substrate to be processed by laser light emitted from a fixed optical system. The position detecting means 416 detects that the substrate 420 is at the irradiation position of the laser light, transmits the signal to the information processing means 415, and synchronizes the irradiation timing of the laser light by the information processing means 415. That is, when the substrate 420 is not at the laser light irradiation position, the shutter 402 is closed to stop the laser light irradiation.
[0093]
The laser light applied to the substrate 420 by the laser irradiation apparatus having such a structure can process a desired region or the entire surface of the semiconductor film by relatively moving in the X direction or the Y direction shown in the drawing.
[0094]
As described above, in the crystallization of irradiating a continuous wave laser beam to an amorphous semiconductor film, an opening (or a concavo-convex shape accompanying the opening) is provided on the base side of the semiconductor film, so that a region other than the opening is formed. Strain or stress due to crystallization can be concentrated, and a region with poor crystallinity such as a crystal grain boundary can be selectively formed. That is, it is possible to leave a crystalline semiconductor film in which a plurality of crystal grains having a plurality of crystal orientations in the opening and having a plurality of crystal grains extending in a direction parallel to the growth direction are formed without forming a crystal grain boundary. it can. It can also be said that the crystalline semiconductor serving as the active layer can be prevented from being subjected to the strain or stress. By forming a TFT such that a channel formation region is provided with such a crystalline semiconductor film, it is possible to improve current driving capability at high speed and to improve the reliability of an element. .
[0095]
FIG. 35 shows another example of the configuration of a laser irradiation apparatus that can be used for crystallization. The laser irradiation apparatus shown in FIG. 35 differs from the laser irradiation apparatus shown in FIG. 14 only in the optical system.
[0096]
FIG. 35 shows laser oscillators 5301a, 5301b, shutter 5302, high conversion mirrors 5303 to 5306, slit 5307, cylindrical lenses 5308, 5309, mounting table 5311, and driving means 5312, 5313 for displacing mounting table 5311 in the X and Y directions. Front view of a configuration of a laser irradiation apparatus including control means 5314 for controlling the driving means, laser oscillation devices 5301a and 5301b based on a program stored in advance, and information processing means 5315 for sending a signal to control means 5314; And a side view.
[0097]
In FIG. 35, laser light condensed linearly in the cross-sectional shape of the irradiation surface by the cylindrical lenses 5308 and 5309 is obliquely incident on the surface of the substrate 5320 on the mounting table 5311. As a result, the focal position shifts due to aberration such as astigmatism, and a linear condensing surface can be formed on or near the irradiation surface. If the cylindrical lenses 5308 and 5309 are made of synthetic quartz, a high transmittance can be obtained, and the coating applied to the lens surface is applied to realize a transmittance of 99% or more with respect to the wavelength of laser light.
[0098]
(Embodiment 2)
The perspective view shown in FIG. 31 shows an embodiment in which a first insulating film 5102 and second insulating films 5103 to 5105 patterned in a belt shape are formed on a substrate 5101. Here, three strip-shaped patterns made of the second insulating film are shown, but the number is not limited to the number. As the substrate, a commercially available alkali-free glass substrate, a quartz substrate, a sapphire substrate, a substrate in which the surface of a single crystal or polycrystalline semiconductor substrate is covered with an insulating film, and a substrate in which the surface of a metal substrate is covered with an insulating film can be used.
[0099]
The width W1 of the second insulating films 5103 to 5105 formed in a strip shape is 0.1 to 10 μm (preferably 0.5 to 1 μm), and the interval W2 between the adjacent second insulating films 5103 to 5105 is 0.1 to 5 μm (preferably). Is 0.5 to 1 μm), and the thickness d of the second insulating films 5103 to 5105 is formed to be equal to or greater than the thickness of the non-single-crystal semiconductor film formed thereon. The step shape does not need to be a regular periodic pattern, and may be formed according to the arrangement and shape of the island-shaped semiconductor region including the channel formation region of the TFT. Therefore, the length L of the second insulating films 5103 to 5105 is not limited, and may be any length as long as a channel formation region of a TFT can be formed.
[0100]
The first insulating film 5102 is formed using silicon nitride or silicon oxynitride. The second insulating films 5103 to 5105 are formed using silicon oxide or silicon oxynitride. Silicon oxide is composed of tetraethyl orthosilicate (TEOS) and O 2 Can be mixed and formed by a plasma CVD method. Silicon oxynitride film is SiH 4 , NH 3 , N 2 O or SiH 4 , N 2 It can be formed by a plasma CVD method using O as a raw material.
[0101]
As shown in FIG. 31, when the unevenness due to the opening is formed by the first insulating film 5102 and the second insulating films 5103 to 5105, the second insulating film is formed in order to secure a selectivity in etching. It is desirable to appropriately adjust the material and the film forming conditions so that the etching rates of 5103 to 5105 become relatively high. The angle of the side wall of the opening formed by the second insulating films 5103 to 5105 may be appropriately set in the range of 5 to 120 degrees, preferably 80 to 100 degrees.
[0102]
As shown in FIG. 32, an amorphous semiconductor film 5106 including the first insulating film 5102 and the second insulating films 5103 to 5105 and covering the opening is formed to a thickness of 50 to 200 nm. As the amorphous semiconductor film, silicon, a compound or alloy of silicon and germanium, or a compound or alloy of silicon and carbon can be used.
[0103]
Then, the amorphous semiconductor film 5106 is irradiated with continuous wave laser light to perform crystallization. The applied laser light is linearly condensed and expanded by an optical system, and its intensity distribution has a uniform region in the longitudinal direction and may have a distribution in the lateral direction. As the laser oscillation device used as the device, a rectangular beam solid laser oscillation device is applied, and particularly preferably, a slab laser oscillation device is applied. Alternatively, it is a solid-state laser oscillation device using a rod doped with Nd, Tm, and Ho, and in particular, YAG, YVO 4 , YLF, YAlO 3 A solid-state laser oscillation device using a crystal in which Nd, Tm, and Ho are doped into a crystal such as the above may be combined with a slab structure amplifier. Then, as shown by an arrow in the drawing, scanning is performed in a direction intersecting the linear longitudinal direction. At this time, it is most desirable to scan in a direction parallel to the longitudinal direction of the band-shaped pattern formed on the base insulating film. Here, the term “linear” means that the ratio of the length in the longitudinal direction to the length in the lateral direction is 1:10 or more.
[0104]
As the slab material, crystals such as Nd: YAG, Nd: GGG (gadolinium / gallium / garnet), and Nd: GsGG (gadolinium / scandium / gallium / garnet) are used. In the slab laser, the laser beam travels in a zigzag optical path in this plate-shaped laser medium while repeating total reflection.
[0105]
The wavelength of the continuous wave laser light is preferably 400 to 700 nm in consideration of the light absorption coefficient of the amorphous semiconductor film 5106. Light in such a wavelength band is obtained by extracting the second harmonic and the third harmonic of the fundamental wave using a wavelength conversion element. ADP (ammonium dihydrogen phosphate), Ba 2 NaNb 5 O Fifteen (Sodium barium niobate), CdSe (selenium cadmium), KDP (potassium dihydrogen phosphate), LiNbO 3 (Lithium niobate), Se, Te, LBO, BBO, KB5 and the like are applied. It is particularly desirable to use LBO. A typical example is Nd: YVO 4 A second harmonic (532 nm) of a laser oscillation device (fundamental wave 1064 nm) is used. The laser oscillation mode is TEM 00 Apply the single mode that is the mode.
[0106]
For silicon, which is chosen as the most suitable material, the absorption coefficient is 10 3 -10 4 cm -1 Is substantially in the visible light range. When crystallizing a substrate such as glass having a high visible light transmittance and an amorphous semiconductor film 5106 formed of silicon with a thickness of 30 to 200 nm, irradiation with light in a visible light region having a wavelength of 400 to 700 nm is performed. By selectively heating the semiconductor region, crystallization can be performed without damaging the base insulating film. Specifically, the penetration length of light having a wavelength of 532 nm into the amorphous silicon film 5106 is approximately 100 nm to 1000 nm, and the penetration depth sufficiently reaches the inside of the amorphous semiconductor film 5106 formed to have a thickness of 30 nm to 200 nm. Can be. That is, heating can be performed from the inside of the semiconductor film, and substantially the entire semiconductor film in the laser light irradiation region can be uniformly heated.
[0107]
The semiconductor melted by the irradiation of the laser beam is gathered in the opening (recess) due to the surface tension. In the solidified state, the surface becomes substantially flat as shown in FIG. Further, a crystal growth edge, a crystal grain boundary, or a crystal sub-grain boundary is formed on the second insulating films 5103 to 5105 (on the convex portions) (a region 5110 shown by hatching in the figure). Thus, a crystalline semiconductor film 5107 is formed.
[0108]
Thereafter, as shown in FIG. 34, the crystalline semiconductor film 5107 is etched to form island-shaped semiconductor regions 5108 and 5109. At this time, only the high-quality semiconductor region can be left by etching away the region 5110 where the growth edge, the crystal grain boundary, or the crystal sub-grain boundary is concentrated. Then, a gate insulating film and a gate electrode are formed so as to position a channel formation region using a crystalline semiconductor which fills the island-shaped semiconductor regions 5108 and 5109, particularly, an opening (recess). Through these steps, the TFT can be completed.
[0109]
(Embodiment 3)
Next, a method for irradiating a laser beam used in the present invention will be described with reference to FIG.
[0110]
First, a first base film 6101 made of an insulating film is formed over a substrate as shown in FIG. Then, a second base film 6102 made of a rectangular insulating film is formed on the first base film 6101, and the third base film 6103 is formed so as to cover the first and second base films 6101 and 6102. Is formed. In this embodiment, silicon nitride is used for the first base film 6101, silicon oxide is used for the second base film 6102, and a silicon oxide film is used for the third insulating film 6103. Note that the material of the first to third base films 6101 to 6103 is not limited to this, and a material which can withstand heat treatment in a later step and which has an adverse effect on TFT characteristics in a semiconductor film to be formed later. Any insulating film can be used as long as it can prevent metal from entering and can form unevenness. The method of forming the irregularities will be described later in detail. Further, these other insulating films may be used. Further, a stacked structure of two or more films may be used.
[0111]
In FIG. 48, the first to third base films 6101 to 6103 are separately shown, but here, the three base films are collectively referred to as a base film 6104. Note that although the base film 6104 having unevenness is formed using three base films in this embodiment, the structure of the base film used in the present invention is not limited to this.
[0112]
At this time, at the same time as the base film 6104, a marker may be formed using a part of the base film.
[0113]
The substrate (not shown) may be any material as long as it can withstand the processing temperature in the subsequent step, for example, a quartz substrate, a silicon substrate, a glass substrate such as barium borosilicate glass or aluminoborosilicate glass, a metal substrate or a stainless steel substrate. A substrate on which an insulating film is formed can be used. Alternatively, a plastic substrate having heat resistance enough to withstand the processing temperature may be used.
[0114]
Next, a semiconductor film 6105 is formed so as to cover the base film 6104. The semiconductor film 6105 can be formed by a known method (a sputtering method, an LPCVD method, a plasma CVD method, or the like). Note that the semiconductor film may be an amorphous semiconductor film or a crystalline semiconductor film including a microcrystalline semiconductor film or a polycrystalline semiconductor film. The semiconductor film may be made of silicon germanium or other semiconductors instead of silicon. In addition, after the third base film 6103 is formed, the film is formed continuously without opening to the air, whereby entry of impurities between the semiconductor film 6105 and the base film 6104 can be prevented.
[0115]
Note that the shape and size of the projections of the base film 6104 can be appropriately set by a designer, but the thickness is set so that a semiconductor film to be formed later will not be cut off near the edges of the projections. There is a need to.
[0116]
Next, as illustrated in FIG. 48B, the semiconductor film 6105 is irradiated with laser light. By irradiation with the laser light, the semiconductor film 6105 is temporarily melted, and its volume moves from the upper portion of the convex portion to the concave portion as shown by a white arrow. Then, a semiconductor film (after LC) 6106 whose surface is flattened and whose crystallinity is enhanced is formed. The energy density of the laser beam is low near the edge of the laser beam, so that the crystal grains are small near the edge, and a protruding portion (ridge) appears along the crystal grain boundary. Therefore, irradiation is performed so that the edge of the trajectory of the laser beam of the laser light does not overlap with a portion to be a channel formation region or a portion located over a concave portion of the semiconductor film 6105.
[0117]
Note that the scanning direction of the laser beam may be parallel to the longitudinal direction of the convex portion of the base film 6104, or may be determined in another direction.
[0118]
In the present invention, a known laser can be used. It is desirable that the laser light be continuous oscillation, but it is considered that the effect of the present invention can be obtained to some extent even with pulse oscillation. As the laser, a gas laser or a solid laser can be used. Excimer laser, Ar laser, Kr laser and the like are available as gas lasers, and YAG laser, YVO 4 Laser, YLF laser, YAlO 3 Laser, glass laser, ruby laser, alexandrite laser, Ti: sapphire laser, Y 2 O 3 Laser and the like. As a solid-state laser, YAG, YVO doped with Cr, Nd, Er, Ho, Ce, Co, Ti, Yb or Tm is used. 4 , YLF, YAlO 3 A laser using a crystal such as is applied. The fundamental wave of the laser depends on the material to be doped, and a laser beam having a fundamental wave of about 1 μm can be obtained. Harmonics with respect to the fundamental wave can be obtained by using a nonlinear optical element.
[0119]
Furthermore, after converting an infrared laser beam emitted from a solid-state laser into a green laser beam by a nonlinear optical element, an ultraviolet laser beam obtained by another nonlinear optical element can be used.
[0120]
The thickness of the semiconductor film (after LC) 6106 is increased above the concave portion of the base film 6104 and, conversely, is reduced above the convex portion due to volume movement caused by irradiation with laser light. Therefore, a grain boundary 6149 is easily generated on the convex portion due to the stress, and a state of good crystallinity is obtained on the concave portion. Note that the semiconductor film (after LC) 6106 does not necessarily include a grain boundary on the concave portion. However, even if a grain boundary is present, the crystallinity is relatively excellent because the crystal grains are large.
[0121]
Next, as shown in FIG. 48C, the surface of the semiconductor film (after LC) 6106 is etched to expose the upper surface of the projection of the base film 6104. Note that in this embodiment, the semiconductor film (after LC) 6106 is etched so that the upper surface of the convex portion of the third base film 6103 is exposed, so that the semiconductor film 6107 remains in the concave portion of the base film 6104.
[0122]
Next, only the base film 6104 is removed by etching. Note that it is important that the base film 6104 and the semiconductor film (after etching) 6107 are materials which can have a high selectivity at the time of etching. For example, in the case where silicon oxide is used for the second base film 6102 and the third 3 , CF 3 It is preferable to use dry etching using a gas or wet etching using a hydrofluoric acid-based etchant. In the case where dry etching is used, the base film 6104 located below the semiconductor film 6107 is not etched due to wraparound, and the side surface of the semiconductor film can be tapered. When the side surface of the semiconductor film has a tapered shape, the insulating film and the gate electrode formed in a later step can be prevented from being cut. In the case where wet etching is used, the projections of the base film can be removed without etching the upper surface of the semiconductor film.
[0123]
Then, a semiconductor film (island) 6108 is formed as shown in FIG. A part of the third insulating film 6103 located below the island 6108 is referred to as a fourth insulating film 6109.
[0124]
By using the islands obtained by the above-described series of steps as an active layer of the TFT, more preferably, a channel forming region of the TFT, formation of a grain boundary in the channel forming region of the TFT can be prevented. Accordingly, it is possible to prevent the mobility of the TFT from being significantly reduced, the ON current from being reduced, and the OFF current from being increased. It should be noted that the designer can appropriately determine how far the edge of the concave portion or the convex portion should be removed by patterning.
[0125]
(Embodiment 4)
In the formation of the crystalline semiconductor film of the present invention, as described in Embodiment Modes 1 to 3, the amorphous semiconductor film may be irradiated with a laser beam to be crystallized. After the crystalline semiconductor film is crystallized, it may be further irradiated with a laser beam to be melted and recrystallized.
[0126]
FIG. 3 shows an example thereof. First, as in Embodiment 1, a first insulating film 201, a second insulating film 202, a silicon oxynitride film 203, and an amorphous semiconductor film 204 are formed. Ni is added to the amorphous semiconductor film 204 as a metal element having a catalytic action to promote crystallization, such as lowering the crystallization temperature of silicon to improve the orientation. The method for adding Ni is not limited, and a spin coating method, an evaporation method, a sputtering method, or the like can be applied. In the case of using the spin coating method, an aqueous solution containing 5 to 10 ppm of nickel acetate is applied to form the metal element-containing layer 210. Of course, the catalyst element is not limited to Ni, and other known materials may be used.
[0127]
After that, as shown in FIG. 3B, the amorphous semiconductor film 204 is crystallized by a heat treatment at 550 to 580 ° C. for 4 to 8 hours, so that the crystalline semiconductor film 211 is formed. The crystalline semiconductor film 211 is made up of a collection of rod-shaped or needle-shaped crystals, each of which is macroscopically grown in a specific direction, and thus has uniform crystallinity. Another feature is that the orientation ratio in a specific direction is high.
[0128]
As shown in FIG. 3C, the crystalline semiconductor film 211 crystallized by the heat treatment is irradiated with a continuous wave laser beam or an intense light equivalent thereto to be melted and recrystallized. Thus, the crystalline semiconductor film 212 whose surface is almost flattened can be obtained. Similarly, the crystalline semiconductor film 212 has a crystal growth end and a crystal grain boundary formed on the second insulating film 202 (on the convex portion). Further, the amorphous region remaining in the crystalline semiconductor film 211 can be crystallized by this process.
[0129]
The advantage of using a crystalline semiconductor film as an object to be irradiated with laser light is the fluctuation rate of the light absorption coefficient of the semiconductor film. Even if the crystallized semiconductor film is irradiated with the laser light and melted, the light absorption coefficient hardly changes. do not do. Therefore, the margin of the laser irradiation condition can be widened.
[0130]
After that, a gettering treatment for removing a metal element remaining in the crystalline semiconductor film 212 is preferably performed. A barrier film 213 made of thin silicon oxide or the like is formed in contact with the crystalline semiconductor film 212, and a rare gas element is 20 atoms / cm 3 The amorphous silicon film 214 containing the above concentration is formed as a gettering site. The heat treatment may be performed at 500 to 700 ° C. For details of this technique, refer to JP-A-2002-313811. Further, the heat treatment accompanying the gettering treatment also has an effect of reducing distortion of the crystalline semiconductor film 212.
[0131]
After that, as shown in FIG. 3E, the amorphous silicon film 214 and the barrier film 213 are removed, and the surface of the crystalline semiconductor film 212 is etched to form openings (recesses) as in Embodiment 1. The crystalline semiconductor film 215 embedded in the substrate is selectively extracted. Thus, a crystalline semiconductor film 215 having a plurality of crystal orientations and having no crystal grain boundary can be obtained. Such two-stage crystallization enables formation of a crystalline semiconductor film having relatively less distortion as compared with the first embodiment.
[0132]
(Embodiment 5)
Next, an embodiment of manufacturing a TFT in which a crystalline semiconductor film is formed over a base insulating film having an opening and a channel formation region is provided in a filling region filling the opening in this embodiment is described. This will be described with reference to FIGS. In each of the drawings, (A) is a top view, and (B) and subsequent drawings are longitudinal sectional views of corresponding portions.
[0133]
In FIG. 4, a first insulating film 302 made of silicon nitride, silicon oxynitride, aluminum nitride, or aluminum oxynitride having a thickness of 30 to 300 nm and a nitrogen content larger than the oxygen content is formed over a glass substrate 301. A silicon oxide film or a silicon oxynitride film is formed thereon, and a second insulating film 303 having a rectangular pattern is formed by photolithography. The silicon oxide film is made of TEOS and O by plasma CVD. 2 At a reaction pressure of 40 Pa, a substrate temperature of 400 ° C., and a high frequency (13.56 MHz) power density of 0.6 W / cm. 2 To deposit a film having a thickness of 10 to 3000 nm, preferably 100 to 2000 nm, and then form an opening 304 by etching. The width of the opening is formed at 0.01 to 2 μm, preferably 0.1 to 1 μm, particularly at the location where the channel formation region is arranged.
[0134]
Then, as shown in FIG. 5, a third insulating film 305 made of a silicon oxide film or a silicon oxynitride film and an amorphous semiconductor film 306 are formed on the first insulating film 302 and the second insulating film 303 by the same plasma CVD apparatus. The film is continuously formed without being exposed to the atmosphere. The amorphous silicon film 306 is formed of a semiconductor film containing silicon as a main component, and is made of SiH by a plasma CVD method. 4 Is formed as a source gas. At this stage, as shown, the bottom and side surfaces of the opening 304 are covered to form an uneven surface shape.
[0135]
Then, as shown in FIG. 6, a continuous wave laser beam is irradiated to crystallize. Crystallization conditions are YVO in continuous oscillation mode. 4 Using a laser oscillator, the output of the second harmonic (wavelength: 532 nm) of 2 to 10 W is condensed by an optical system into a linear laser beam having a ratio of the longitudinal direction to the transverse direction of 10 or more, and the longitudinal direction. Light is condensed so as to have a uniform energy density distribution, and is crystallized by scanning at a speed of 10 to 200 cm / sec. Uniform energy density distribution does not exclude anything that is completely constant, and the allowable range of energy density distribution is ± 10%. For such laser light irradiation, a laser irradiation device having a structure shown in FIG. 14 or FIG. 35 can be applied.
[0136]
FIG. 15 shows the relationship between the scanning direction of the laser light 360 condensed linearly and the arrangement of the openings. It is desirable that the intensity distribution of the laser light 360 condensed linearly has a region where the intensity distribution is uniform in the longitudinal direction. The purpose of this is to make the temperature of the semiconductor to be heated keep the temperature of the irradiation area constant. This is because if a temperature distribution occurs in the longitudinal direction (direction intersecting the scanning direction) of the laser light condensed linearly, the crystal growth direction cannot be limited to the scanning direction of the laser light. The arrangement of the openings 304 is aligned with the scanning direction of the laser light 360 condensed linearly as shown in the figure, so that the crystal growth direction and the channel length direction of all TFTs are aligned. Can be. Thus, variation in characteristics between TFT elements can be reduced.
[0137]
By irradiating a laser beam under these conditions, the amorphous semiconductor film is instantaneously melted and crystallized. The crystallization proceeds while the melting zone moves substantially. The molten silicon is aggregated and solidified in the openings (concave portions) due to the surface tension. As a result, a crystalline semiconductor film 307 having a flat surface is formed so as to fill the opening 304 as shown in FIG.
[0138]
Thereafter, as shown in FIG. 7, an etching process is performed so that the crystalline semiconductor film 307 remains at least in the opening 304. By this etching treatment, the crystalline semiconductor film over the second insulating film 303 is removed, and an island-shaped semiconductor film 308 including the crystalline semiconductor film is obtained according to the shape of the opening. By using a fluorine-based gas and oxygen as an etching gas, the crystalline semiconductor film can be selectively etched with respect to the underlying silicon oxide film. For example, as an etching gas, CF 4 And O 2 Is applied. As described in Embodiment 1, the island-shaped semiconductor film 308 has a plurality of crystal orientations and has a feature that no crystal grain boundary is formed.
[0139]
FIG. 7 does not limitly show the shape of the island-shaped semiconductor film 308, that is, the shape of the opening 304 formed by the first insulating film 302 and the second insulating film 303. As described in 1, there is no particular limitation as long as the design rules are followed. For example, the shape of the island-shaped semiconductor film in FIG. 7 is such that a plurality of strip-shaped crystalline semiconductor films are connected to a pair of rectangular crystalline semiconductor films. The channel-forming region of the TFT is arranged in the strip-shaped crystalline semiconductor film.
[0140]
Thereafter, as shown in FIG. 8, the opening 309 is formed by removing the second insulating film 303 around the island-shaped semiconductor film 308 where the channel formation region is arranged by etching. This etching treatment is performed by chemical treatment with buffered hydrofluoric acid or CHF 3 And CF 4 Can be performed by dry etching or the like. By forming the opening 309, as shown in FIG. 8B, the side surface and the top surface of the island-shaped semiconductor film 308 where the region is arranged are exposed, and the third insulating film 305 is formed on the bottom surface. The remaining part is in contact with the first insulating film 302. The second insulating film 303 remains at other portions and is in contact with the island-shaped semiconductor film 308.
[0141]
In this step, the opening 309 may be formed by etching to a depth of the first insulating film 302 as shown in FIG. With such a configuration, as described later, when a gate electrode is formed in this portion, the entire side surface of the island-shaped semiconductor film 308 can be a channel formation region. In addition, as shown in FIG. 22B, the etching may be stopped in the middle of the second insulating film 303. In any case, the depth of the channel formation region of the island-shaped semiconductor film 308 can be adjusted by adjusting the etching depth. That is, the crystallization region can be selected.
[0142]
In FIG. 9, a fourth insulating film 310 which covers the top and side surfaces of the island-shaped semiconductor film 308 and is used as a gate insulating film, and a conductive film 311 which is used as a gate electrode are formed. As the fourth insulating film 310, a silicon oxide film or a silicon oxynitride film having a thickness of 30 to 200 nm is formed. The conductive film 311 is formed using tungsten or an alloy containing tungsten.
[0143]
FIG. 10 shows a step of forming one-conductivity-type impurity regions 313 in the island-shaped semiconductor film 308. This impurity region 313 may be formed in a self-aligned manner using the conductive film 311 used as a gate electrode as a mask, or may be formed by masking with a photoresist or the like. The impurity region 313 forms a source and a drain region, and a low-concentration drain region can be provided as needed.
[0144]
For the impurity region 313, an ion implantation method or an ion doping method in which impurity ions are accelerated by an electric field and implanted into a semiconductor film is applied. In this case, the presence or absence of mass separation of the ion species to be implanted is not an essential problem in applying the present invention. However, in order to efficiently implant impurity ions into the side surfaces of the semiconductor film 308 in an island shape, the substrate is obliquely arranged with respect to the ion implantation direction and oblique doping is performed while rotating the substrate. Is desirable.
[0145]
In the island-shaped semiconductor film, a region overlapping with the conductive layer 311 which forms the gate electrode is a channel formation region 312. Details of the channel forming region 312 are shown in FIG. In the channel formation region 312, a conductive layer 311 functioning as a gate electrode is provided on a side surface and an upper surface of the island-shaped semiconductor film with a fourth insulating film 310 functioning as a gate insulating film interposed therebetween. Therefore, a channel formed by application of a potential is formed over the side surface and the upper surface of the semiconductor film. As a result, the depletion region can be increased, and the current driving capability of the TFT can be improved. Further, when the transistor is an n-type, a low concentration p-type impurity is added to the channel formation region 312. Conversely, when the transistor is a p-type, a low concentration n-type impurity is added to the channel formation region 312. By doing so, a situation where off-state current is generated by a region which is not depleted in the center of the channel formation region 312 can be prevented.
[0146]
Then, as shown in FIG. 11, a fifth insulating film 314 of a silicon nitride film or a silicon oxynitride film containing hydrogen of about 50 to 100 nm is formed. By performing heat treatment at 400 to 450 ° C. in this state, hydrogen contained in the silicon nitride film or the silicon oxynitride film is released, so that the island-shaped semiconductor film can be hydrogenated. A sixth insulating film 315 formed of a silicon oxide film or the like is formed, and wirings 316 and 317 which are in contact with the impurity regions 313 forming source and drain regions are formed.
[0147]
Thus, a TFT can be manufactured. The structure of the TFT described with reference to FIGS. 4 to 11 shows a multi-channel TFT in which a plurality of channel formation regions are provided in parallel and provided in connection with a pair of impurity regions. In this configuration, the number of channel forming regions arranged in parallel is not limited, and a plurality of channel forming regions may be arranged as needed.
[0148]
The channel formation region has a plurality of crystal orientations, and is formed of a crystalline semiconductor film in which a plurality of crystal grains extending in a direction parallel to a channel length direction are aggregated without forming a crystal grain boundary. I have.
[0149]
(Embodiment 6)
FIG. 12 shows an example in which an n-channel type multi-channel TFT having a low concentration drain (LDD) structure and a p-channel type multi-channel TFT constitute an inverter circuit which is a basic circuit having a CMOS structure. 12, the second insulating film 320, the opening 321 and the island-shaped semiconductor films 322 and 323 are formed in the same manner as in the fifth embodiment.
[0150]
FIG. 12A is a top view, in which a first n-type impurity region 333 forming source and drain regions is formed in the island-shaped semiconductor film 322, and a source and drain region is formed in the island-shaped semiconductor film 323. A first p-type impurity region 334 is formed, and in addition, a conductive layer 330 forming a gate electrode, and source and drain wirings 337 to 339 are formed.
[0151]
FIGS. 12B and 12C are longitudinal sectional views corresponding to the GG ′ line and the HH ′ line. In the n-channel TFT, an LDD region is provided adjacent to the first n-type impurity region 333. A second n-type impurity region 332 to be formed is formed. The gate electrode 330 has a two-layer structure, and the first n-type impurity region 322, the second n-type impurity region 332, and the first p-type impurity region 334 can be formed in a self-aligned manner. 331 is a channel formation region. For details of such a gate electrode and an impurity region and a manufacturing method thereof, refer to JP-A-2002-014337 or JP-A-2002-324808.
[0152]
In addition, the fifth insulating film 314 and the sixth insulating film 315 shown in FIG. 12 are the same as those in Embodiment Mode 5, and the description is omitted here.
[0153]
(Embodiment 7)
An example in which the structure of the gate electrode is different in the multi-channel TFT described in Embodiment 5 is shown in FIG. Except for the configuration of the gate electrode and the LDD region, the configuration is the same as that of the fifth embodiment.
[0154]
The structure of the TFT shown in FIG. 13 is an example in which a gate electrode is formed of a nitride metal layer 350a such as titanium nitride or tantalum nitride and a high melting point metal layer 350b such as tungsten or a tungsten alloy, and spacers 351 are provided on side surfaces of the high melting point metal layer 350b. Is provided. The spacer 351 may be formed of an insulator such as silicon oxide, may be formed of n-type polycrystalline silicon for imparting conductivity, and may be formed by anisotropic dry etching. The LDD region 352 can be formed in a self-aligned manner by forming it before forming this spacer. When the spacer is formed of a conductive material, a gate-overlapped LDD (Gate-Overlapped LDD) structure in which the LDD region substantially overlaps with the gate electrode can be obtained.
[0155]
Such a structure in which the LDD region is formed in a self-aligned manner by providing the spacer is effective particularly when the design rule is miniaturized. Although a unipolar TFT structure is shown here, a CMOS structure can be formed as in the sixth embodiment.
[0156]
(Embodiment 8)
The present invention can be applied to various semiconductor devices, and a mode of a display panel manufactured based on Embodiment Modes 1 to 7 will be described.
[0157]
16, a substrate 900 includes a pixel portion 902, gate signal side driver circuits 901a and 901b, data signal side driver circuits 901c, input / output terminals 935, and a wiring or a wiring group 917. The seal pattern 940 may partially overlap with the gate signal side driver circuits 901a and 901b, the data signal side driver circuit 901c, and a wiring or a wiring group 917 connecting the driver circuit portion and an input terminal. With this configuration, the area of the frame region (the peripheral region of the pixel portion) of the display panel can be reduced. An FPC 936 is fixed to the input / output terminal 935.
[0158]
Further, a chip 950 provided with a microprocessor, a memory, a media processor / DSP (Digital Signal Processor), or the like using the TFT of the present invention may be mounted. These functional circuits are formed with design rules different from those of the pixel portion 902, the gate signal side drive circuits 901a and 901b, and the data signal side drive circuit 901c. Specifically, a design rule of 1 μm or less is applied. You. The mounting method is not limited, and a COG method or the like is applied.
[0159]
For example, the TFT described in any of Embodiments 1 to 7 can be used as a switching element of the pixel portion 902 and as an active element included in the gate signal driver circuits 901a and 901b and the data signal driver circuit 901c.
[0160]
FIG. 21 illustrates an example of a configuration of one pixel of the pixel portion 902, in which TFTs 551 to 553 are provided. These are switching, reset, and drive TFTs for controlling the light emitting element and the liquid crystal element provided in the pixel, respectively. The manufacturing steps of these TFTs are shown in FIGS. The details of the process are the same as those in Embodiment 5, and the detailed description is omitted.
[0161]
FIG. 17 shows a stage in which the second insulating film 503 and the openings 504 and 505 are formed therein. FIG. 18 shows a step of forming an amorphous semiconductor film 506 after forming the openings 504 and 505, and irradiating the amorphous semiconductor film 506 with a laser beam 507 condensed linearly to form a crystalline semiconductor film 508. ing.
[0162]
FIG. 19 shows a state in which crystalline semiconductor films over second insulating film 503 are selectively removed by etching, and island-like semiconductor films 509 and 510 made of crystalline semiconductor films are formed so as to fill openings. Is shown.
[0163]
Then, as shown in FIG. 20, the second insulating film 503 is etched to form openings 511 to 513, and the side surfaces of the island-shaped semiconductor films 509 and 510 are partially exposed. Further, a gate insulating film (not shown) and gate electrodes (or gate wirings) 514 to 516 are formed. The openings 511 to 513 are formed at positions where the island-shaped semiconductor films 509 and 510 intersect with the gate electrodes (or gate wirings) 514 to 516. Thus, a gate structure similar to that of the fifth embodiment can be obtained. After that, an n-type or p-type impurity region is formed, and a signal line 518, a power supply line 519, other various wirings 520 and 521, and a pixel electrode 517 are formed via an insulating film, so that the pixel structure shown in FIG. Obtainable.
[0164]
FIG. 24A is a longitudinal sectional view corresponding to the line AA ′ in FIG. Further, as shown in FIG. 24B, an organic light-emitting element can be formed using the pixel electrode 517.
[0165]
FIG. 24B shows a case in which light emitted from the organic light emitting element 33 is emitted to the side opposite to the substrate side (upward emission type). A pixel electrode 517 which is one electrode of the organic light emitting element 33 connected to the wiring 521 is formed with a cathode. The organic compound layer 27 is formed in the order of the electron injection / transport layer, the light emitting layer, and the hole injection / transport layer from the cathode side. A thin translucent metal layer 28 is provided between an anode 29 formed on the upper layer side. The anode 29 is formed of a light-transmitting conductive film such as indium tin oxide (ITO), zinc oxide (ZnO), or indium zinc oxide (IZO) by a resistance heating evaporation method. When forming the anode 29, the metal layer 28 prevents the organic compound layer 27 from being damaged and the element characteristics from being deteriorated. Thereafter, a protective film 24 and a passivation film 25 are formed.
[0166]
When the organic compound layer 27 is formed of a low molecular weight organic compound, a hole injecting and transporting layer formed of copper phthalocyanine (CuPc) and aromatic amine-based materials MTDATA and α-NPD, and tris-8-quinolino Rat aluminum complex (Alq 3 ) Can be formed by stacking the electron-injection layer and the light-emitting layer formed in the step (1). Alq 3 Enables light emission (fluorescence) from the singlet excited state.
[0167]
In order to increase the luminance, it is preferable to use light emission (phosphorescence) from a triplet excited state. In this case, a carbazole-based CBP + Ir (ppy) is formed on the hole injection / transport layer formed of CuPc, which is a phthalocyanine-based material, and α-NPD, which is an aromatic amine-based material, as the organic compound layer 27. 3 To form a light emitting layer, and further, using bathocuproine (BCP), a hole blocking layer, Alq. 3 And a structure in which electron injection / transport layers are laminated.
[0168]
Although the above two structures are examples using a low molecular weight organic compound, an organic light emitting device in which a high molecular weight organic compound and a low molecular weight organic compound are combined can also be realized. For example, as the organic compound layer 27, from the anode side, a hole injection / transport layer using a polythiophene derivative of a high molecular organic compound (PEDOT), a hole injection / transport layer using α-NPD, CBP + Ir (ppy) 3 Light emitting layer, hole blocking layer by BCP, Alq 3 May be laminated. By changing the hole injection layer to PEDOT, hole injection characteristics are improved, and luminous efficiency can be improved.
[0169]
In any case, light emission (phosphorescence) from the triplet excited state has higher luminous efficiency than light emission (fluorescence) from the singlet excited state, and an operating voltage (light emission from the organic light emitting element) is required to obtain the same light emission luminance. (The voltage required to perform this) can be reduced.
[0170]
As described above, a display panel using an organic light-emitting element can be manufactured by using the present invention. Although not illustrated here, a display panel using the electro-optical characteristics of liquid crystal can also be manufactured.
[0171]
FIG. 44 is also an example illustrating a configuration of one pixel of the pixel portion 902, and TFTs 5801 to 5803 are provided. These are switching, reset, and drive TFTs for controlling the light emitting element and the liquid crystal element provided in the pixel, respectively.
[0172]
The island-shaped semiconductor regions 5812 to 5814 including the channel formation region of these TFTs are formed in accordance with the openings 5810 and 5811 of the underlying insulating film formed thereunder. The island-shaped semiconductor regions 5812 to 5814 can be formed based on Embodiment Modes 1 to 7. Over the island-shaped semiconductor regions 5812 to 5814, gate wirings 5815 to 5817 are formed, and a signal line 5818, a power supply line 5819, other various wirings 5820, 5821, and a pixel electrode 5823 are formed via a passivation film and a planarization film. Is formed.
[0173]
As described above, the present invention can complete a display panel without any influence.
[0174]
(Embodiment 9)
Various devices can be completed using the present invention. Examples thereof include a portable information terminal (electronic notebook, mobile computer, mobile phone, etc.), a video camera, a digital camera, a personal computer, a television receiver, a projection display device, and the like. Examples of these are shown in FIGS.
[0175]
FIG. 28A illustrates an example in which a television receiver is completed by applying the present invention, which includes a housing 3001, a support base 3002, a display portion 3003, and the like. In the TFT manufactured by the present invention, in addition to the display portion 3003, various integrated circuits such as various logic circuits, high-frequency circuits, memories, microprocessors, media processors, and graphics LSIs can be formed and incorporated on glass. According to the invention, a television receiver can be completed.
[0176]
FIG. 28B illustrates an example in which a video camera is completed by applying the present invention, and includes a main body 3011, a display portion 3012, an audio input portion 3013, operation switches 3014, a battery 3015, an image receiving portion 3016, and the like. . In the TFT manufactured by the present invention, in addition to the display portion 3012, various integrated circuits such as various logic circuits, high-frequency circuits, memories, microprocessors, media processors, and graphics LSIs can be formed and incorporated on glass. According to the invention, a video camera can be completed.
[0177]
FIG. 28C illustrates an example in which a notebook personal computer is completed by applying the present invention, which includes a main body 3021, a housing 3022, a display portion 3023, a keyboard 3024, and the like. In addition to the display portion 3023, various integrated circuits such as various logic circuits, high-frequency circuits, memories, microprocessors, media processors, graphics LSIs, cryptographic LSIs, and the like can be formed and incorporated in a TFT manufactured by the present invention. According to the present invention, a personal computer can be completed.
[0178]
FIG. 28D shows an example in which a PDA (Personal Digital Assistant) is completed by applying the present invention, and includes a main body 3031, a stylus 3032, a display portion 3033, operation buttons 3034, an external interface 3035, and the like. In addition to the display portion 3033, various integrated circuits such as various logic circuits, high-frequency circuits, memories, microprocessors, media processors, graphics LSIs, cryptographic LSIs, and the like can be formed and incorporated in glass on the TFT manufactured by the present invention. According to the present invention, a PDA can be completed.
[0179]
FIG. 28E illustrates an example in which a sound reproduction device is completed by applying the present invention, specifically, an audio device for a vehicle, which includes a main body 3041, a display portion 3042, operation switches 3043, 3044, and the like. Have been. In addition to the display portion 3042, various integrated circuits such as various logic circuits, high-frequency circuits, memories, microprocessors, media processors, graphics LSIs, amplifier circuits, and the like can be formed and incorporated on glass in the TFT manufactured by the present invention. According to the present invention, an audio device can be completed.
[0180]
FIG. 28F illustrates an example in which a digital camera is completed by applying the present invention. A main body 3051, a display portion (A) 3052, an eyepiece portion 3053, operation switches 3054, a display portion (B) 3055, and a battery 3056 are shown. And so on. TFTs manufactured by the present invention include various integrated circuits such as various logic circuits, high-frequency circuits, memories, microprocessors, media processors, graphics LSIs, cryptographic LSIs, in addition to the display portion (A) 3052 and the display portion (B) 3055. Can be formed and incorporated on glass, and a digital camera can be completed according to the present invention.
[0181]
FIG. 28G illustrates an example in which a mobile phone is completed by applying the present invention, which includes a main body 3061, an audio output portion 3062, an audio input portion 3063, a display portion 3064, operation switches 3065, an antenna 3066, and the like. I have. Various integrated circuits such as various logic circuits, high-frequency circuits, memories, microprocessors, media processors, graphics LSIs, cryptographic LSIs, and LSIs for mobile phones are formed on glass in the TFT manufactured by the present invention, in addition to the display portion 3064. And a mobile phone can be completed by the present invention.
[0182]
FIG. 29A illustrates a front type projector, which includes a projection device 2601, a screen 2602, and the like. FIG. 29B illustrates a rear projector, which includes a main body 2701, a projection device 2702, a mirror 2703, a screen 2704, and the like.
[0183]
FIG. 29C is a diagram showing an example of the structure of the projection devices 2601 and 2702 in FIGS. 29A and 29B. The projection devices 2601 and 2702 include a light source optical system 2801, mirrors 2802 and 2804 to 2806, a dichroic mirror 2803, a prism 2807, a liquid crystal display device 2808, a phase difference plate 2809, and a projection optical system 2810. The projection optical system 2810 is configured by an optical system including a projection lens. In this embodiment, an example of a three-plate type is shown, but there is no particular limitation, and for example, a single-plate type may be used. Further, the practitioner may appropriately provide an optical system such as an optical lens, a film having a polarizing function, a film for adjusting a phase difference, and an IR film in the optical path indicated by the arrow in FIG. Good.
[0184]
FIG. 29D illustrates an example of the structure of the light source optical system 2801 in FIG. In this embodiment, the light source optical system 2801 includes a reflector 2811, a light source 2812, lens arrays 2813 and 2814, a polarization conversion element 2815, and a condenser lens 2816. Note that the light source optical system shown in FIG. 29D is an example and is not particularly limited. For example, a practitioner may appropriately provide an optical system such as an optical lens, a film having a polarizing function, a film for adjusting a phase difference, and an IR film in the light source optical system.
[0185]
It should be noted that the device shown here is merely an example, and the present invention is not limited to these applications.
[0186]
(Embodiment 10)
In this embodiment, an example in which a glass substrate is used as an etching stopper in forming the second insulating film 202 illustrated in FIG. 1 and an insulating film corresponding to the first insulating film 201 is formed over the second insulating film 202 Is shown.
[0187]
In FIG. 30A, first, a second insulating film 602 having a thickness of 10 to 3000 nm, preferably 100 to 2000 nm, and an opening formed in a predetermined shape is formed over a glass substrate 601 by using silicon oxide or silicon oxynitride. I do. Details are the same as in the first embodiment. The opening may be formed by either wet etching or dry etching. 3 Dry etching using gas is used. In this case, the gas flow rate is 30 to 40 sccm, the reaction pressure is 2.7 to 4.0 KPa, the applied power is 500 W, and the substrate temperature is 20 ° C.
[0188]
In this embodiment, as the glass substrate 601, a material having a high selectivity with respect to a silicon oxide film (for example, a 1737 glass substrate manufactured by Corning Incorporated) is preferably used. This is because if the selectivity is high, the glass substrate 601 can be used as it is as an etching stopper when the second insulating film 602 is formed.
[0189]
After the second insulating film 602 is formed, the second insulating film 602 is covered thereon with a first insulating film 603 formed of silicon nitride, silicon oxynitride having a nitrogen content larger than the oxygen content, or a laminate thereof, and further having an amorphous film thereon. The quality semiconductor film 604 is formed to obtain the state shown in FIG. For the details of the first insulating film 603 and the amorphous semiconductor film 604, the description in Embodiment 1 may be referred to. Steps after FIG. 30B may be performed in accordance with the first embodiment, and a description thereof will not be repeated.
[0190]
According to the present embodiment, it is possible to ensure a sufficiently high selectivity between the glass substrate 601 and the second insulating film 602, so that the process margin when forming the opening of the second insulating film 602 is improved. I do. In addition, problems such as scuffing at the lower end of the second insulating film 602 do not occur. Further, a portion where the second insulating film 602 is not provided has a structure in which a silicon nitride film, a silicon oxynitride having a nitrogen content larger than the oxygen content or a stacked film thereof are formed on a glass substrate. It is not necessary to use a simple insulating film.
[0191]
Note that this embodiment can be implemented by being freely combined with any of the structures of Embodiments 1 to 9.
[0192]
【Example】
Hereinafter, examples of the present invention will be described.
[0193]
(Example 1)
This embodiment shows an example of manufacturing a TFT in which a crystalline semiconductor film is formed over a base insulating film having an opening, and a channel formation region is provided in a filling region filling the opening.
[0194]
In FIG. 36, a 100 nm-thick first insulating film 5602 including a silicon oxynitride film is formed over a glass substrate 5601. A silicon oxide film is formed thereon, and a second insulating film 5603 having a rectangular pattern is formed by photolithography. The silicon oxide film is made of TEOS and O by plasma CVD. 2 At a reaction pressure of 40 Pa, a substrate temperature of 400 ° C., and a high frequency (13.56 MHz) power density of 0.6 W / cm. 2 , And is deposited to a thickness of 150 nm. Thereafter, openings 5604a and 5604b are formed by etching.
[0195]
36A shows a top view, FIG. 36B shows a vertical sectional view corresponding to line AA ', and FIG. 36C shows a vertical sectional view corresponding to line BB'. Hereinafter, FIGS. 37 to 41 are treated similarly.
[0196]
Then, as shown in FIG. 37, an amorphous silicon film 5605 covering the first insulating film 5602 and the second insulating film 5603 is formed with a thickness of 150 nm. The amorphous silicon film 5605 is made of SiH by a plasma CVD method. 4 Is formed as a source gas.
[0197]
Then, as shown in FIG. 38, continuous oscillation laser light is irradiated to crystallize. Crystallization conditions are YVO in continuous oscillation mode. 4 Using a laser oscillator, the output of 5.5 W of the second harmonic (wavelength: 532 nm) was collected to 400 μm in the longitudinal direction and 50 to 100 μm in the lateral direction so that the optical system had a uniform energy density distribution in the longitudinal direction. Light is applied for scanning at a speed of 50 cm / sec for crystallization. Uniform energy density distribution does not exclude anything that is completely constant, and the allowable range of energy density distribution is ± 5%. For such laser light irradiation, a laser irradiation apparatus having a configuration shown in FIG. 35 can be applied. The laser light condensed by the optical system may have a region where the intensity distribution is uniform in the longitudinal direction and may have a distribution in the lateral direction. The crystallization allows this intensity distribution to be formed in a uniform region in the longitudinal direction, thereby increasing the effectiveness of crystal growth in a direction parallel to the scanning direction of the laser beam.
[0198]
By irradiating a laser beam under these conditions, the amorphous silicon film is instantaneously melted and the crystallization proceeds while the molten zone moves. The molten silicon is aggregated and solidified in the openings (concave portions) due to the surface tension. Thus, a crystalline semiconductor film 5606 is formed so as to fill the openings 5604a and 5604b.
[0199]
Thereafter, as shown in FIG. 39, a mask pattern is formed so that the crystalline semiconductor film remains at least in the openings 5604a and 5604b, and etching is performed to form island-shaped semiconductor regions 5607 and 5608 including a channel formation region. I do.
[0200]
FIG. 40 shows a state where a gate insulating film 5609 and gate electrodes 5610 and 5611 are formed over the semiconductor regions 5607 and 5608. As the gate insulating film, an 80-nm-thick silicon oxide film may be formed by a plasma CVD method. The gate electrodes 5610 and 5611 are formed using tungsten or an alloy containing tungsten. With such a structure, a channel formation region can be provided in an island-shaped semiconductor region which fills the openings 5604a and 5604b.
[0201]
Thereafter, a TFT can be completed by appropriately forming source and drain regions, a low-concentration drain region, and the like.
[0202]
(Example 2)
The opening is formed in the same process as in the first embodiment, but as shown in FIG. 41, the shape of the opening formed in the second insulating film 5603 is formed by an elongated strip-shaped region and a region connected thereto. Then, an island-shaped semiconductor region 5620 made of a crystalline semiconductor film is formed in accordance with the opening 5604c, and a gate insulating film 5621 and a gate electrode 5622 are formed, so that a multi-channel TFT can be formed.
[0203]
(Example 3)
In Example 2, by forming the second insulating film 5603 thicker than the thickness of the amorphous semiconductor film, for example, to have a thickness of 350 nm, as shown in FIG. The semiconductor region 5620 can be completely buried in the opening 5604d. Then, when the gate insulating film 5621 and the gate electrode 5622 are formed in a similar manner, a multi-channel TFT can be formed.
[0204]
(Example 4)
FIG. 43 shows another example of the multi-channel TFT. A first insulating film 5602, a second insulating film 5603, an island-shaped semiconductor region 5630, a gate insulating film 5631, and a gate electrode 5632 are formed over a substrate 5601 in the same manner as in Embodiments 1 to 3. 43 is different from FIG. 43 in that after the island-shaped semiconductor region 5630 is formed in addition to the opening 5604e formed by the second insulating film 5603, a channel forming region is formed around the semiconductor region. 2 in that the second opening 5625 is formed by removing the insulating film.
[0205]
FIG. 43D is an enlarged view of the vicinity of the channel formation region. A gate insulating film 5631 is formed in contact with the side surface and the top surface of the island-shaped semiconductor region 5630, and a gate electrode 5632 is formed to cover the gate insulating film 5631. In this case, the channel formation region is formed on both the upper portion 5634 and the side portion 5635 of the semiconductor region 5630. As a result, the depletion region can be increased, and the current driving capability of the TFT can be improved.
[0206]
(Example 5)
Example 1 In this example, a manufacturing process of a so-called multi-channel TFT having a plurality of channel formation regions separated from each other and used for a semiconductor device of the present invention will be described.
[0207]
First, as shown in FIG. 49A, a base film 6120 having a projection 6124 is formed over a substrate. Note that FIG. 49B is a cross-sectional view taken along the line AA ′ in FIG. 49A, and FIG. 49C is a cross-sectional view taken along the line BB ′ in FIG.
[0208]
In this embodiment, a base film 6120 having the same structure as that described in Embodiment Mode is used. The base film 6120 includes three base films. First, a second base film 6122 formed of rectangular silicon oxide is formed over a first base film 6121 formed of silicon nitride. A third base film 6123 made of silicon oxide is formed so as to cover base films 6121 and 6122 of FIG. In this embodiment, the convex portion 6124 includes a second insulating film 6122 having a rectangular shape and a portion of the third insulating film 6123 which is in contact with the second insulating film 6122 instead of the first insulating film 6121. It is configured.
[0209]
Note that the shape and size of the projection 6124 can be appropriately set by a designer, but it is necessary to set the thickness so that a semiconductor film to be formed later will not be cut off near the edge of the projection. is there. In this embodiment, the height of the projection is set to about 0.1 to 1 μm.
[0210]
Note that the distortion of the substrate directly affects the shape of the base film 6120 to be formed later. Since the distortion of the base film causes a disorder in the uniformity of crystallinity of a semiconductor film to be formed later, the surface of the substrate is subjected to a chemical mechanical polishing method (CMP method) so that the difference in the distortion is suppressed to 10 nm or less. The substrate may be preliminarily subjected to a heat treatment before the base film is formed so that the substrate is not distorted by polishing or heat treatment in a later step.
[0211]
Then, a non-single-crystal semiconductor film 6125 is formed to cover the base film 6120. The non-single-crystal semiconductor film 6125 can be formed by a known method (a sputtering method, an LPCVD method, a plasma CVD method, or the like). In this embodiment, a 300 nm non-single-crystal semiconductor film 6125 is formed by a plasma CVD method.
[0212]
Next, as shown in FIG. 50A, the non-single-crystal semiconductor film 6125 is irradiated with laser light to be crystallized. Note that FIG. 50B corresponds to a cross-sectional view taken along dashed line AA ′ in FIG. In this embodiment, the continuous oscillation YVO 4 Irradiation was performed using a laser at a scanning speed of 50 cm / sec. At this time, the scanning direction of the laser beam is aligned with the same direction as the direction in which carriers move in a channel formation region to be formed later. In the present embodiment, as shown by the white arrow, the scanning direction was aligned with the longitudinal direction of the rectangular convex portion 6124, and the laser light was irradiated. By irradiation with the laser light, the non-single-crystal semiconductor film 6125 is melted, the volume is moved from above the convex portion to above the concave portion, and a crystalline semiconductor film 6126 is formed.
[0213]
Next, a sub-island 6127 is formed by patterning the crystalline semiconductor film 6126 as shown in FIG. Note that FIG. 51B corresponds to a cross-sectional view taken along dashed line AA ′ in FIG. The sub-island 6127 has a part on a concave portion formed between the convex portions 6124. Since the channel formation region of the target multi-channel TFT is formed using a portion located over the concave portion of the crystalline semiconductor film 6126, the number of channel formation regions, the channel length, and the channel width are taken into consideration. It is important to determine the positional relationship between the island 6127 and the protrusion 6124.
[0214]
Next, as shown in FIG. 52A, the island 6128 is formed by removing the sub-island 6127 from the upper surface to such an extent that the upper surface of the projection 6124 of the third base film 6123 is exposed. Note that FIG. 52B corresponds to a cross-sectional view taken along a broken line AA ′ in FIG. The removal from the upper surface of the sub-island 6127 may be performed using any method, for example, may be performed by etching or may be performed by a CMP method.
[0215]
By removing the sub-island 6127 from the upper surface, the portion where the grain boundary exists on the convex portion 6124 is removed, and almost no grain boundary exists on the concave portion corresponding to between the convex portions 6124. A semiconductor film with good crystallinity is left in a portion to be a channel formation region. Then, as shown in FIGS. 52A and 52B, a slit-shaped island 6128 separated from only the channel formation region is formed. Note that the influence of the crystallinity of the semiconductor film on the characteristics of the TFT is not so large in the portion serving as the source region or the drain region as in the channel formation region. Therefore, even if the portion to be the source region or the drain region has poor crystallinity compared to the portion to be the channel formation region, there is no significant problem.
[0216]
Next, as shown in FIG. 53A, a part of the convex portion 6124 of the base film 6120 is removed, and a portion of the island 6128 to be a channel formation region is exposed. Note that FIG. 53B corresponds to a cross-sectional view taken along dashed line AA ′ in FIG. The removal of the convex portion 6124 may be performed by dry etching or wet etching, or another method may be used. During the etching, a part of the island 6128 may be removed.
[0219]
Note that the convex portion 6124 may not be completely removed and may remain in a state in which a portion thereof is in contact with a channel formation region. FIG. 59A shows a state in which the second base film 6122 and the third base film 6123 are left to such an extent that the side surface of the channel formation region 6130 is partially covered with the third base film 6123. . Further, portions of the base film 6120 other than the protrusions 6124 may be slightly etched. FIG. 59B shows a state where the first base film 6121 is partially etched.
[0218]
In addition, a portion of the convex portion 6124 that is not in contact with a portion to be a channel formation region to be formed later may be removed, or the entire convex portion 6124 may be removed. In this embodiment, the fourth base film 6129 is formed by partially removing the protrusion 6124.
[0219]
Next, as shown in FIG. 54A, a TFT is manufactured using the island 6128. Note that there are various TFT structures and manufacturing methods. FIG. 54B is a cross-sectional view taken along dashed line AA ′ in FIG. 54A, FIG. 54C is a cross-sectional view taken along dashed line BB ′ in FIG. FIG. 55A corresponds to a cross-sectional view taken along dashed line CC ′ in FIG. 54A, and FIG. 55B corresponds to a cross-sectional view taken along dashed line DD ′ in FIG.
[0220]
A channel formation region 6130 included in the island 6128 overlaps with the gate electrode 6132 with the gate insulating film 6131 interposed therebetween. The channel formation region 6130 is also sandwiched between two impurity regions 6133 included in the island 6128. Note that the two impurity regions 6133 function as a source region or a drain region.
[0221]
Then, a first interlayer insulating film 6134 is formed to cover the island 6128, the gate insulating film 6131, and the gate electrode 6132. Then, a second interlayer insulating film 6135 is formed to cover the first interlayer insulating film 6134. Note that the first interlayer insulating film 6134 is an inorganic insulating film, and can prevent impurities such as carbon included in the second interlayer insulating film 6135 from entering the island 6128. Further, the second interlayer insulating film 6135 is an organic resin film, and has an effect of flattening the surface so that a wiring formed later is not disconnected.
[0222]
Then, a wiring 6136 connected to the impurity region 6133 is formed on the second interlayer insulating film 6135 via a contact hole formed in the gate insulating film 6131, the first interlayer insulating film 6134, and the second interlayer insulating film 6135. Have been.
[0223]
Through the above manufacturing process, a TFT having a plurality of channel formation regions separated from each other is completed. With such a structure, a region which overlaps with the gate electrode with the gate insulating film interposed therebetween can be widened in the channel formation region, so that the channel width can be increased. By increasing the channel width, it is possible to efficiently radiate the heat generated by driving the TFT while securing the ON current.
[0224]
In the present invention, the structure of the TFT is not limited to that shown in FIG. Further, the number of channel formation regions is not limited to four, and one or a plurality of channel formation regions other than four may be provided.
[0225]
Further, the structure of the TFT is not limited to the above structure, and may have a structure as shown in FIG. 56, for example. The TFT illustrated in FIG. 56A has a gate electrode including two conductive films 6140 and 6141. A sidewall 6142 made of an insulating film is formed so as to be in contact with the upper surface of the conductive film 6140 and the side surface of the conductive film 6141. For example, TaN is used as the conductive film 6140, W is used as the conductive film 6141, and SiO 2 is used as the sidewall 6142. 2 Etc. can be used. The TFT illustrated in FIG. 56B has a gate electrode including two conductive films 6144 and 6145. The conductive film 6144 overlaps with part of the impurity region.
[0226]
Note that, in the above step, after the laser light irradiation or after the crystalline silicon film is etched to the extent that the projections of the base film are exposed, the semiconductor film is heated at 500 to 600 ° C. for about 1 minute to 60 minutes. Can be alleviated.
[0227]
According to the present invention, the semiconductor film located on the concave portion of the insulating film is positively used as an active layer of the TFT, so that a grain boundary can be prevented from being formed in a channel formation region of the TFT. It is possible to prevent the mobility of the TFT from being significantly reduced, the ON current from being reduced, and the OFF current from being increased.
[0228]
(Example 6)
In this embodiment, a method for manufacturing an island, which is different from the fifth embodiment in the process order, will be described. For a detailed description of each step, refer to Example 5.
[0229]
As shown in FIG. 57A, first, a base film having a rectangular projection 6301 is formed, and a non-single-crystal semiconductor film 6302 is formed over the base film. Next, the non-single-crystal semiconductor film 6302 is irradiated with laser light to form a crystalline semiconductor film 6303 (FIG. 57B).
[0230]
Next, part of the crystalline semiconductor film 6303 is removed from the surface of the projection 6301 until the upper surface of the projection 6301 is exposed. Note that in this embodiment, removal is performed by etching, and the crystalline semiconductor film after the removal is referred to as a crystalline semiconductor film (after etching) 6304 here (FIG. 57C).
[0231]
Next, the crystalline semiconductor film (after etching) 6304 is patterned to form an island 6305 (FIG. 57D). Then, part or all of the protrusion 6301 is removed so as to expose a portion of the island 6305 to be a channel formation region. Note that in this embodiment, only a part of the protrusion 6301 was removed by etching to form a protrusion (after etching) 6306 (FIG. 57E).
[0232]
In the above step, after the laser light irradiation, after the crystalline semiconductor film is etched to the extent that the projections of the base film are exposed or after the island is formed, heating is performed at 500 to 600 ° C. for about 1 to 60 minutes. Thus, stress generated in the semiconductor film can be reduced.
[0233]
In the above process, before forming an island, the crystalline semiconductor film is etched to such an extent that the convex portion of the base film is exposed, thereby preventing the edge and side surface of the island from being partially removed by etching. Can be.
[0234]
(Example 7)
In this embodiment, a method for manufacturing an island, which is different from the steps in Embodiments 5 and 6 in the order of steps, will be described. For a detailed description of each step, refer to Example 5.
[0235]
As shown in FIG. 58A, first, a base film having a rectangular projection 6311 is formed, and a non-single-crystal semiconductor film 6312 is formed over the base film.
[0236]
Next, the non-single-crystal semiconductor film 6312 is patterned to form a sub-island 6313 (FIG. 58B).
[0237]
Next, the sub-island 6313 is irradiated with laser light to be crystallized. In this embodiment, the sub-island after crystallization is referred to as a sub-island (after crystallization) 6314 (FIG. 58C).
[0238]
Next, a part of the sub-island (after crystallization) 6314 is removed from the surface until the upper surface of the projection 6311 is exposed. Note that in this embodiment, removal is performed by etching to form an island 6315 (FIG. 58D).
[0239]
Then, part or all of the protrusion 6311 is removed so as to expose a portion of the island 6315 to be a channel formation region. Note that in this embodiment, only a part of the convex portion 6311 was removed by etching to form a convex portion (after etching) 6316 (FIG. 58E).
[0240]
Note that in the above step, after the laser light irradiation or the island formation, the stress generated in the semiconductor film can be reduced by heating at 500 to 600 ° C. for 1 minute to 60 minutes.
[0241]
(Example 8)
In this embodiment, an example in which a multi-channel TFT and a single-channel TFT having only one channel formation region are formed using a plurality of projections will be described.
[0242]
FIG. 60A illustrates a base film including a plurality of rectangular protrusions 6330. FIG. 60B shows a TFT using the island formed on the base film. In FIG. 60B, a multi-channel TFT 6331 having four channel formation regions, a multi-channel TFT 6332 having two channel formation regions, and a single-channel TFT 6333 are provided.
[0243]
In each TFT, a channel formation region is formed on a concave portion located between the convex portions 6330. More preferably, it is desirable that the channel forming region and the LDD region are formed on the concave portion located between the convex portions 6330.
[0244]
This embodiment can be implemented in combination with Embodiments 5 to 7.
[0245]
(Example 9)
In this embodiment, an example in which a step of irradiating a laser beam and a step of crystallizing a semiconductor film using a catalyst are combined in crystallization of a semiconductor film will be described. When a catalyst element is used, it is desirable to use the technology disclosed in JP-A-7-130652 and JP-A-8-78329.
[0246]
First, a non-single-crystal semiconductor film 6352 is formed over a base film 6351 having a protrusion 6350 as illustrated in FIG. Next, the non-single-crystal semiconductor film 6352 is crystallized using a catalyst element (FIG. 61B). For example, when the technique disclosed in Japanese Patent Application Laid-Open No. Hei 7-130652 is used, a nickel acetate solution containing 10 ppm by weight of nickel is applied to the non-single-crystal semiconductor film 6352 to form a nickel-containing layer 6353, After a dehydrogenation step at 1 ° C. for 1 hour, a heat treatment is performed at 500 to 650 ° C. for 4 to 12 hours, for example, at 550 ° C. for 8 hours, to form a crystalline semiconductor film 6354 with improved crystallinity. In addition, usable catalyst elements are germanium (Ge), iron (Fe), palladium (Pd), tin (Sn), lead (Pb), cobalt (Co), platinum ( Elements such as Pt), copper (Cu), and gold (Au) may be used.
[0247]
Then, by laser light irradiation, a crystalline semiconductor film (after LC) 6355 having further improved crystallinity is formed from the crystalline semiconductor film (after NiSPC) 6354 crystallized by NiSPC (FIG. 61C). ). The crystalline semiconductor film (after LC) 6355 is temporarily melted by laser light irradiation, moves in volume from the upper portion of the convex portion 6350 to the concave portion, and is planarized. Then, the film thickness is small on the convex portion 6350, and the grain boundary 6356 is easily formed by the stress.
[0248]
Next, a step of gettering the catalytic element in the crystalline semiconductor film (after LC) 6355 will be described. In this embodiment, the gettering is performed after the irradiation with the laser beam, but may be performed after the crystalline semiconductor film (after LC) 6355 is etched.
[0249]
A barrier layer 6357 containing silicon as a main component is formed over the crystalline semiconductor film (after LC) 6355 (FIG. 61D). Note that the barrier layer 6357 may be an extremely thin layer, may be a natural oxide film, or may be an oxide film that generates and oxidizes ozone by irradiation with ultraviolet light in an atmosphere containing oxygen. Alternatively, the barrier layer 6357 may be an oxide film oxidized with a solution containing ozone used for a surface treatment called hydrocleaning for removing carbon, that is, an organic substance. This barrier layer 6357 is mainly used as an etching stopper. After the barrier layer 6357 is formed, channel doping may be performed, and thereafter, activation may be performed by irradiating strong light.
[0250]
Next, a first semiconductor film 6358 for gettering is formed over the barrier layer 6357. The first semiconductor film 6358 for gettering may be a semiconductor film having an amorphous structure or a semiconductor film having a crystalline structure. The thickness of the first semiconductor film 6358 for gettering is 5 to 50 nm, preferably 10 to 20 nm. The first semiconductor film 6358 for gettering has oxygen (having a concentration of 5 × 10 5 in SIMS analysis). 18 atoms / cm 3 Above, preferably 1 × 10 19 atoms / cm 3 It is desirable to improve the gettering efficiency by incorporating the above.
[0251]
Next, a second semiconductor film (a gettering site) 6359 containing a rare gas element is formed over the first semiconductor film 6358 for gettering. The second semiconductor film 6359 for gettering may be a semiconductor film having an amorphous structure using a plasma CVD method, a low pressure thermal CVD method, or a sputtering method, or a semiconductor film having a crystal structure. Is also good. The second semiconductor film may be a semiconductor film containing a rare gas element in a deposition step, or may be added with a rare gas element after formation of a semiconductor film containing no rare gas element. In this embodiment, after the second semiconductor film 6359 for gettering containing a rare gas element is formed in the film formation stage, the second semiconductor film 6359 for gettering is formed by further selectively adding a rare gas element. Examples have been given. Further, the first semiconductor film and the second semiconductor film for gettering may be formed continuously without exposure to the air. The sum of the thickness of the first semiconductor film and the thickness of the second semiconductor film may be 30 to 200 nm, for example, 50 nm.
[0252]
In this embodiment, an interval is provided between the crystalline semiconductor film (after LC) 6355 and the second semiconductor film 6359 by the first semiconductor film 6358 for gettering. At the time of gettering, impurity elements such as metals existing in the crystalline semiconductor film (after LC) 6355 tend to gather near the boundary of the gettering site. It is preferable that the gettering efficiency be improved by separating the boundary of the gettering site from the crystalline semiconductor film (after LC) 6355 by one semiconductor film 6358. In addition, the gettering first semiconductor film 6358 also has an effect of blocking the gettering so that the impurity element included in the gettering site does not diffuse and reach the interface of the first semiconductor film. are doing. The first semiconductor film 6358 for gettering also has an effect of protecting the crystalline semiconductor film (after LC) 6355 from being damaged when a rare gas element is added.
[0253]
Next, gettering is performed. As a step of performing gettering, heat treatment may be performed in a nitrogen atmosphere at 450 to 800 ° C. for 1 to 24 hours, for example, at 550 ° C. for 14 hours. Further, strong light may be applied instead of the heat treatment. Further, in addition to the heat treatment, strong light may be applied. Further, the substrate may be heated by injecting a heated gas. In this case, heating may be performed at 600 ° C. to 800 ° C., more preferably at 650 ° C. to 750 ° C. for 1 to 60 minutes. Time can be shortened. By the gettering, the impurity element moves to the second semiconductor film 6359 as shown by an arrow in FIG. 61D, and the impurity contained in the crystalline semiconductor film (after LC) 6355 covered with the barrier layer 6357. The element is removed or the concentration of the impurity element is reduced. Due to this gettering, the impurity element contained hardly exists, that is, the impurity element concentration in the film becomes 1 × 10 18 atoms / cm 3 Hereinafter, preferably 1 × 10 17 atoms / cm 3 The following crystalline semiconductor film (after gettering) 6360 is formed.
[0254]
Next, the first semiconductor film 6358 for gettering and the second semiconductor film 6359 are selectively removed using the barrier layer 6357 as an etching stopper.
[0255]
Then, after removing the barrier layer 6357 by changing the etching conditions, the crystalline semiconductor film (after gettering) 6360 is etched to such an extent that the upper surface of the projection 6350 is exposed as shown in FIG. Is formed in the concave portion. Then, the island 6362 is formed by etching the convex portion 6350.
[0256]
Note that, after applying a solution containing a catalytic element to the semiconductor film before crystallization, crystal growth may be performed by laser light irradiation instead of SPC. For gettering, a technique described in JP-A-10-135468 or 10-135469 may be used.
[0257]
In this embodiment, gettering is performed after laser light irradiation, but the present invention is not limited to this configuration. Gettering may be performed after the etching of FIG.
[0258]
This embodiment can be implemented in combination with the first to fourth embodiments.
[0259]
(Example 10)
Next, the configuration of a laser irradiation apparatus used in the present invention will be described with reference to FIG. Reference numeral 6151 denotes a laser oscillation device. Although four laser oscillation devices are used in FIG. 64, the number of laser oscillation devices included in the laser irradiation device is not limited to this.
[0260]
Note that the temperature of the laser oscillation device 6151 may be kept constant by using a chiller 6152. The chiller 6152 is not necessarily provided, but by keeping the temperature of the laser oscillation device 6151 constant, it is possible to prevent the energy of the output laser light from fluctuating depending on the temperature.
[0261]
Reference numeral 6154 denotes an optical system, which can condense laser light by changing the optical path output from the laser oscillator 6151 or processing the shape of the laser beam. Further, in the laser irradiation apparatus in FIG. 64, the laser beams of the laser lights output from the plurality of laser oscillation apparatuses 6151 can be synthesized by partially overlapping each other by the optical system 6154.
[0262]
Note that an AO modulator 6153 that changes the traveling direction of the laser light in an extremely short time may be provided in an optical path between the substrate 6156 which is an object to be processed and the laser oscillation device 6151. Further, an attenuator (light amount adjustment filter) may be provided instead of the AO modulator to adjust the energy density of the laser light.
[0263]
In addition, a means (energy density measuring means) 6165 for measuring the energy density of the laser light output from the laser oscillation device 6151 is provided in the optical path between the substrate 6156 which is the object to be processed and the laser oscillation device 6151, and the measurement was performed. The computer 6160 may monitor the change over time in the energy density. In this case, the output from the laser oscillation device 6151 may be increased so as to compensate for the attenuation of the energy density of the laser light.
[0264]
The synthesized laser beam is applied to a substrate 6156 which is an object to be processed through a slit 6155. The slit 6155 is desirably formed of a material that can block laser light and is not deformed or damaged by the laser light. The width of the slit 6155 is variable, and the width of the laser beam can be changed by the width of the slit.
[0265]
Note that the shape of the laser beam on the substrate 6156 of the laser light oscillated from the laser oscillator 6151 when not passing through the slit 6155 differs depending on the type of laser, and can be formed by an optical system.
[0266]
The substrate 6156 is mounted on the stage 6157. In FIG. 64, the position control means 6158 and 6159 correspond to the means for controlling the position of the laser beam on the workpiece, and the position of the stage 6157 is controlled by the position control means 6158 and 6159.
[0267]
In FIG. 64, the position control means 6158 controls the position of the stage 6157 in the X direction, and the position control means 6159 controls the position of the stage 6157 in the Y direction.
[0268]
Further, the laser irradiation apparatus in FIG. 64 includes a computer 6160 having storage means such as a memory and a central processing unit. The computer 6160 controls the oscillation of the laser oscillation device 6151, determines the scanning path of the laser light, and controls the position control means 6158, 6159 so that the laser beam of the laser light is scanned according to the defined scanning path. Thus, the substrate can be moved to a predetermined position.
[0269]
In FIG. 64, the position of the laser beam is controlled by moving the substrate. However, the position may be moved using an optical system such as a galvanomirror, or both.
[0270]
Further, in FIG. 64, the width of the slit 6155 can be controlled by the computer 6160, and the width of the laser beam can be changed according to the pattern information of the mask. Note that the slit need not always be provided.
[0271]
Further, the laser irradiation device may include a means for adjusting the temperature of the object to be processed. Further, since the laser light is light having high directivity and energy density, a damper may be provided to prevent the reflected light from being applied to an inappropriate portion. The damper desirably has a property of absorbing the reflected light, and cooling water may be circulated in the damper to prevent the temperature of the partition from rising due to the absorption of the reflected light. In addition, means for heating the substrate (substrate heating means) may be provided on the stage 6157.
[0272]
When the marker is formed with a laser, a laser oscillation device for the marker may be provided. In this case, the computer 6160 may control the oscillation of the marker laser oscillator. Further, when a marker laser oscillation device is provided, an optical system for condensing laser light output from the marker laser oscillation device is separately provided. The laser used to form the marker is typically a YAG laser or CO2 laser. 2 Although a laser and the like can be mentioned, it is of course possible to form by using another laser.
[0273]
In addition, one CCD camera 6163 may be provided for positioning using a marker, and in some cases, several CCD cameras 6163 may be provided. Note that a CCD camera means a camera using a CCD (charge-coupled device) as an imaging device.
[0274]
Note that, without providing the marker, the pattern of the insulating film or the semiconductor film may be recognized by the CCD camera 6163, and the alignment of the substrate may be performed. In this case, the position information of the substrate is grasped by comparing the pattern information of the insulating film or the semiconductor film by the mask input to the computer 6160 with the pattern information of the actual insulating film or the semiconductor film collected by the CCD camera 6163. can do. In this case, there is no need to separately provide a marker.
[0275]
In addition, the shape is not necessarily grasped by using the CCD, but may be grasped by irradiating the insulating film or the semiconductor film with laser light emitted from a laser diode and monitoring the reflected light, for example. good.
[0276]
In addition, the laser light incident on the substrate is reflected on the surface of the substrate and returns on the same optical path as when the laser light is incident. The return light becomes so-called return light. Adverse effects. Therefore, an isolator may be provided to remove the return light and stabilize laser oscillation.
[0277]
Note that FIG. 64 illustrates the configuration of the laser irradiation device provided with a plurality of laser oscillation devices, but the number of laser oscillation devices may be one. FIG. 65 shows a configuration of a laser irradiation device having one laser oscillation device. In FIG. 65, reference numeral 6201 denotes a laser oscillation device, and reference numeral 6202 denotes a chiller. Reference numeral 6215 denotes an energy density measurement device, 6203 denotes an AO modulator, 6204 denotes an optical system, 6205 denotes a slit, and 6213 denotes a CCD camera. The substrate 6206 is set on a stage 6207, and the position of the stage 6207 is controlled by an X-direction position control means 6208 and a Y-direction position control means 6209. As in the case shown in FIG. 64, the operation of each unit of the laser irradiation device is controlled by the computer 6210, and the difference from FIG. 64 is that there is one laser oscillation device. Further, unlike the case of FIG. 64, the optical system 6204 may have a function of condensing one laser beam.
[0278]
In addition, instead of scanning and irradiating the entire semiconductor film with laser light, at least an indispensable part is scanned with laser light so that it can be crystallized at a minimum, so that the semiconductor film is crystallized and then removed by patterning. The time required to irradiate the portion to be irradiated with laser light can be omitted, and the processing time required for one substrate can be greatly reduced.
[0279]
This embodiment can be implemented in combination with Embodiments 5 to 9.
[0280]
(Example 11)
In this embodiment, a method for forming a base film having irregularities will be described.
[0281]
First, as illustrated in FIG. 62A, a first base film 6251 including an insulating film is formed over a substrate 6250. In this embodiment, silicon oxynitride is used for the first base film 6251. However, the present invention is not limited to this, and an insulating film having a high selectivity in etching with the second base film may be used. In this embodiment, the first base film 6251 is formed of SiH 4 And N 2 It was formed to a thickness of 50 to 200 nm using O. Note that the first base film may have a single-layer structure or a structure in which a plurality of insulating films are stacked.
[0282]
Next, as shown in FIG. 62B, a second base film 6252 made of an insulating film is formed so as to be in contact with the first base film 6251. The second base film 6252 needs to be patterned in a later step to have a thickness such that when the unevenness is formed, the unevenness appears on the surface of a semiconductor film to be formed later. In this embodiment, as the second base film 6252, silicon oxide having a thickness of 30 nm to 300 nm is formed by a plasma CVD method.
[0283]
Next, a mask 6253 is formed as shown in FIG. 62C, and the second base film 6252 is etched. In this embodiment, ammonium hydrogen fluoride (NH 4 HF 2 ) With ammonium fluoride (NH 4 Wet etching is performed at 20 ° C. using a mixed solution (trade name: LAL500, manufactured by Stella Chemifa) containing 15.4% of F) as an etchant. By this etching, a rectangular convex portion 6254 is formed. In this specification, the first base film 6251 and the projection 6254 are regarded as one base film in combination.
[0284]
Note that in the case where aluminum nitride, aluminum nitride oxide, or silicon nitride is used for the first base film 6251 and a silicon oxide film is used for the second base film 6252, the second base film 6252 is patterned by an RF sputtering method. It is desirable. Since aluminum nitride, aluminum nitride oxide, or silicon nitride as the first base film 6251 has high thermal conductivity, generated heat can be quickly diffused, and deterioration of the TFT can be prevented.
[0285]
Next, a semiconductor film is formed so as to cover the first base film 6251 and the projection 6254. In this embodiment, since the thickness of the convex portion is 30 nm to 300 nm, the thickness of the semiconductor film is preferably set to 50 to 200 nm, and is set to 60 nm here. Note that when an impurity is mixed between the semiconductor film and the base film, the crystallinity of the semiconductor film is adversely affected and a variation in characteristics of a TFT to be manufactured or a change in threshold voltage may be increased. And the semiconductor film are desirably formed continuously. Therefore, in this embodiment, after forming the base film including the first base film 6251 and the convex portion 6254, the silicon oxide film 6255 is thinly formed on the base film, and then continuously formed so as not to be exposed to the air. Then, a semiconductor film 6256 is formed. Although the thickness of the silicon oxide film can be appropriately set by a designer, it is set to about 5 nm to 30 nm in this embodiment.
[0286]
Next, a method for forming a base film different from that in FIG. 62 will be described. First, a first base film including an insulating film is formed over a substrate 6260 as shown in FIG. The first base film is formed using a silicon oxide film, a silicon nitride film, a silicon oxynitride film, or the like.
[0287]
When a silicon oxide film is used, tetraethyl orthosilicate (TEOS) and O 2 At a reaction pressure of 40 Pa, a substrate temperature of 300 to 400 ° C., and a high frequency (13.56 MHz) power density of 0.5 to 0.8 W / cm. 2 And can be formed by discharging. In the case where a silicon oxynitride film is used, SiH 4 , N 2 O, NH 3 Silicon oxynitride film made of SiH 4 , N 2 It may be formed using a silicon oxynitride film formed from O. The manufacturing conditions in this case are a reaction pressure of 20 to 200 Pa, a substrate temperature of 300 to 400 ° C., and a high frequency (60 MHz) power density of 0.1 to 1.0 W / cm. 2 Can be formed. In addition, SiH 4 , N 2 O, H 2 May be applied. Similarly, the silicon nitride film is made of SiH by a plasma CVD method. 4 , NH 3 It is possible to produce from.
[0288]
After forming the first base film to a thickness of 20 to 200 nm (preferably 30 to 60 nm) over the entire surface of the substrate, as shown in FIG. 63B, a mask 6262 is formed by photolithography. Then, unnecessary portions are removed by etching to form rectangular convex portions 6263. For the first base film 6261, a dry etching method using a fluorine-based gas may be used, or a wet etching method using a fluorine-based aqueous solution may be used. When the latter method is selected, for example, ammonium hydrogen fluoride (NH 4 HF 2 ) With ammonium fluoride (NH 4 It is preferable to perform etching with a mixed solution containing 15.4% of F) (trade name: LAL500, manufactured by Stella Chemifa).
[0289]
Next, a second base film 6264 made of an insulating film is formed so as to cover the protrusion 6263 and the substrate 6260. This layer is formed of a silicon oxide film, a silicon nitride film, a silicon oxynitride film, or the like to have a thickness of 50 to 300 nm (preferably 100 to 200 nm), like the first base film 6261.
[0290]
Through the above manufacturing process, a base film including the convex portion 6263 and the second base film 6264 is formed. Note that after the second base film 6264 is formed, the semiconductor film is continuously formed so as not to be exposed to the air, so that impurities in the air are mixed between the semiconductor film and the base film. Can be prevented.
[0291]
This embodiment can be implemented by freely combining with Embodiments 5 to 10.
[0292]
(Example 12)
In this embodiment, a description will be given of the shape of a laser beam synthesized by superposing a plurality of laser beams.
[0293]
FIG. 66A illustrates an example of the shape of a laser beam emitted from each of a plurality of laser oscillation devices on a processing target object without passing through a slit. The laser beam illustrated in FIG. 66A has an elliptical shape. In the present invention, the shape of the laser beam of the laser light oscillated from the laser oscillation device is not limited to an ellipse. The shape of the laser beam differs depending on the type of laser, and can be formed by an optical system. For example, the shape of the laser light emitted from a Lamda XeCl excimer laser (wavelength 308 nm, pulse width 30 ns) L3308 is a rectangular shape of 10 mm × 30 mm (both half widths in a beam profile). The shape of the laser beam emitted from the YAG laser is circular if the rod shape is cylindrical, and rectangular if the rod shape is slab. By further forming such a laser beam with an optical system, a laser beam having a desired size can be produced.
[0294]
FIG. 66B shows the distribution of the energy density of the laser beam in the long axis Y direction of the laser beam shown in FIG. The laser beam shown in FIG. 66A is 1 / e of the peak value of the energy density in FIG. 2 Corresponds to the region satisfying the energy density of The distribution of the energy density of the laser beam in which the laser beam has an elliptical shape becomes higher toward the center O of the ellipse. As described above, in the laser beam illustrated in FIG. 66A, the energy density in the central axis direction follows a Gaussian distribution, and the area where the energy density can be determined to be uniform is narrow.
[0295]
Next, FIG. 66C illustrates a shape of the laser beam when a laser beam having the laser beam illustrated in FIG. 66A is combined. Note that FIG. 66C illustrates the case where one linear laser beam is formed by overlapping laser beams of four laser beams; however, the number of laser beams to be overlapped is not limited to this.
[0296]
As shown in FIG. 66C, the laser beams of the respective laser beams are synthesized by matching the major axes of the ellipses and partially overlapping the laser beams, so that one laser beam 6385 is formed. I have. Hereinafter, a straight line obtained by connecting the centers O of the ellipses is referred to as a central axis of the laser beam 6385.
[0297]
FIG. 66D shows the distribution of the energy density of the laser beam in the central axis y direction of the combined laser beam shown in FIG. 66A. Note that the laser beam illustrated in FIG. 66C is 1 / e of the peak value of the energy density in FIG. 2 Corresponds to the region satisfying the energy density of The energy density is added at the portion where the laser beams before combining overlap. For example, as shown, when the energy densities E1 and E2 of the overlapping beams are added, the energy densities become substantially equal to the peak value E3 of the energy of the beam, and the energy density is flattened between the centers O of the ellipses.
[0298]
It should be noted that, when E1 and E2 are added, it is ideal that E3 becomes equal to E3. However, actually, the values do not always become equal. The allowable range of the difference between the value obtained by adding E1 and E2 and the value of E3 can be appropriately set by a designer.
[0299]
When a laser beam is used alone, the energy density distribution follows a Gaussian distribution, so that the semiconductor film in contact with the flat part of the insulating film or the entire part that becomes an island can be irradiated with laser light having a uniform energy density. difficult. However, as can be seen from FIG. 66 (D), by overlapping a plurality of laser beams so as to complement portions having a low energy density, the energy can be reduced more than using a plurality of laser beams alone without overlapping. The region having a uniform density is enlarged, and the crystallinity of the semiconductor film can be efficiently increased.
[0300]
FIG. 67 shows the distribution of the energy density at BB ′ and CC ′ in FIG. 66C obtained by calculation. FIG. 67 shows 1 / e of the peak value of the laser beam before synthesis. 2 Is defined as a region that satisfies the energy density. When the length of the short axis direction of the laser beam before the synthesis is 37 μm, the length of the long axis direction is 410 μm, and the distance between the centers is 192 μm, the energy density at BB ′ and CC ′ is Each has a distribution as shown in FIGS. 67 (A) and 67 (B). Although BB 'is slightly smaller than CC', it can be regarded as having substantially the same size, and 1 / e of the peak value of the laser beam before synthesis. 2 The shape of the combined laser beam in the region satisfying the energy density of (1) can be described as linear.
[0301]
FIG. 68A is a diagram showing an energy density distribution of a synthesized laser beam. A region indicated by 6380 is a region having a uniform energy density, and a region indicated by 6381 is a region having a low energy density. In FIG. 68, the length of the laser beam in the central axis direction is W. TBW And the length in the central axis direction in the region 6380 where the energy density is uniform is W max And W TBW Is W max The ratio of the region 6381 which cannot be used for crystallization of the semiconductor film to the region 6380 having a nonuniform energy density to the region 6380 having a uniform energy density which can be used for crystallization increases as the value becomes larger. In a semiconductor film in which only the region 6381 whose energy density is not uniform is irradiated, microcrystals are generated and crystallinity is not good. Therefore, it is necessary to determine the layout of the scanning path and the unevenness of the insulating film so that only the region serving as an island of the semiconductor film and the region 6381 do not overlap. When the ratio of the region 6381 to the region 6380 is increased, the restriction is further increased. . Therefore, using the slits to prevent the semiconductor film formed over the concave or convex portion of the insulating film from being irradiated only to the region 6381 whose energy density is not uniform can be achieved by the layout of the scanning path and the unevenness of the insulating film. This is effective in reducing restrictions that occur at the time.
[0302]
This embodiment can be implemented in combination with Embodiments 6 to 12.
[0303]
(Example 13)
In this embodiment, an optical system of a laser irradiation apparatus used in the present invention and a positional relationship between each optical system and a slit will be described.
[0304]
A laser beam having an elliptical laser beam has a rectangular or linear laser beam, because the energy density distribution in the direction perpendicular to the scanning direction follows a Gaussian distribution, so that the proportion of the entire area with a low energy density occupies a rectangular or linear laser beam. Higher than light. Therefore, in the present invention, it is desirable that the laser beam of the laser beam be rectangular or linear with a relatively uniform energy density distribution.
[0305]
FIG. 69 shows an optical system when four laser beams are combined into one laser beam. The optical system shown in FIG. 69 has six cylindrical lenses 6417 to 6422. The four laser beams incident from the directions of the arrows are incident on the four cylindrical lenses 6419 to 6422, respectively. Then, the two laser beams formed by the cylindrical lenses 6419 and 6421 are shaped again by a cylindrical lens 6417 and the laser beam is applied to the object 6423. On the other hand, the two laser lights formed by the cylindrical lenses 6420 and 6422 are shaped again by a cylindrical lens 6418 to form a laser beam, and are applied to the object 6423.
[0306]
The laser beams of the respective laser beams on the object to be processed 6423 partially overlap each other and are combined to form one laser beam.
[0307]
The designer can appropriately set the focal length and the incident angle of each lens, but the focal lengths of the cylindrical lenses 6417 and 6418 closest to the object 6423 are smaller than the focal lengths of the cylindrical lenses 6419 to 6422. I do. For example, the focal lengths of the cylindrical lenses 6417 and 6418 closest to the object 6423 are set to 20 mm, and the focal lengths of the cylindrical lenses 6419 to 6422 are set to 150 mm. In this embodiment, the incident angle of the laser light from the cylindrical lenses 6417 and 6418 to the workpiece 400 is 25 °, and the incident angle of the laser light from the cylindrical lenses 6419 to 6422 to the cylindrical lenses 6417 and 6418 is 10 °. Install each lens as follows. In addition, in order to prevent return light and to perform uniform irradiation, it is desirable to keep the incident angle of the laser light on the substrate larger than 0 °, preferably 5 to 30 °.
[0308]
FIG. 69 shows an example in which four laser beams are combined. In this case, there are four cylindrical lenses respectively corresponding to four laser oscillation devices, and two cylindrical lenses corresponding to the four cylindrical lenses. ing. The number of laser beams to be combined is not limited to this, and the number of laser beams to be combined may be two or more and eight or less. When synthesizing n (n = 2, 4, 6, 8) laser beams, n cylindrical lenses respectively corresponding to n laser oscillators, and n / 2 cylindrical lenses corresponding to the n cylindrical lenses are used. have. When synthesizing n (n = 3, 5, 7) laser beams, n cylindrical lenses respectively corresponding to n laser oscillation devices, and (n + 1) / 2 cylindrical lenses corresponding to the n cylindrical lenses are used. have.
[0309]
When five or more laser beams are superimposed, it is desirable to irradiate the fifth and subsequent laser beams from the opposite side of the substrate in consideration of the location of the optical system, interference, and the like. It must be provided on the opposite side. Further, the substrate needs to have transparency.
[0310]
In order to prevent return light from returning along the original optical path, it is desirable that the incident angle with respect to the substrate is kept larger than 0 and smaller than 90 °.
[0311]
In addition, in order to achieve uniform laser beam irradiation, a plane or long side that is a plane perpendicular to the irradiation surface and that includes the short side when each beam shape before synthesis is assumed to be rectangular. If any one of the surfaces including the incident surface is defined as the incident surface, the incident angle θ of the laser light is such that the length of the short side or the long side included in the incident surface is W, and the laser light is disposed on the irradiation surface, and When the thickness of the substrate having a property of transmitting laser light is d, it is preferable that θ ≧ arctan (W / 2d) is satisfied. This argument needs to hold for each laser beam before synthesis. When the locus of the laser beam is not on the incident surface, the incident angle of the locus projected on the incident surface is defined as θ. When the laser light is incident at this incident angle θ, the reflected light on the front surface of the substrate and the reflected light from the back surface of the substrate do not interfere with each other, and uniform laser light irradiation can be performed. The above discussion has assumed that the refractive index of the substrate is 1. Actually, in many cases, the refractive index of the substrate is around 1.5, and if this value is taken into account, a calculated value larger than the angle calculated in the above discussion can be obtained. However, since the energy at both ends in the longitudinal direction of the beam spot is attenuated, the influence of interference at this portion is small, and the above-described calculated value can sufficiently obtain the effect of interference attenuation. The above inequality for θ is not applied to any substrate other than a substrate that is transparent to a laser beam.
[0312]
The optical system of the laser irradiation device used in the present invention is
The configuration is not limited to the configuration shown in the example.
[0313]
An excimer laser is a typical gas laser capable of obtaining a rectangular or linear laser beam without combining a plurality of laser beams, and a slab laser is a typical solid laser. In the present invention, these lasers may be used. It is also possible to form a linear or rectangular laser beam having a uniform energy density by using an optical fiber.
[0314]
This embodiment can be implemented in combination with Embodiments 5 to 12.
[0315]
(Example 14)
In the present embodiment, the relationship between the distance between the centers of the laser beams and the energy density when the laser beams are superimposed will be described.
[0316]
In FIG. 70, the distribution of the energy density in the center axis direction of each laser beam is shown by a solid line, and the distribution of the energy density of the combined laser beam is shown by a broken line. The value of the energy density in the central axis direction of the laser beam generally follows a Gaussian distribution.
[0317]
1 / e of peak value in beam spot before combining 2 When the distance in the central axis direction satisfying the above energy density is 1, the distance between the peaks is X. Further, in the combined beam spot, Y is the peak value after the combination and the peak value divided by the average value of the valley values. FIG. 71 shows the relationship between X and Y obtained by the simulation. In FIG. 71, Y is expressed as a percentage.
[0318]
In FIG. 71, the energy difference Y is represented by the following approximate expression 1.
[0319]
(Equation 1)
Y = 60-293X + 340X 2 (X is the larger of the two solutions)
[0320]
According to Equation 1, for example, when it is desired to make the energy difference about 5%, it is sufficient to make X ≒ 0.584. Ideally, Y = 0, but then the length of the beam spot becomes shorter, so it is better to determine X in balance with the throughput.
[0321]
Next, the allowable range of Y will be described. FIG. 72 shows YVO with respect to the beam width in the central axis direction when the laser beam has an elliptical shape. 4 3 shows a distribution of laser output (W). The shaded region is the range of the output energy required to obtain good crystallinity, and it can be seen that the output energy of the synthesized laser beam should fall within the range of 3.5 to 6 W.
[0322]
When the maximum value and the minimum value of the output energy of the combined beam spot are within the output energy range necessary for obtaining good crystallinity, the energy difference Y for obtaining good crystallinity becomes maximum. Therefore, in the case of FIG. 72, the energy difference Y is ± 26.3%, and it can be seen that good crystallinity can be obtained if the energy difference Y falls within the above range.
[0323]
The range of output energy required to obtain good crystallinity varies depending on how far the crystallinity is determined to be good, and the distribution of output energy also varies depending on the shape of the laser beam. The allowable range of Y is not necessarily limited to the above value. It is necessary for the designer to appropriately determine the range of the output energy necessary for obtaining good crystallinity, and to set the allowable range of the energy difference Y from the distribution of the output energy of the laser used.
[0324]
This embodiment can be implemented in combination with Embodiments 5 to 13.
[0325]
(Example 15)
The present invention can be applied to various semiconductor devices. Embodiments of a display panel manufactured based on Embodiments 5 to 14 will be described with reference to FIGS.
[0326]
In FIG. 73, a substrate 6900 is provided with a pixel portion 6902, gate signal driver circuits 6901a and 6901b, data signal driver circuits 6901c, input / output terminals 6908, and a wiring or wiring group 6904. The shield pattern 6905 may partially overlap the gate signal driver circuits 6901a and 6901b, the data signal driver circuit 6901c, and a wiring or a wiring group 6904 connecting the driver circuit portion and the input / output terminal 6908. With this configuration, the area of the frame region (the peripheral region of the pixel portion) of the display panel can be reduced. An FPC 6903 is fixed to the input / output terminal 6908.
[0327]
The present invention can be used for an active element included in the pixel portion 6902, the gate signal side driver circuits 6901a and 6901b, and the data signal side driver circuit 6901c.
[0328]
FIG. 74 is an example illustrating a configuration of one pixel of the pixel portion 6902 illustrated in FIG. 73. In this embodiment, a pixel of a light emitting device which is one of the semiconductor devices of the present invention will be described. Note that a light emitting device is a general term for a display panel in which a light emitting element formed on a substrate is sealed between the substrate and a cover material, and a display module in which a TFT or the like is mounted on the display panel. Note that the light-emitting element has a layer (light-emitting layer) containing an organic compound capable of obtaining luminescence (Electro Luminescence) generated by application of an electric field, an anode, and a cathode.
[0329]
Note that in the light-emitting element used in this embodiment, the hole-injection layer, the electron-injection layer, the hole-transport layer, the electron-transport layer, and the like are formed using an inorganic compound alone or a material in which an inorganic compound is mixed with an organic compound. It can also take the form which has been done. Further, these layers may be partially mixed with each other.
[0330]
Reference numeral 6801 denotes a TFT (switching TFT) as a switching element for controlling input of a video signal input to a pixel, and 6802 denotes a TFT (driving TFT) for supplying a current to a pixel electrode based on information included in the video signal. TFT).
[0331]
The switching TFT 6801 includes an active layer 6803 having a channel width of about 1 to 2 μm and having a plurality of channel formation regions, a gate insulating film (not shown), and a gate electrode 6805 which is a part of the gate line 6804. are doing. The switching of the switching TFT 6801 is controlled by a selection signal input to the gate line 6804 from the gate signal side driver circuits 6901a and 6901b.
[0332]
One of a source region and a drain region included in the active layer 6803 of the switching TFT 6801 is connected to a signal line 6806 to which a video signal is input by a data signal side driver circuit 6901c, and the other is connected to a wiring 6807 for element connection. I have.
[0333]
Reference numeral 6820 denotes a projection of the base film used when forming the active layer 6803.
[0334]
On the other hand, the driving TFT 6802 includes an active layer 6808 having a channel width of about 1 to 2 μm and having a plurality of channel formation regions, a gate insulating film (not shown), and a gate electrode 6810 which is a part of the capacitor wiring 6809. have.
[0335]
One of a source region and a drain region included in the active layer 6808 of the driving TFT 6802 is connected to the power supply line 6811 and the other is connected to the pixel electrode 6812.
[0336]
Reference numeral 6821 denotes a protrusion of the base film used when forming the active layer 6808.
[0337]
Reference numeral 6813 denotes a capacitor semiconductor film which overlaps with the capacitor wiring 6809 with a gate insulating film interposed therebetween. The capacitor semiconductor film 6813 is connected to a power supply line. A portion where the semiconductor film 6813 for the capacitor, the gate insulating film, and the wiring 6809 for the capacitor overlap functions as a capacitor for holding the gate voltage of the driving TFT 6802. The capacitor wiring 6809 and the power supply line 6811 overlap with an interlayer insulating film (not shown) interposed therebetween. A portion where the capacitor wiring 6809 overlaps with the interlayer insulating film and the power supply line 6811 can also function as a capacitor for holding the gate voltage of the driving TFT 6802.
[0338]
In this specification, a connection means an electrical connection unless otherwise specified.
[0339]
The directions in which the carriers in the channel formation regions of the active layer 6803 of the switching TFT 6801 and the active layer 6808 of the driving TFT 6802 move are all aligned with the scanning direction of the laser light indicated by the arrow.
[0340]
It is preferable that the number of channel formation regions included in the active layer 6808 of the driving TFT 6802 be larger than the number of channel formation regions included in the active layer 6803 of the switching TFT 6801. This is because the driving TFT 6802 needs a larger current capability than the switching TFT 6801, and the on-current can be increased as the number of channel formation regions increases.
[0341]
Note that although the structure of the TFT substrate used for the light emitting device is described in this embodiment, a liquid crystal display device can be manufactured by using the manufacturing process of this embodiment.
[0342]
This embodiment can be implemented by freely combining with Embodiments 5 to 14.
[0343]
(Example 16)
Since the TFT included in the semiconductor device of the present invention has excellent crystallinity in a channel formation region, a circuit usually formed using an element using single crystal silicon, for example, a CPU using an LSI and a storage element of various logic circuits (Eg, SRAM), a counter circuit, a frequency divider circuit logic, and the like can be formed.
[0344]
The ultra-small dimensions of the VLSI are approaching the submicron range, and it is necessary to partially make the elements three-dimensional in order to achieve higher integration. In this embodiment, a structure of a semiconductor device of the present invention having a stack structure will be described.
[0345]
FIG. 76 is a sectional view of the semiconductor device of this embodiment. A first insulating film 7701 is formed over a substrate 7700. Then, a first TFT 7702 is formed over the first insulating film 7701. Note that the channel width of the channel formation region of the first TFT 7702 is about 1 to 2 microns.
[0346]
A first interlayer insulating film 7703 is formed so as to cover the first TFT 7702, and a first connection wiring 7705 and a wiring electrically connected to the first TFT 7702 are provided over the first interlayer insulating film 7703. 7704 are formed.
[0347]
Then, a second interlayer insulating film 7706 is formed so as to cover the wiring 7704 and the first connection wiring 7705. The second interlayer insulating film 7706 is formed using an inorganic insulating film, and a substance which absorbs laser light irradiated in a later step, such as a colored pigment or carbon, is mixed into silicon oxide, silicon oxynitride, or the like. Use what was mixed.
[0348]
When the upper surface of the second interlayer insulating film 7706 is polished using a chemical mechanical polishing method (CMP method), the surface of a second insulating film 7706 to be formed later is further planarized, When the semiconductor film formed over the insulating film 7706 is crystallized by laser light, the crystallinity can be further improved.
[0349]
Then, a second insulating film 7707 is formed over the second interlayer insulating film 7706. Then, a second TFT 7708 is formed over the second insulating film 7707. Note that the channel width of the channel formation region of the second insulating film 7707 is about 1 to 2 μm.
[0350]
A third interlayer insulating film 7709 is formed so as to cover the second TFT 7708. The third interlayer insulating film 7709 is electrically connected to the second connection wiring 7711 and the second TFT 7708 over the third interlayer insulating film 7709. Wiring 7710 is formed. Note that an embedded wiring (plug) 7712 is formed between the first connection wiring 7705 and the second connection wiring 7711 by a damascene process or the like.
[0351]
Then, a fourth interlayer insulating film 7713 is formed so as to cover the wiring 7710 and the second connection wiring 7711.
[0352]
This embodiment has a so-called stack structure in which the first TFT 7702 and the second TFT 7708 can be overlapped with an interlayer insulating film interposed therebetween. In FIG. 76A, a semiconductor device having a two-layer stack structure is illustrated; however, a stack device having three or more layers may be used. In that case, an inorganic insulating film which absorbs laser light, such as the second interlayer insulating film 7706, is provided between each layer in order to prevent the element formed in the lower layer from being irradiated with laser light.
[0353]
Since the three-dimensional semiconductor device can be highly integrated and the wiring for electrically connecting the elements can be shortened, signal delay due to the capacitance of the wiring can be prevented, and higher speed can be achieved. Operation becomes possible.
[0354]
The TFT using the present invention is described in the 4th New Functional Element Technology Symposium Proceedings, July 1985, p205. Can be used for a CAM / RAM coexistence chip described in (1). FIG. 76B illustrates a model in which an associative memory (CAM) in which a processor corresponding to a memory (RAM) is arranged and a RAM are coexistent. The first layer is a layer in which a word processing system circuit is formed. The second layer is a layer in which a processor corresponding to a third layer RAM is formed by various logic circuits. The third layer is a RAM. This is a layer where cells are formed. An associative memory (CAM) is formed by the second-layer processor and the third-layer RAM cells. Further, the fourth layer is a data RAM (data RAM), which coexists with the associative memory formed by the second and third layers.
[0355]
As described above, the present invention can be applied to various three-dimensional semiconductor devices.
[0356]
This embodiment can be implemented by freely combining with Embodiments 5 to 15.
[0357]
(Example 17)
A semiconductor device equipped with a TFT manufactured by using the present invention can be applied to various electronic devices. One example is a portable information terminal (electronic notebook, mobile computer, mobile phone, etc.), video camera, digital camera, personal computer, television receiver, mobile phone, projection display device, and the like. FIG. 75 shows specific examples of these electronic devices.
[0358]
FIG. 75A illustrates a display device including a housing 7001, a support base 7002, a display portion 7003, a speaker portion 7004, a video input terminal 7005, and the like. The display device of the present invention is completed by using the semiconductor device of the present invention for the display portion 7003. Since the light-emitting device is a self-luminous type, it does not require a backlight and can be a display portion thinner than a liquid crystal display. The display devices include all information display devices for personal computers, TV broadcast reception, advertisement display, and the like.
[0359]
FIG. 75B illustrates a digital still camera, which includes a main body 7101, a display portion 7102, an image receiving portion 7103, operation keys 7104, an external connection port 7105, a shutter 7106, and the like. The digital still camera of the present invention is completed by using the semiconductor device of the present invention for the display portion 7102.
[0360]
FIG. 75C illustrates a laptop personal computer, which includes a main body 7201, a housing 7202, a display portion 7203, a keyboard 7204, an external connection port 7205, a pointing mouse 7206, and the like. The notebook personal computer of the present invention is completed by using the semiconductor device of the present invention for the display portion 7203.
[0361]
FIG. 75D illustrates a mobile computer, which includes a main body 7301, a display portion 7302, a switch 7303, operation keys 7304, an infrared port 7305, and the like. The mobile computer of the present invention is completed by using the semiconductor device of the present invention for the display portion 7302.
[0362]
FIG. 75E illustrates a portable image reproducing device (specifically, a DVD reproducing device) including a recording medium, which includes a main body 7401, a housing 7402, a display portion A7403, a display portion B7404, and a recording medium (such as a DVD). A reading unit 7405, operation keys 7406, a speaker unit 7407, and the like are included. The display portion A7403 mainly displays image information, and the display portion B7404 mainly displays character information. Note that the image reproducing device provided with the recording medium includes a home game machine and the like. The image reproducing device of the present invention is completed by using the semiconductor device of the present invention for the display portions A, B7403, and 7404.
[0363]
FIG. 75F illustrates a goggle-type display (head-mounted display), which includes a main body 7501, a display portion 7502, and an arm portion 7503. By using the semiconductor device of the present invention for the display portion 7502, the goggle type display of the present invention is completed.
[0364]
FIG. 75G illustrates a video camera, which includes a main body 7601, a display portion 7602, a housing 7603, an external connection port 7604, a remote control receiving portion 7605, an image receiving portion 7606, a battery 7607, a voice input portion 7608, operation keys 7609, and an eyepiece. Unit 7610 and the like. The video camera of the present invention is completed by using the semiconductor device of the present invention for the display portion 7602.
[0365]
Here, FIG. 75H illustrates a mobile phone, which includes a main body 7701, a housing 7702, a display portion 7703, a sound input portion 7704, a sound output portion 7705, operation keys 7706, an external connection port 7707, an antenna 7708, and the like. Note that the display portion 7703 can suppress current consumption of the mobile phone by displaying white characters on a black background. The mobile phone of the present invention is completed by using the semiconductor device of the present invention for the display portion 7703.
[0366]
As described above, the applicable range of the present invention is extremely wide, and the present invention can be used for electronic devices in all fields. This embodiment can be implemented in combination with any of the configurations shown in Embodiments 5 to 16.
[0367]
【The invention's effect】
As described above, the semiconductor film is melted, aggregated in the opening formed on the insulating surface by surface tension, and crystal-grows from the approximate intersection of the bottom and the side of the opening, thereby causing crystallization. Can be concentrated in a region other than the opening. By etching away the crystalline semiconductor film in a region other than the opening, a region having good crystallinity can be selectively extracted. Further, by performing melt crystallization so as to fill the opening formed on the insulating surface with a semiconductor, strain generated due to crystallization can be concentrated in a region other than the opening, and the opening is filled. It can be said that the crystalline semiconductor film formed as described above can be released from distortion.
[0368]
That is, in the crystallization of irradiating a continuous wave laser beam to an amorphous semiconductor film, an opening is provided in a base insulating film, and in the process of melt crystallization, the opening is filled with a semiconductor to form a crystal. It is possible to concentrate the strain due to the formation, the crystal grain boundary or the crystal sub-grain boundary in a region other than the opening.
[0369]
Then, by specifying the location of a channel formation region of a semiconductor element such as a transistor, particularly a TFT, a crystalline semiconductor film having no crystal grain boundary can be formed. As a result, it is possible to eliminate a factor in which the characteristics vary due to crystal grain boundaries or crystal defects that are inadvertently interposed, and it is possible to form a TFT or a TFT element group with small characteristic variations. In addition, by forming a TFT such that a channel formation region is provided in a crystalline semiconductor film in an opening, current driving capability can be improved at high speed, and reliability of an element can be improved. It becomes.
[0370]
Further, by forming the gate insulating film and the gate electrode so as to overlap with the side surface portion and the upper surface portion of the crystalline semiconductor film, the area of a channel formation region can be increased and on-state current can be increased. Further, by applying a gate voltage from three directions, carriers injected into the gate insulating film, particularly hot carriers, can be reduced, and the reliability of the transistor can be improved.
[0371]
Further, according to the present invention, by actively using the semiconductor film located on the concave portion of the base film as an active layer of the TFT, it is possible to prevent a grain boundary from being formed in a channel formation region of the TFT. Accordingly, it is possible to prevent the mobility of the TFT from being significantly reduced, the ON current from being reduced, and the OFF current from being increased. It should be noted that the designer can appropriately determine how far the pattern is to be removed near the edge of the convex or concave portion by patterning.
[0372]
In addition, since the plurality of channel formation regions of the TFT are separated from each other, a region of the channel formation region overlapping with the gate electrode with the gate insulating film interposed therebetween can be widened. Can be longer. By increasing the channel width, it is possible to efficiently radiate the heat generated by driving the TFT while securing the ON current.
[Brief description of the drawings]
FIG. 1 is a diagram illustrating a crystallization method according to the present invention.
FIG. 2 is a vertical cross-sectional view illustrating details of the relationship between the shape of an opening and the form of a crystalline semiconductor film in crystallization.
FIG. 3 is a diagram illustrating a crystallization method according to the present invention.
4A and 4B are a top view and a vertical cross-sectional view illustrating a manufacturing process of a TFT manufactured according to the present invention.
5A and 5B are a top view and a vertical cross-sectional view illustrating a manufacturing process of a TFT manufactured according to the present invention.
6A and 6B are a top view and a vertical cross-sectional view illustrating a manufacturing process of a TFT manufactured according to the present invention.
7A and 7B are a top view and a vertical cross-sectional view illustrating a manufacturing process of a TFT manufactured according to the present invention.
8A and 8B are a top view and a vertical cross-sectional view illustrating a manufacturing process of a TFT manufactured according to the present invention.
9A and 9B are a top view and a vertical cross-sectional view illustrating a manufacturing process of a TFT manufactured according to the present invention.
10A and 10B are a top view and a vertical cross-sectional view illustrating a manufacturing process of a TFT manufactured according to the present invention.
11A and 11B are a top view and a vertical cross-sectional view illustrating a manufacturing process of a TFT manufactured according to the present invention.
12A and 12B are a top view and a vertical cross-sectional view illustrating a structure of a TFT manufactured according to the present invention.
13A and 13B are a top view and a vertical cross-sectional view illustrating a structure of a TFT manufactured according to the present invention.
FIG. 14 is a layout view showing one embodiment of a laser irradiation apparatus applied to the present invention.
FIG. 15 is a diagram illustrating laser light condensed linearly and the scanning direction thereof according to the present invention.
FIG. 16 is an example of an external view of a semiconductor device manufactured using the present invention.
FIG. 17 is a top view illustrating a manufacturing process of a pixel portion of the semiconductor device illustrated in FIGS.
18 is a top view illustrating a manufacturing process of a pixel portion of the semiconductor device illustrated in FIG.
19 is a top view illustrating a manufacturing process of a pixel portion of the semiconductor device illustrated in FIG.
20 is a top view illustrating a manufacturing process of a pixel portion of the semiconductor device illustrated in FIGS.
FIG. 21 is a top view illustrating a structure of a pixel portion of the semiconductor device illustrated in FIG.
FIG. 22 is a longitudinal sectional view illustrating a manufacturing process of a TFT manufactured by the present invention.
FIG. 23 is a vertical cross-sectional view illustrating details of a channel formation region of a TFT manufactured according to the present invention.
24 is a longitudinal sectional view illustrating the structure of a pixel portion corresponding to FIG.
FIG. 25 is a scanning electron showing a surface state when a 150 nm amorphous silicon film is formed and crystallized on a base insulating film provided with a step of 170 nm and a width and an interval of a protrusion of 0.5 μm. Microscope (SEM) photograph (after Secoetch).
FIG. 26 is a scanning electron showing a surface state when a 150 nm amorphous silicon film is formed and crystallized on a base insulating film provided with a step of 170 nm and a width and interval of a protrusion of 1.8 μm. Microscope (SEM) photograph (after Secoetch).
FIG. 27 is EBSP mapping data showing the orientation of a crystal formed in a concave portion.
FIG 28 illustrates an example of a semiconductor device.
FIG. 29 illustrates an example of a projector.
FIG. 30 illustrates a crystallization method according to the present invention.
FIG. 31 is a perspective view illustrating a crystallization method according to the present invention.
FIG. 32 is a perspective view illustrating a crystallization method according to the present invention.
FIG. 33 is a perspective view illustrating a crystallization method according to the present invention.
FIG. 34 is a perspective view illustrating a crystallization method according to the present invention.
FIG. 35 is a layout view showing one embodiment of a laser irradiation apparatus applied to the present invention.
36A to 36C are a top view and vertical cross-sectional views illustrating a manufacturing process of a TFT manufactured according to the present invention.
37A to 37C are a top view and vertical cross-sectional views illustrating a manufacturing process of a TFT manufactured according to the present invention.
38A to 38C are a top view and vertical cross-sectional views illustrating a manufacturing process of a TFT manufactured according to the present invention.
39A and 39B are a top view and a vertical cross-sectional view illustrating a manufacturing process of a TFT manufactured according to the present invention.
40A to 40C are a top view and vertical cross-sectional views illustrating a manufacturing process of a TFT manufactured according to the present invention.
41A and 41B are a top view and a vertical cross-sectional view illustrating an example of a TFT manufactured according to the present invention.
42A and 42B are a top view and a vertical cross-sectional view illustrating an example of a TFT manufactured according to the present invention.
43A and 43B are a top view and a vertical cross-sectional view illustrating an example of a TFT manufactured according to the present invention.
FIG. 44 is a top view illustrating a structure of a pixel portion of a display panel manufactured using the present invention.
FIG. 45 is a cross-sectional view showing a structure used for a thermal analysis simulation.
FIG. 46 is a graph showing a result of a thermal analysis simulation.
FIG. 47 is a cross-sectional image of a TEM after irradiating a semiconductor film formed on a base film having a projection with laser light to crystallize the semiconductor film, and a schematic view thereof.
FIG. 48 is a view showing a flow of crystallization of a semiconductor film of the present invention.
FIG. 49 illustrates a manufacturing process of a TFT of the present invention.
FIG. 50 illustrates a manufacturing process of a TFT of the present invention.
FIG. 51 illustrates a manufacturing process of a TFT of the present invention.
FIG. 52 illustrates a manufacturing process of a TFT of the present invention.
FIG. 53 illustrates a manufacturing process of a TFT of the present invention.
FIG. 54 illustrates a manufacturing process of a TFT of the present invention.
FIG. 55 is a cross-sectional view of a TFT of the present invention.
FIG. 56 is a cross-sectional view of a TFT of the present invention.
FIG. 57 illustrates a manufacturing process of a TFT of the present invention.
FIG. 58 illustrates a manufacturing process of a TFT of the present invention.
FIG. 59 is a diagram showing shapes of an island and a base film after the base film is etched.
FIG. 60 is a top view of a plurality of TFTs formed over a base film.
FIG. 61 shows a flow of crystallization of a semiconductor film of the present invention using a catalyst element.
FIG. 62 illustrates a method for manufacturing a base film having a projection.
FIG. 63 illustrates a method for manufacturing a base film having a projection.
FIG. 64 is a diagram of a laser irradiation device.
FIG. 65 is a diagram of a laser irradiation apparatus.
FIG. 66 is a diagram showing a distribution of energy density of a laser beam.
FIG. 67 is a diagram showing a distribution of energy density of a laser beam.
FIG. 68 is a diagram showing a distribution of energy density of a laser beam.
FIG. 69 is a diagram of an optical system.
FIG. 70 is a diagram showing a distribution of an energy density of a superposed laser beam in a central axis direction.
FIG. 71 is a diagram showing a relationship between a distance between laser beam centers and an energy difference.
FIG. 72 is a diagram showing a distribution of output energy in a central axis direction of a laser beam.
FIG 73 illustrates a structure of a light-emitting device which is an example of a semiconductor device of the present invention.
FIG 74 illustrates a structure of a pixel of a light-emitting device which is an example of a semiconductor device of the present invention.
FIG. 75 is a view of an electronic device using the semiconductor device of the present invention.
FIG. 76 is a cross-sectional view of a TFT having a stack structure and an example of a structure of a semiconductor device using the TFT.

Claims (32)

絶縁表面上に形成され、一対の一導電型不純物領域の間に連接して、複数の結晶方位を有し、結晶粒界が形成されることなくチャネル長方向と平行な方向に延在する複数の結晶粒が集合した結晶性半導体膜を有することを特徴とする半導体装置。A plurality of conductive layers formed on the insulating surface and connected to each other between the pair of one conductivity type impurity regions and having a plurality of crystal orientations and extending in a direction parallel to the channel length direction without forming crystal grain boundaries; A semiconductor device comprising a crystalline semiconductor film in which crystal grains are aggregated. 絶縁表面上に形成され、一対の一導電型不純物領域の間に連接して、複数の結晶方位を有し、結晶粒界が形成されることなくチャネル長方向と平行な方向に延在する複数の結晶粒が集合した結晶性半導体膜と、当該結晶性半導体膜と絶縁層を介して重畳する導電層により、当該結晶性半導体膜にチャネル形成領域が形成される構成を有し、チャネル形成領域における当該結晶性半導体膜はチャネル幅方向が0.01μm以上2μm以下であり、厚さが0.01μm以上3μm以下であることを特徴とする半導体装置。A plurality of conductive layers formed on the insulating surface and connected to each other between the pair of one conductivity type impurity regions and having a plurality of crystal orientations and extending in a direction parallel to the channel length direction without forming crystal grain boundaries; A channel formation region is formed in the crystalline semiconductor film by a crystalline semiconductor film in which crystal grains are aggregated and a conductive layer overlapping with the crystalline semiconductor film via an insulating layer; Wherein the crystalline semiconductor film has a channel width direction of 0.01 μm or more and 2 μm or less, and a thickness of 0.01 μm or more and 3 μm or less. 絶縁表面上に形成され、一対の一導電型不純物領域の間に連接して、複数の結晶方位を有し、結晶粒界が形成されることなくチャネル長方向と平行な方向に延在する複数の結晶粒が集合した結晶性半導体膜と、当該結晶性半導体膜の側面部及び上面部を被覆するゲート絶縁膜を介して重畳するゲート電極により、当該結晶性半導体膜の側面部及び上面部にチャネル形成領域が形成される構成を有し、チャネル形成領域における当該結晶性半導体膜はチャネル幅方向が0.01μm以上2μm以下であり、厚さが0.01μm以上3μm以下であることを特徴とする半導体装置。A plurality of conductive layers formed on the insulating surface and connected to each other between the pair of one conductivity type impurity regions and having a plurality of crystal orientations and extending in a direction parallel to the channel length direction without forming crystal grain boundaries; The gate electrode overlaps with the crystalline semiconductor film in which the crystal grains are aggregated via a gate insulating film that covers the side surface and the upper surface of the crystalline semiconductor film. A channel formation region is formed, and the crystalline semiconductor film in the channel formation region has a channel width direction of 0.01 μm to 2 μm and a thickness of 0.01 μm to 3 μm. Semiconductor device. 絶縁表面上に形成され、側面を絶縁膜が接する一対の一導電型不純物領域の間に連接して、複数の結晶方位を有し、結晶粒界が形成されることなくチャネル長方向と平行な方向に延在する複数の結晶粒が集合した結晶性半導体膜と、当該結晶性半導体膜の側面部及び上面部を被覆するゲート絶縁膜を介して重畳するゲート電極により、当該結晶性半導体膜の側面部及び上面部にチャネル形成領域が形成される構成を有し、チャネル形成領域における当該結晶性半導体膜はチャネル幅方向が0.01μm以上2μm以下であり、厚さが0.01μm以上3μm以下であり、前記一対の一導電型不純物領域の間に一つ又は複数個備えられていることを特徴とする半導体装置。Formed on the insulating surface, the side surface is connected between a pair of one conductivity type impurity regions in contact with the insulating film, has a plurality of crystal orientations, and is parallel to the channel length direction without forming crystal grain boundaries. A crystalline semiconductor film in which a plurality of crystal grains extending in the direction are gathered, and a gate electrode which overlaps via a gate insulating film covering the side surface and the upper surface of the crystalline semiconductor film; A channel formation region is formed in the side surface portion and the top surface portion, and the crystalline semiconductor film in the channel formation region has a channel width direction of 0.01 μm to 2 μm and a thickness of 0.01 μm to 3 μm. Wherein one or a plurality of impurity regions are provided between the pair of one-conductivity-type impurity regions. 絶縁表面を有する基板上に開口部が設けられた絶縁膜が形成され、前記基板上に形成された結晶性半導体膜は前記開口部を充填する領域を有し、当該充填領域にチャネル形成領域が備えられていることを特徴とする半導体装置。An insulating film provided with an opening is formed over a substrate having an insulating surface, the crystalline semiconductor film formed over the substrate has a region filling the opening, and a channel formation region is formed in the filling region. A semiconductor device, comprising: 絶縁表面を有する基板上にチャネル長方向に延在する開口部が設けられた絶縁膜が形成され、前記基板上に形成された結晶性半導体膜は前記開口部を充填する領域を有し、当該充填領域にチャネル形成領域が備えられていて、前記開口部は前記結晶性半導体膜と同じかそれ以上の深さを有していることを特徴とする半導体装置。An insulating film provided with an opening extending in a channel length direction is formed over a substrate having an insulating surface, and the crystalline semiconductor film formed over the substrate has a region filling the opening, A semiconductor device, wherein a channel formation region is provided in a filling region, and the opening has a depth equal to or greater than the crystalline semiconductor film. 絶縁表面に形成された矩形又は帯状に延在する開口部に結晶性半導体が設けられていて、前記結晶性半導体とゲート電極とがゲート絶縁膜を介して重畳していることを特徴とする半導体装置。A semiconductor, wherein a crystalline semiconductor is provided in a rectangular or band-shaped opening formed in an insulating surface, and the crystalline semiconductor and a gate electrode overlap with a gate insulating film interposed therebetween. apparatus. 絶縁表面に形成されたチャネル長方向に延在する開口部に結晶性半導体が設けられていて、前記結晶性半導体とゲート電極とがゲート絶縁膜を介して重畳していることを特徴とする半導体装置。A semiconductor, wherein a crystalline semiconductor is provided in an opening formed in an insulating surface and extending in a channel length direction, and the crystalline semiconductor and a gate electrode overlap with a gate insulating film interposed therebetween. apparatus. 下地膜上に形成された2つの不純物領域及び該2つの不純物領域に挟まれている複数のチャネル形成領域を有する活性層と、前記活性層に接するゲート絶縁膜と、前記ゲート絶縁膜を間に挟んで前記複数のチャネル形成領域と重なっているゲート電極とを有するTFTを用いた半導体装置であって、
前記複数のチャネル形成領域は、前記ゲート絶縁膜を間に挟んで互いに分離しており、
前記下地膜は、前記複数の各チャネル形成領域と、前記2つの不純物領域とで囲まれた領域の一部に凸部を有していることを特徴とする半導体装置。
An active layer having two impurity regions formed over the base film and a plurality of channel formation regions sandwiched between the two impurity regions; a gate insulating film in contact with the active layer; A semiconductor device using a TFT having a plurality of channel formation regions and a gate electrode overlapping with the plurality of channel formation regions,
The plurality of channel forming regions are separated from each other with the gate insulating film interposed therebetween,
The semiconductor device, wherein the base film has a protrusion in a part of a region surrounded by the plurality of channel formation regions and the two impurity regions.
下地膜上に形成された2つの不純物領域及び該2つの不純物領域に挟まれている複数のチャネル形成領域を有する活性層と、前記活性層に接するゲート絶縁膜と、前記ゲート絶縁膜を間に挟んで前記複数のチャネル形成領域と重なっているゲート電極とを有するTFTを用いた半導体装置であって、
前記複数のチャネル形成領域は、前記ゲート絶縁膜を間に挟んで互いに分離しており、
前記複数の各チャネル形成領域は単一な結晶性を有しており、
前記下地膜は、前記複数の各チャネル形成領域と、前記2つの不純物領域とで囲まれた領域の一部に凸部を有していることを特徴とする半導体装置。
An active layer having two impurity regions formed over the base film and a plurality of channel formation regions sandwiched between the two impurity regions; a gate insulating film in contact with the active layer; A semiconductor device using a TFT having a plurality of channel formation regions and a gate electrode overlapping with the plurality of channel formation regions,
The plurality of channel forming regions are separated from each other with the gate insulating film interposed therebetween,
Each of the plurality of channel forming regions has a single crystallinity,
The semiconductor device, wherein the base film has a protrusion in a part of a region surrounded by the plurality of channel formation regions and the two impurity regions.
絶縁表面を有する基板上に開口部が設けられた絶縁膜を形成し、前記絶縁膜上及び該開口部にかけて非晶質半導体膜を形成し、前記絶縁膜の開口部に溶融した半導体を流し込むように前記非晶質半導体膜を溶融して結晶化させて結晶性半導体膜を形成し、前記絶縁膜上に残存する結晶性半導体膜を除去した後、少なくともチャネル形成領域を形成する部位において当該絶縁膜を除去して該結晶性半導体膜の側面部を露出させ、該結晶性半導体膜の側面部及び上面部に接するゲート絶縁膜とゲート電極を形成することを特徴とする半導体装置の作製方法。An insulating film provided with an opening is formed over a substrate having an insulating surface, an amorphous semiconductor film is formed over the insulating film and over the opening, and a molten semiconductor is poured into the opening of the insulating film. After the amorphous semiconductor film is melted and crystallized to form a crystalline semiconductor film, the crystalline semiconductor film remaining on the insulating film is removed, and the insulating film is formed at least in a portion where a channel formation region is formed. A method for manufacturing a semiconductor device, comprising removing a film, exposing side surfaces of the crystalline semiconductor film, and forming a gate insulating film and a gate electrode in contact with the side surfaces and the upper surface of the crystalline semiconductor film. 絶縁表面を有する基板上に開口部が設けられた絶縁膜を形成し、前記絶縁膜上及び該開口部にかけて非晶質半導体膜を形成し、レーザー光の照射により、前記絶縁膜の開口部に溶融した半導体を流し込むように前記非晶質半導体膜を溶融して結晶化させて結晶性半導体膜を形成し、前記絶縁膜上に残存する結晶性半導体膜を除去した後、少なくともチャネル形成領域を形成する部位において当該絶縁膜を除去して該結晶性半導体膜の側面部を露出させ、該結晶性半導体膜の側面部及び上面部に接するゲート絶縁膜とゲート電極を形成することを特徴とする半導体装置の作製方法。Forming an insulating film provided with an opening over a substrate having an insulating surface, forming an amorphous semiconductor film over the insulating film and over the opening, and irradiating a laser beam to the opening of the insulating film; After the amorphous semiconductor film is melted and crystallized so that the melted semiconductor is poured, a crystalline semiconductor film is formed, and after removing the crystalline semiconductor film remaining on the insulating film, at least a channel formation region is formed. The insulating film is removed at a portion where the crystalline semiconductor film is formed, a side surface portion of the crystalline semiconductor film is exposed, and a gate insulating film and a gate electrode which are in contact with the side surface portion and the upper surface portion of the crystalline semiconductor film are formed. A method for manufacturing a semiconductor device. 絶縁表面を有する基板上に、チャネル長方向に延在する開口部が設けられた絶縁膜を形成し、前記絶縁膜上及び該開口部にかけて非晶質半導体膜を形成し、レーザー光を照射し、且つ前記チャネル長方向と平行な方向に走査して、前記絶縁膜の開口部に溶融した半導体を流し込むように前記非晶質半導体膜を溶融して結晶化させて結晶性半導体膜を形成し、前記絶縁膜上に残存する結晶性半導体膜を除去した後、少なくともチャネル形成領域を形成する部位において当該絶縁膜を除去して該結晶性半導体膜の側面部を露出させ、該結晶性半導体膜の側面部及び上面部に接するゲート絶縁膜とゲート電極を形成することを特徴とする半導体装置の作製方法。An insulating film provided with an opening extending in a channel length direction is formed over a substrate having an insulating surface, an amorphous semiconductor film is formed over the insulating film and over the opening, and laser light irradiation is performed. And scanning in a direction parallel to the channel length direction to melt and crystallize the amorphous semiconductor film so that the melted semiconductor flows into the opening of the insulating film to form a crystalline semiconductor film. Removing the crystalline semiconductor film remaining on the insulating film, removing the insulating film at least at a portion where a channel formation region is formed, exposing side surfaces of the crystalline semiconductor film, and removing the crystalline semiconductor film. Forming a gate insulating film and a gate electrode in contact with a side surface and an upper surface of the semiconductor device. 絶縁表面を有する基板上に、薄膜トランジスタのチャネル形成領域を含む島状の半導体膜の配置に合わせて開口部が設けられた絶縁膜を形成し、前記絶縁膜上及び該開口部にかけて非晶質半導体膜を形成し、レーザー光を照射し、且つ前記薄膜トランジスタのチャネル長方向と平行な方向に走査して、前記絶縁膜の開口部に溶融した半導体を流し込むように前記非晶質半導体膜を溶融して結晶化させて結晶性半導体膜を形成し、前記絶縁膜上に残存する結晶性半導体膜を除去した後、少なくともチャネル形成領域を形成する部位において当該絶縁膜を除去して該結晶性半導体膜の側面部を露出させ、該結晶性半導体膜の側面部及び上面部に接するゲート絶縁膜とゲート電極を形成することを特徴とする半導体装置の作製方法。An insulating film having an opening is formed over a substrate having an insulating surface in accordance with the arrangement of an island-shaped semiconductor film including a channel formation region of a thin film transistor, and an amorphous semiconductor is formed over the insulating film and over the opening. Forming a film, irradiating a laser beam, and scanning in a direction parallel to the channel length direction of the thin film transistor, melting the amorphous semiconductor film so that the melted semiconductor flows into the opening of the insulating film; Forming a crystalline semiconductor film by crystallization, removing the crystalline semiconductor film remaining on the insulating film, and removing the insulating film at least at a portion where a channel formation region is to be formed. Forming a gate insulating film and a gate electrode in contact with the side surface and the upper surface of the crystalline semiconductor film. 請求項11乃至請求項14のいずれか一項において、前記レーザー光は連続発振型のレーザー発振装置を光源として照射することを特徴とする半導体装置の作製方法。15. The method for manufacturing a semiconductor device according to claim 11, wherein the laser light is emitted using a continuous wave laser oscillation device as a light source. 請求項11乃至請求項15のいずれか一項において、前記レーザー光の照射は、前記基板を加熱しながら行われることを特徴とする半導体装置の作製方法。The method for manufacturing a semiconductor device according to any one of claims 11 to 15, wherein the irradiation with the laser light is performed while heating the substrate. 絶縁表面を有する基板上に開口部が設けられた絶縁膜を形成し、前記絶縁膜及び該開口部に非単結晶半導体膜を形成し、前記非単結晶半導体膜を溶融して結晶化させることにより前記絶縁膜の開口部を充填する結晶性半導体膜を形成し、該開口部を充填する結晶性半導体膜とゲート電極とがゲート絶縁膜を介して重畳して形成する各段階を有することを特徴とする半導体装置の作製方法。Forming an insulating film provided with an opening over a substrate having an insulating surface, forming a non-single-crystal semiconductor film in the insulating film and the opening, melting and crystallizing the non-single-crystal semiconductor film; Forming a crystalline semiconductor film filling the opening of the insulating film, and forming the crystalline semiconductor film filling the opening and the gate electrode so as to overlap with each other via the gate insulating film. A method for manufacturing a semiconductor device. 絶縁表面を有する基板上に開口部が設けられた絶縁膜を形成し、前記絶縁膜及び該開口部に非単結晶半導体膜を形成し、レーザー光の照射により前記非単結晶半導体膜を溶融して結晶化させ、前記絶縁膜の開口部を充填する結晶性半導体膜を形成し、該開口部を充填する結晶性半導体膜とゲート電極とがゲート絶縁膜を介して重畳して形成する各段階を有することを特徴とする半導体装置の作製方法。Forming an insulating film provided with an opening over a substrate having an insulating surface, forming a non-single-crystal semiconductor film in the insulating film and the opening, melting the non-single-crystal semiconductor film by laser light irradiation; Forming a crystalline semiconductor film filling the opening of the insulating film, and forming the crystalline semiconductor film filling the opening and the gate electrode so as to overlap with each other via the gate insulating film. A method for manufacturing a semiconductor device, comprising: 絶縁表面を有する基板上に、薄膜トランジスタのチャネル形成領域を含む島状の半導体領域の配置に合わせて開口部が設けられた絶縁膜を形成し、前記絶縁膜及び該開口部に非単結晶半導体膜を形成し、前記非単結晶半導体膜を溶融して結晶化させることにより前記絶縁膜の開口部を充填する結晶性半導体膜を形成し、前記結晶性半導体膜から前記島状の半導体領域を形成し、前記開口部を充填する結晶性半導体膜とゲート電極とがゲート絶縁膜を介して重畳して形成する各段階を有することを特徴とする半導体装置の作製方法。An insulating film having an opening is formed over a substrate having an insulating surface in accordance with the arrangement of an island-shaped semiconductor region including a channel formation region of a thin film transistor, and a non-single-crystal semiconductor film is formed in the insulating film and the opening. Forming a crystalline semiconductor film that fills an opening of the insulating film by melting and crystallizing the non-single-crystal semiconductor film, and forming the island-shaped semiconductor region from the crystalline semiconductor film. And a step of forming a crystalline semiconductor film filling the opening and a gate electrode so as to overlap with each other with a gate insulating film interposed therebetween. 絶縁表面を有する基板上に、薄膜トランジスタのチャネル形成領域を含む島状の半導体領域の配置に合わせて開口部が設けられた絶縁膜を形成し、前記絶縁膜及び該開口部に非単結晶半導体膜を形成し、レーザー光の照射により前記非単結晶半導体膜を溶融して結晶化させ、前記絶縁膜の開口部を充填する結晶性半導体膜を形成し、前記結晶性半導体膜から前記島状の半導体領域を形成し、前記開口部を充填する結晶性半導体膜とゲート電極とがゲート絶縁膜を介して重畳して形成する各段階を有することを特徴とする半導体装置の作製方法。An insulating film having an opening is formed over a substrate having an insulating surface in accordance with the arrangement of an island-shaped semiconductor region including a channel formation region of a thin film transistor, and a non-single-crystal semiconductor film is formed in the insulating film and the opening. Is formed, and the non-single-crystal semiconductor film is melted and crystallized by irradiation with laser light to form a crystalline semiconductor film which fills an opening of the insulating film, and the island-like shape is formed from the crystalline semiconductor film. A method for manufacturing a semiconductor device, comprising: forming a semiconductor region; and forming a crystalline semiconductor film filling the opening and a gate electrode so as to overlap with each other with a gate insulating film interposed therebetween. 請求項18又は請求項20において、前記レーザー光は連続発振型のレーザー発振装置を光源として照射することを特徴とする半導体装置の作製方法。21. The method for manufacturing a semiconductor device according to claim 18, wherein the laser light is emitted using a continuous wave laser oscillation device as a light source. 請求項18又は請求項20において、前記レーザー光は連続発振型のレーザー発振装置を光源とし、前記開口部の長手方向に沿って照射することを特徴とする半導体装置の作製方法。21. The method for manufacturing a semiconductor device according to claim 18, wherein the laser light is emitted along a longitudinal direction of the opening using a continuous wave laser oscillation device as a light source. 複数の凸部を有する絶縁膜上に、前記複数の凸部を覆うように半導体膜を成膜し、
前記半導体膜にレーザー光を照射することで前記半導体膜の結晶性を高め、
前記結晶性が高められた半導体膜をパターニングすることで、島状の半導体膜を形成し、
前記島状の半導体膜の上面全体を、前記複数の凸部の上面が露出するようにエッチングし、
前記複数の各凸部を全部または一部除去する半導体装置の作製方法であって、前記島状の半導体膜は、前記凸部間にその一部または全てが存在していることを特徴とする半導体装置の作製方法。
On an insulating film having a plurality of convex portions, a semiconductor film is formed so as to cover the plurality of convex portions,
Irradiating the semiconductor film with laser light to increase the crystallinity of the semiconductor film,
By patterning the semiconductor film having increased crystallinity, an island-shaped semiconductor film is formed,
Etching the entire upper surface of the island-shaped semiconductor film so that the upper surfaces of the plurality of protrusions are exposed;
A method for manufacturing a semiconductor device for removing all or a part of each of the plurality of protrusions, wherein the island-shaped semiconductor film partially or entirely exists between the protrusions. A method for manufacturing a semiconductor device.
複数の凸部を有する絶縁膜上に、前記複数の凸部を覆うように半導体膜を成膜し、
前記半導体膜にレーザー光を照射することで前記半導体膜の結晶性を高め、
前記結晶性が高められた半導体膜の上面全体を、前記複数の凸部の上面が露出するようにエッチングし、
エッチングされた半導体膜をパターニングすることで、島状の半導体膜を形成し、
前記複数の各凸部を全部または一部除去する半導体装置の作製方法であって、前記島状の半導体膜は、前記凸部間にその一部または全てが存在していることを特徴とする半導体装置の作製方法。
On an insulating film having a plurality of convex portions, a semiconductor film is formed so as to cover the plurality of convex portions,
Irradiating the semiconductor film with laser light to increase the crystallinity of the semiconductor film,
Etching the entire upper surface of the semiconductor film with increased crystallinity so that the upper surfaces of the plurality of protrusions are exposed;
By patterning the etched semiconductor film, an island-shaped semiconductor film is formed,
A method for manufacturing a semiconductor device for removing all or a part of each of the plurality of protrusions, wherein the island-shaped semiconductor film partially or entirely exists between the protrusions. A method for manufacturing a semiconductor device.
複数の凸部を有する絶縁膜上に、前記複数の凸部を覆うように半導体膜を成膜し、
前記半導体膜をパターニングすることで、島状の半導体膜を形成し、
前記島状の半導体膜にレーザー光を照射することで前記島状の半導体膜の結晶性を高め、
前記結晶性が高められた島状の半導体膜の上面全体を、前記複数の凸部の上面が露出するようにエッチングし、
前記複数の各凸部を全部または一部除去する半導体装置の作製方法であって、前記島状の半導体膜は、前記凸部間にその一部または全てが存在していることを特徴とする半導体装置の作製方法。
On an insulating film having a plurality of convex portions, a semiconductor film is formed so as to cover the plurality of convex portions,
Forming an island-shaped semiconductor film by patterning the semiconductor film;
By irradiating the island-shaped semiconductor film with laser light, the crystallinity of the island-shaped semiconductor film is increased,
Etching the entire upper surface of the island-shaped semiconductor film having increased crystallinity so that the upper surfaces of the plurality of protrusions are exposed;
A method for manufacturing a semiconductor device for removing all or a part of each of the plurality of protrusions, wherein the island-shaped semiconductor film partially or entirely exists between the protrusions. A method for manufacturing a semiconductor device.
複数の凸部を有する絶縁膜上に、前記複数の凸部を覆うように半導体膜を成膜し、
前記半導体膜にレーザー光を照射することで前記半導体膜の結晶性を高め、
前記結晶性が高められた半導体膜をパターニングすることで、島状の半導体膜を形成し、
前記島状の半導体膜の上面全体を、前記複数の凸部の上面が露出するようにエッチングし、
前記複数の各凸部を全部または一部除去し、
前記エッチングされた島状の半導体膜を用いて薄膜トランジスタを形成する半導体装置の作製方法であって、
前記薄膜トランジスタのチャネル形成領域は、前記エッチングされた島状の半導体膜の、前記凸部間に存在している部分を用いていることを特徴とする半導体装置の作製方法。
On an insulating film having a plurality of convex portions, a semiconductor film is formed so as to cover the plurality of convex portions,
Irradiating the semiconductor film with laser light to increase the crystallinity of the semiconductor film,
By patterning the semiconductor film having increased crystallinity, an island-shaped semiconductor film is formed,
Etching the entire upper surface of the island-shaped semiconductor film so that the upper surfaces of the plurality of protrusions are exposed;
Removing all or some of the plurality of projections,
A method for manufacturing a semiconductor device in which a thin film transistor is formed using the etched island-shaped semiconductor film,
The method for manufacturing a semiconductor device, wherein a channel existing region of the etched island-shaped semiconductor film existing between the convex portions is used as a channel formation region of the thin film transistor.
複数の凸部を有する絶縁膜上に、前記複数の凸部を覆うように半導体膜を成膜し、
前記半導体膜にレーザー光を照射することで前記半導体膜の結晶性を高め、
前記結晶性が高められた半導体膜の上面全体を、前記複数の凸部の上面が露出するようにエッチングし、
エッチングされた半導体膜をパターニングすることで、島状の半導体膜を形成し、
前記複数の各凸部を全部または一部除去し、
前記島状の半導体膜を用いて薄膜トランジスタを形成する半導体装置の作製方法であって、
前記薄膜トランジスタのチャネル形成領域は、前記島状の半導体膜の、前記凸部間に存在している部分を用いていることを特徴とする半導体装置の作製方法。
On an insulating film having a plurality of convex portions, a semiconductor film is formed so as to cover the plurality of convex portions,
Irradiating the semiconductor film with laser light to increase the crystallinity of the semiconductor film,
Etching the entire upper surface of the semiconductor film with increased crystallinity so that the upper surfaces of the plurality of protrusions are exposed;
By patterning the etched semiconductor film, an island-shaped semiconductor film is formed,
Removing all or some of the plurality of projections,
A method for manufacturing a semiconductor device in which a thin film transistor is formed using the island-shaped semiconductor film,
A method for manufacturing a semiconductor device, wherein a portion of the island-shaped semiconductor film existing between the projections is used as a channel formation region of the thin film transistor.
複数の凸部を有する絶縁膜上に、前記複数の凸部を覆うように半導体膜を成膜し、
前記半導体膜をパターニングすることで、島状の半導体膜を形成し、
前記島状の半導体膜にレーザー光を照射することで前記島状の半導体膜の結晶性を高め、
前記結晶性が高められた島状の半導体膜の上面全体を、前記複数の凸部の上面が露出するようにエッチングし、
前記複数の各凸部を全部または一部除去し、
前記エッチングされた島状の半導体膜を用いて薄膜トランジスタを形成する半導体装置の作製方法であって、
前記薄膜トランジスタのチャネル形成領域は、前記エッチングされた島状の半導体膜の、前記凸部間に存在している部分を用いていることを特徴とする半導体装置の作製方法。
On an insulating film having a plurality of convex portions, a semiconductor film is formed so as to cover the plurality of convex portions,
Forming an island-shaped semiconductor film by patterning the semiconductor film;
By irradiating the island-shaped semiconductor film with laser light, the crystallinity of the island-shaped semiconductor film is increased,
Etching the entire upper surface of the island-shaped semiconductor film having increased crystallinity so that the upper surfaces of the plurality of protrusions are exposed;
Removing all or some of the plurality of projections,
A method for manufacturing a semiconductor device in which a thin film transistor is formed using the etched island-shaped semiconductor film,
The method for manufacturing a semiconductor device, wherein a channel existing region of the etched island-shaped semiconductor film existing between the convex portions is used as a channel formation region of the thin film transistor.
請求項23乃至請求項28のいずれか一項において、前記レーザー光は、YAGレーザー、YVOレーザー、YLFレーザー、YAlOレーザー、ガラスレーザー、ルビーレーザー、アレキサンドライドレーザー、Ti:サファイアレーザー、YレーザーまたはNd:YVOレーザーから選ばれた一種または複数種を用いて出力されていることを特徴とする半導体装置の作製方法。According to any one of claims 23 to claim 28, wherein the laser beam, YAG laser, YVO 4 laser, YLF laser, YAlO 3 lasers, glass lasers, ruby lasers, alexandrite lasers, Ti: sapphire laser, Y 2 A method for manufacturing a semiconductor device, characterized in that output is performed using one or a plurality of types selected from an O 3 laser and a Nd: YVO 4 laser. 請求項23乃至請求項29のいずれか一項において、前記レーザー光は、スラブレーザーを用いて出力されていることを特徴とする半導体装置の作製方法。30. The method for manufacturing a semiconductor device according to claim 23, wherein the laser light is output using a slab laser. 請求項23乃至請求項30のいずれか1項において、前記レーザー光は連続発振であることを特徴とする半導体装置の作製方法。31. The method for manufacturing a semiconductor device according to claim 23, wherein the laser light is a continuous wave. 請求項23乃至請求項31のいずれか一項において、前記レーザー光は第2高調波であることを特徴とする半導体装置の作製方法。32. The method for manufacturing a semiconductor device according to claim 23, wherein the laser light is a second harmonic.
JP2003018236A 2002-01-28 2003-01-28 Method for manufacturing semiconductor device Expired - Fee Related JP4397599B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2003018236A JP4397599B2 (en) 2002-01-28 2003-01-28 Method for manufacturing semiconductor device

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP2002019286 2002-01-28
JP2002027492 2002-02-04
JP2002027382 2002-02-04
JP2002118154 2002-04-19
JP2003018236A JP4397599B2 (en) 2002-01-28 2003-01-28 Method for manufacturing semiconductor device

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2009115506A Division JP5530656B2 (en) 2002-01-28 2009-05-12 Semiconductor device, module and electronic device

Publications (3)

Publication Number Publication Date
JP2004006642A true JP2004006642A (en) 2004-01-08
JP2004006642A5 JP2004006642A5 (en) 2006-03-09
JP4397599B2 JP4397599B2 (en) 2010-01-13

Family

ID=30449641

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003018236A Expired - Fee Related JP4397599B2 (en) 2002-01-28 2003-01-28 Method for manufacturing semiconductor device

Country Status (1)

Country Link
JP (1) JP4397599B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017028282A (en) * 2015-07-21 2017-02-02 株式会社半導体エネルギー研究所 Semiconductor device manufacturing method

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019182262A1 (en) 2018-03-23 2019-09-26 홍잉 Method for manufacturing semiconductor device

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017028282A (en) * 2015-07-21 2017-02-02 株式会社半導体エネルギー研究所 Semiconductor device manufacturing method
US10985278B2 (en) 2015-07-21 2021-04-20 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device

Also Published As

Publication number Publication date
JP4397599B2 (en) 2010-01-13

Similar Documents

Publication Publication Date Title
JP6709873B2 (en) Display panel, electronic device
JP6726731B2 (en) Method for manufacturing thin film transistor
US7737506B2 (en) Semiconductor device and method of manufacturing the same
JP4137460B2 (en) Method for manufacturing semiconductor device
JP4397599B2 (en) Method for manufacturing semiconductor device
JP4312466B2 (en) Method for manufacturing semiconductor device
JP4137461B2 (en) Method for manufacturing semiconductor device
JP2004006644A (en) Semiconductor device and its fabricating method
JP2005340852A (en) Semiconductor device and electronic apparatus

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060120

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060120

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090108

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090317

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090512

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20091020

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20091021

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121030

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121030

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121030

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131030

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees