JP2003533025A - 低誘電率膜を有する半導体装置およびその製造方法 - Google Patents

低誘電率膜を有する半導体装置およびその製造方法

Info

Publication number
JP2003533025A
JP2003533025A JP2001581345A JP2001581345A JP2003533025A JP 2003533025 A JP2003533025 A JP 2003533025A JP 2001581345 A JP2001581345 A JP 2001581345A JP 2001581345 A JP2001581345 A JP 2001581345A JP 2003533025 A JP2003533025 A JP 2003533025A
Authority
JP
Japan
Prior art keywords
insulating film
film
etching
semiconductor device
sio
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2001581345A
Other languages
English (en)
Inventor
薫 前川
正仁 杉浦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2003533025A publication Critical patent/JP2003533025A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02134Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising hydrogen silsesquioxane, e.g. HSQ
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • H01L21/3124Layers comprising organo-silicon compounds layers comprising polysiloxane compounds layers comprising hydrogen silsesquioxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

(57)【要約】 【解決手段】 半導体装置の製造方法は、第1の絶縁膜上に第2の絶縁膜を堆積し、前記第2の絶縁膜をパターニングして開口部を形成し、さらに前記第2の絶縁膜をマスクとして使いながら前記第1の絶縁膜をエッチングする工程を含み、前記第2の絶縁膜として低誘電率膜を使う。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】
本発明は一般に半導体装置に関し、特に低誘電率膜を有する半導体装置および
その製造方法に関する。
【0002】
【従来の技術】
微細化技術の進歩に伴い、今日の先端的な半導体集積回路装置では基板上に莫
大な数の半導体素子が形成されている。かかる先端的な半導体集積回路装置では
、基板上の半導体素子間を接続するのに一層の配線層では不十分であり、複数の
配線層を層間絶縁膜を介して積層した、いわゆる多層配線構造を基板上に形成す
ることがなされている。
【0003】 特に最近では、いわゆるデュアルダマシン法による多層配線構造の研究がなさ
れている。典型的なデュアルダマシン法では層間絶縁膜中に形成しようとする配
線パターンに対応した溝およびコンタクトホールが形成され、かかる溝およびコ
ンタクトホールを導電体で埋めることにより所望の配線パターンを形成する。
【0004】 かかるデュアルダマシン法では、前記溝およびコンタクトホールを形成する際
にエッチングストッパ膜が使われ、このためエッチングストッパ膜の果たす役割
が重要である。また従来より、エッチングストッパ膜は、半導体装置の製造工程
においてリソグラフィの解像限界を超えるような非常に微細なコンタクトホール
を形成するいわゆるSAC(self-aligned contact)技術においても重要な役割
を果たしている。
【0005】 デュアルダマシン法には様々な変形が存在するが、図1A〜1Fは、従来の典
型的なデュアルダマシン法による、多層配線構造の形成方法を示す。
【0006】 図1Aを参照するに、MOS(Metal-Oxide Silicon)トランジスタ等、図示
しない半導体要素が形成されたSi基板10はCVD(Chemical Vapor Deposit
ion)−SiO2などの層間絶縁膜11により覆われており、前記層間絶縁膜11
上には配線パターン12Aが形成されている。前記配線パターン12Aは、前記
層間絶縁膜11上に形成された次の層間絶縁膜12B中に埋め込まれており、前
記配線パターン12Aおよび層間絶縁膜12Bよりなる配線層12は、SiN等
のエッチングストッパ膜13により覆われている。
【0007】 前記エッチングストッパ膜13は、さらに次の層間絶縁膜14により覆われ、
前記層間絶縁膜14上には、SiN等よりなる、さらに別のエッチングストッパ
膜15が形成されている。
【0008】 図示の例では、前記エッチングストッパ膜15上にさらに別の層間絶縁膜16
が形成され、さらに前記層間絶縁膜16は次のエッチングストッパ膜17により
覆われている。エッチングストッパ膜15,17は、ハードマスクとよばれるこ
とがある。
【0009】 図1Aの工程では、前記エッチングストッパ膜17上にフォトリソグラフィー
工程により、所望のコンタクトホールに対応した開口部18Aを有するレジスト
パターン18が形成され、前記レジストパターン18をマスクに前記エッチング
ストッパ膜17をドライエッチングにより除去し、前記エッチングストッパ膜1
7中に、前記所望のコンタクトホールに対応した開口部を形成する。
【0010】 次に図1Bの工程において前記レジストパターン18を除去し、前記エッチン
グストッパ膜17をハードマスクとしてその下の層間絶縁膜16をRIE(Reac
tive Ion Etching)法によりドライエッチングし、前記層間絶縁膜16中に前記
コンタクトホールに対応した開口部16Aを形成する。
【0011】 さらに図1Cの工程において、前記図1Bの構造上にレジスト膜19が、前記
開口部16Aを埋めるように塗布され、図1Dの工程においてこれをフォトリソ
グラフィー法によりパターニングし、所望の配線パターンに対応したレジスト開
口部19Aをレジスト膜19中に形成する。前記開口部19Aの形成の結果、前
記層間絶縁膜16中に形成された開口部16Aが、前記レジスト開口部19A中
に露出される。
【0012】 図1Dの工程では、さらに前記レジスト膜19をマスクに、前記レジスト開口
部19Aにおいて露出した前記エッチングストッパ膜17および前記開口部16
A底部において露出したエッチングストッパ膜15をドライエッチングにより除
去し、図1Eの工程において前記レジスト膜19を除去した後、前記エッチング
ストッパ膜17および15をハードマスクに、前記層間絶縁膜16および層間絶
縁膜14をドライエッチングにより一括してパターニングする。
【0013】 かかるパターニングの結果、前記層間絶縁膜16中には所望の配線溝に対応す
る溝16Bが、また前記層間絶縁膜14中には所望のコンタクトホールに対応す
る開口部14Aが形成される。前記開口部16Bは、前記開口部16Aを含むよ
うに形成される。
【0014】 さらに図1Fの工程において、前記開口部14A低部において露出しているエ
ッチングストッパ膜13をRIE法によるドライエッチングにより除去し、コン
タクトホール14A底部において前記配線パターン12Aを露出する。
【0015】 前記エッチングストッパ膜13を除去する工程の後、前記配線溝16Bおよび
コンタクトホール14AをAl層あるいはCu層などの導電膜で充填し、さらに
前記層間絶縁膜16上に形成された導電膜部分を化学機械研磨(CMP)により
除去することにより、配線パターン12Aとコンタクトホール14Aで接続され
た配線パターン20が得られる。これらの工程をさらに繰り返すことにより、3
層目、4層目の配線パターンを形成することが可能である。
【0016】 このようなデュアルダマシン法による多層配線構造の形成工程においては、先
にも説明したようにエッチングストッパ膜13,15,17の役割が重要である
が、従来より、かかるエッチングストッパ膜として、前記層間絶縁膜14,16
あるいは18に対して大きなエッチング選択比が確保できる材料として、一般に
SiNが使われている。
【0017】
【発明が解決しようとする課題】
ところで、最近の半導体集積回路装置では、配線パターンにおいて生じる配線
遅延の問題を解決すべく、従来のAlの代わりに、配線パターンとして低抵抗の
Cuを使うことが行われている。最近の集積密度の高い半導体集積回路装置では
、基板10上に形成される半導体素子数が莫大なものとなっており、また配線パ
ターンも非常に複雑になっているため、このような多層配線構造中に形成される
配線パターンの総延長は非常に大きなものになっている。
【0018】 また、かかる配線遅延をさらに低減させるため、Cu配線パターンの使用の他
に、多層配線構造を構成する層間絶縁膜の誘電率を減少させる努力がなされてい
る。従来のように層間絶縁膜としてSiO2あるいはBPSG等を使った場合に
は、比誘電率の値は4〜5程度になるが、例えばFSG等のF添加SiO2膜を
使うと比誘電率の値を3.3〜3.6程度まで減少させることができる。またH
SQ(hydrogen silsesquioxane )等のSi−H基を含むSiO2膜では、比誘
電率の値を2.9〜3.1程度まで低減することができる。さらに前記層間絶縁
膜として、有機SOGの使用や、有機絶縁膜の使用も提案されている。有機SO
Gを使った場合、3以下の比誘電率が達成される。また有機絶縁膜は2.7程度
の非常に低い誘電率を実現できる。
【0019】 一方、先に説明した図1A〜1Fからわかるように、デュアルダマシン法で形
成した多層配線構造では、一の層間絶縁膜と次の層間絶縁膜との間にエッチング
ストッパ膜を介在させるのが不可欠であるが、かかるエッチングストッパ膜とし
て従来より使われているSiNは比誘電率が8程度と非常に大きく、このため折
角層間絶縁膜として低誘電率材料を使っても、その効果が相殺されてしまう。ま
た配線パターンとして低抵抗のCuを使っても、その好ましい効果が前記SiN
膜の高い誘電率により、実質的に相殺されてしまうことになる。図1Fよりわか
るように、デュアルダマシン法で形成した多層配線構造では、半導体装置の完成
後もエッチングストッパ膜は層間絶縁膜と間に残留する。
【0020】 層間絶縁膜として有機絶縁膜を使った場合には、エッチングストッパ膜として
SiO2膜を使うことができるが、その場合でも、SiO2エッチングストッパ膜
が望ましい層間絶縁膜の誘電率の低下をある程度相殺してしまうことは避けられ
ない。
【0021】 また、SAC(自己整合コンタクト)構造を有する半導体装置においても、エ
ッチングストッパ膜は最終デバイス構造中に残留する。SAC構造ではコンタク
トホール形成プロセスにおいてエッチングストッパ膜が自己整合コンタクトとし
て使われる。例えば、かかる自己整合マスクはゲート電極の側壁絶縁膜を構成す
る側壁絶縁膜により構成される。このため、かかる自己整合マスクとして低誘電
率材料を使うことは、特に高速半導体装置においては動作速度を向上させる上で
重要なポイントとなる。従来は、かかる目的にSiNあるいはSiONが使われ
ていたが、先にも説明したようにこれらの材料では比誘電率が4.0以上で非常
に大きく、このため、半導体装置において所望の動作速度の向上は達成されてい
ない。
【0022】
【課題を解決するための手段】
そこで、本発明は上記の課題を解決した、新規で有用な半導体装置およびその
製造方法を提供することを概括的課題とする。
【0023】 本発明のより具体的な目的は、多層配線構造を有する半導体装置において、ハ
ードマスクとして使われるエッチングストッパ膜の誘電率を低減させることにあ
る。
【0024】 本発明の別の目的は、自己整合コンタクトホールを有する半導体装置において
、マスクとして作用するエッチングストッパ膜の誘電率を低減させることにある
【0025】 本発明は、上記の課題を、 第1の絶縁膜上に第2の絶縁膜を堆積する工程と、 前記第2の絶縁膜をパターニングし、開口部を形成する工程と、 前記第2の絶縁膜をマスクに前記第1の絶縁膜をエッチングする工程とを含む
半導体装置の製造方法において、 前記第2の絶縁膜として、低誘電率膜を使うことを特徴とする半導体装置の製
造方法を提供することにある。
【0026】 本発明の他の目的は、 基板と、 前記基板上に形成された多層配線構造とよりなり、 前記多層配線構造は 第1の開口部を有する層間絶縁膜と、 前記層間絶縁膜上に形成され、前記第1の開口部に対して整列した第2の開口
部を有するエッチングストッパ膜と、 前記第1および第2の開口部を充填する導電性パターンとよりなり、 前記エッチングストッパ膜は低誘電率膜よりなることを特徴とする半導体装置
を提供することにある。
【0027】 本発明の他の目的は、 基板と、 前記基板上に形成された一対のパターンと、 前記一対のパターンの間に形成されたコンタクトホールとよりなる半導体装置
において、 前記パターンの各々は側壁絶縁膜を有し、 前記コンタクトホールは前記パターンの側壁絶縁膜により画成され、 前記側壁絶縁膜は低誘電率材料よりなることを特徴とする半導体装置を提供す
ることにある。
【0028】 本発明によれば、デュアルダマシン法により形成された多層配線構造中におい
て生じる配線遅延を、エッチングストッパ膜として機能する前記第2の絶縁膜と
して低誘電率膜を使うことにより、最小化することが可能になる。
【0029】 本発明のその他の特徴および利点については、以下図面を参照して行う本発明
の好ましい実施例についての説明より明らかとなろう。
【0030】
【発明の実施の形態】
[原理] 以下、本発明の原理を図2を参照しながら説明する。ただし図2は、本発明の
発明者が行なった本発明の基礎となる実験により求められた、様々なSiO2
縁膜のドライエッチング速度を示す。図2中、縦軸はエッチング速度を、横軸は
SiO2膜中に導入されたCの濃度を重量比(wt%)で示す。図2の実験では
、図2の実験では、通常のSiO2膜をドライエッチングするレシピにより、エ
ッチングガスとしてC48,O2およびArを使い、ドライエッチングした。
【0031】 図2中、SOD−SiO2と記載されている実験点は、いわゆるSOG(spin
−on−glass )膜についてのものであり、これに対してP−SiOと記載されて
いる実験点はプラズマCVD法により形成したSiO2膜についての結果を表す
。これらの膜は、4.0あるいはそれを超える大きな比誘電率を有している。
【0032】 さらにHSQと記載されている実験点は、SiO2膜中にHがSi−Hの形で
導入された絶縁膜についての実験点であり、2.8〜2.9程度の、低い誘電率
を有する。また、図2中、SINと記載されている実験点は、プラズマCVD法
により形成したSiN膜に対して、SiO2膜をドライエッチングするレシピを
適用した場合に得られるエッチング速度を示す。SiN膜の比誘電率は、先にも
説明したように非常に大きく、8.0に達する。
【0033】 図2を参照するに、上述の各実験点では、SiO2膜はCを含まず、膜中に含
まれるCの量は実質的に0wt%であり、SOG膜(SOG−SiO2)および
プラズマCVD−SiO2膜(P−SiO)では毎分400nmを超えるエッチ
ング速度が実現されているのがわかる。これに対し、プラズマCVD−SiN膜
(P−SiN)ではエッチング速度は毎分20〜30nm程度であり、前記SO
G膜あるいはプラズマCVD−SiO2膜に対して10倍を超えるエッチング選
択比が確保されているのが確認される。一方、先にも説明したように、これらの
SiO2膜では比誘電率が高いため、図1Fに示す多層配線構造に適用した場合
には、低誘電率層間絶縁膜により得られる利点がかなり相殺されてしまう。
【0034】 一方、本発明の発明者は、SiO2膜中にCをSiOCHの形で含む低誘電率
絶縁膜について、SiO2膜をドライエッチングするレシピを適用したところ、
膜中のC濃度が約25wt%である場合に、エッチング速度が毎分100nm以
下にまで低下することを見出した。これを図2中、「Hybrid1」で示す。
さらに、前記SiOCH膜中のC濃度を約55wt%まで増加させたところ、図
2中「Hybrid2」で示すようにエッチング速度が毎分10nm以下にまで
減少するのが見出された。これらの値は、前記SiO2エッチングレシピにおい
てプラズマCVD−SiN膜に対して得られるエッチング速度と同等、あるいは
それよりも小さい。
【0035】 図2の実験で使ったSiOCH膜は一般的に入手可能なスピンオン膜であり、
様々なC濃度のものが入手可能である。また、かかるSiOCH膜はプラズマC
VD法により形成することも可能である。
【0036】 かかるCをSiOCH成分の形で含むSiO2膜中においてはSi原子にCHx 基が結合しており、Si−C結合が形成されている。図2の結果は、膜中におけ
るSi−C結合の割合が増大するにつれて、SiO2膜のエッチングレシピを適
用した場合のSiO2膜のエッチング速度は急激に低下する。
【0037】 図2は、特にCを約55wt%含む「Hybrid2」組成のSiO2膜を、
SiNを代替する低誘電率エッチングストッパ膜として使うことが可能であるこ
とを示している。
【0038】 [第1実施例] 図3A〜3Cは、本発明の第1実施例によるハードマスクを使った絶縁層のパ
ターニング工程を含む半導体装置の製造方法を示す。
【0039】 図3Aを参照するに、基板1上に第1の絶縁膜2が形成され、さらに前記第1
の絶縁膜2上に第2の絶縁膜3が形成されて半導体構造が形成される。
【0040】 次に図3Bの工程において前記第2の絶縁膜3中に開口部3Aが形成される。
さらに図3Cの工程において前記第2の絶縁膜3をハードマスクに、前記第1の
絶縁膜2を、前記第1の絶縁膜2のレシピでドライエッチングして、前記開口部
3Aに対応した開口部2Aを前記第1の絶縁膜2中に形成する。
【0041】 以下の表1は、本発明による、前記第1の絶縁膜2と前記第2の絶縁膜3の、
可能な組み合わせを示す。
【0042】
【表1】 表1を参照するに、前記第2の絶縁膜3、すなわちハードマスクとしてHSQ
膜を使った場合には、前記第1の絶縁膜2がSiO2膜、SiN膜あるいはHS
Q膜等の無機絶縁膜である場合を除き、すなわち前記第1の絶縁膜2が有機絶縁
膜およびCを含むSiO2膜のいずれである場合にも、前記絶縁膜3をハードマ
スクとして使った絶縁膜2のパターニングを行なうことができるのがわかる。
【0043】 一方前記表1より、前記第2の絶縁膜3として芳香族系の有機絶縁膜を使った
場合には、かかる有機絶縁膜3をハードマスクとして、SiO2膜、SiN膜お
よびHSQ膜を含む無機絶縁膜、およびCを含むSiO2膜のいずれもが、それ
ぞれのエッチングレシピでパターニングできることがわかる。
【0044】 さらに表1は、Cを含むSiO2膜が、前記第1の絶縁膜2の有効なハードマ
スクとして、前記第1の絶縁膜2がSiO2,SiNあるいはHSQ等の無機絶
縁膜であっても、また有機絶縁膜であっても機能することを示す。またCを含む
SiO2膜は、前記第2の絶縁膜3がCを含む絶縁膜である場合でも、有効なハ
ードマスクとして機能し得る。この場合には、前記絶縁膜2中のC濃度と絶縁膜
3中のC濃度を、前記絶縁膜2と絶縁膜3との間で十分な、好ましくは5倍以上
の選択比が確保できるような値にそれぞれ設定する。
【0045】 先に説明した図2の関係を参照すると、例えば前記第1の絶縁膜2中のC濃度
を25wt%以下、また前記第2の絶縁膜3中のC濃度を50%以上に設定する
ことで、前記第1の絶縁膜をSiO2膜のエッチングレシピでドライエッチング
した場合に、所望の選択比が前記絶縁膜2と絶縁膜3との間に確保できるのがわ
かる。
【0046】 図3Cの構造では、前記第1および第2の絶縁膜2および3がいずれも低誘電
率膜であるため、全体としても低い誘電率を有し、その結果前記開口部2A中に
低抵抗導体パターンを形成した場合でも、寄生容量の増大の問題を回避すること
ができる。
【0047】 特に前記第1の絶縁膜2および第2の絶縁膜3の双方をCを含むSiO2膜とし
た場合には、前記図3Aの工程において、前記第1の絶縁膜2と第2の絶縁膜3
の堆積を、同一の反応容器中において連続してCVDプロセスを実行することに
より、効率よく行なうことができる。
【0048】 [第2実施例] 図4A〜4Fは、本発明の第2実施例による多層配線構造を有する半導体装置
の製造工程を示す。ただし図中、先に説明した部分に対応する部分には同一の参
照符号を付し、説明を省略する。
【0049】 図4Aを参照するに、この工程は先に説明した図1Aの工程に対応し、同様な
積層構造が形成されているが、従来のSiNよりなるエッチングストッパ膜13
,15および17の代わりにCを約55wt%含むSiOCH膜23,25およ
び27が使われる。
【0050】 そこで、図4Bの工程において、前記レジストパターン18をマスクに、Si
N膜のエッチングレシピにより、前記SiOCH膜27をドライエッチングし、
前記SiOCH膜27中に前記レジスト開口部18Aに対応した開口部を形成す
る。ただし前記レジスト開口部18Aは、前記多層配線構造中に形成したいコン
タクトホールに対応している。さらに、前記レジストパターン18を除去し、あ
るいは残したまま、前記SiOCH膜27の下の層間絶縁膜16を、SiO2
のエッチングレシピによりドライエッチングし、前記レジスト開口部18Aに対
応した開口部16Aを形成する。
【0051】 次に図4Cの工程において、図4Bの構造上にレジスト膜19を新たに塗布し
、さらに図4Dの工程においてこれをフォトリソグラフィー工程によりパターニ
ングし、前記多層配線構造中に形成したい配線溝に対応して、レジスト開口部1
9Aを形成する。前記レジスト開口部19Aの形成の結果、前記SiOCH膜2
7および前記層間絶縁膜16中に形成された開口部16Aが露出する。また、前
記開口部16Aの底において、前記SiOCH膜25が露出する。
【0052】 次に、図4Eの工程において、前記レジスト膜19をマスクに、SiN膜のエ
ッチングレシピにより前記レジスト開口部19Aにより露出された前記SiOC
H膜27をドライエッチングし除去する。かかるドライエッチングを行なうこと
により、前記開口部16A底部において露出していたSiOCH膜25も同時に
除去され、前記レジスト開口部19Aにおいて前記層間絶縁膜25が、また前記
開口部16Aにおいて前記層間絶縁膜14が露出される。
【0053】 さらに図4Eの工程では、このようにして得られた構造に対して、SiO2
のエッチングレシピによりドライエッチングを行ない、前記層間絶縁膜16中に
、前記レジスト開口部19Aに対応した、すなわち形成したい配線溝に対応した
開口部16Bを形成する。ただし、前記開口部16Bは前記開口部16Aを含む
ように形成される。前記開口部16Bの形成と同時に、前記層間絶縁膜14中に
は、前記開口部16Aに対応した、すなわち形成したいコンタクトホールに対応
した開口部14Aが形成される。
【0054】 さらに、図4Fの工程において、前記層間絶縁膜16上のSiOCH膜27、
前記開口部16Bにおいて露出しているSiOCH膜25、さらに前記開口部1
4Aにおいて露出しているSiOCH膜23が、SiN膜のエッチングレシピに
よりドライエッチングを行なうことにより除去される。
【0055】 このようにして形成された、前記開口部16Bよりなる配線溝および前記開口
部14AよりなるコンタクトホールをCu等の導体層により充填し、さらに前記
層間絶縁膜16上の導体層をCMP法により除去することにより、図4Fに示す
ような、前記下側配線パターン12Aとコンタクトホール14Aにおいてコンタ
クトした導体パターン20が得られる。
【0056】 前記層間絶縁膜14および16として、FドープSiO2膜、SiOH等のH
SQ膜、あるいは多孔質膜等の無機低誘電率絶縁膜、あるいは有機SOG,ある
いは芳香族系の低誘電率有機絶縁膜を使うのが望ましい。勿論、従来より使われ
ている、CVD−SiO2膜やSOG膜を、前記層間絶縁膜14,16として使
うことも可能である。
【0057】 特に前記層間絶縁膜14,16として低誘電率の無機あるいは有機絶縁膜を使
った場合、本実施例による多層配線構造は、全体的な誘電率を低下させることが
可能になり、半導体装置の高速動作に寄与する。
【0058】 前記SiOCH膜23,25,27は、例えばスピンコーティングすることに
より、あるいは先に説明した表1の条件に従ってプラズマCVD法により、形成
することが可能である。前記図4Aの工程において前記SiOCH膜23,25
,27をプラズマCVD法で形成した場合、同一のプラズマCVD装置内におい
て、前記膜23,25,27を、他の層間絶縁膜14,16と共に、連続して、
基板を大気中に取り出す必要なく、形成することが可能である。
【0059】 一方、前記SiOCH膜23,25,27をスピンコーティング法で形成する
場合には、SOG膜と組み合わせることにより、先に図2で説明した前記SiO
CH膜とSOG膜との間の大きなエッチング選択性を利用することが可能になる
。この特徴は、後で説明するクラスタードハードマスク構成において有効である
【0060】 [第3実施例] 図5A〜5Eは、本発明の第3実施例による半導体装置の製造工程を示す。た
だし図中、先に説明した部分に対応する部分には同一の参照符号を付し、説明を
省略する。
【0061】 図5Aを参照するに、この工程は先の図4Aの工程と実質的に同じであり、S
i基板10上の層間絶縁膜11上に形成された配線層12上に、SiOCH膜2
3,層間絶縁膜14,SiOCH膜25,層間絶縁膜16およびSiOCH膜2
7を積層した構成の積層構造体を含み、前記積層構造体上には、レジスト開口部
18Aを有するレジストパターン18が形成されている。先の実施例と同様に、
前記レジスト開口部18Aは、多層配線構造中に形成したいコンタクトホールに
対応している。
【0062】 次に図5Bの工程において、まず前記SiOCH膜27を前記レジストパター
ン18をマスクに、SiN膜のエッチングレシピでエッチングし、前記レジスト
開口部18Aに対応した開口部(図示せず)を形成する。
【0063】 このようにして形成された開口部18Aはその下の層間絶縁膜16を露出し、
次にこのように露出された層間絶縁膜16をSiO2膜のエッチングレシピでエ
ッチングし、前記層間絶縁膜16中に、その下のSiOCH膜25を露出するよ
うに、前記レジスト開口部18Aに対応した開口部を形成する。
【0064】 さらに前記露出されたSiOCH膜25に対してSiN膜のエッチングレシピ
を適用し、その下の層間絶縁膜14を露出する開口部を、前記レジスト開口部1
8Aに対応して形成する。さらに、このようにして露出された前記層間絶縁膜1
4に対してSiO2膜のエッチングレシピを適用し、前記層間絶縁膜14中に、
前記レジスト開口部18Aに対応した開口部14Aを形成する。このようにして
形成された開口部14Aは、前記SiOCH膜27、その下の層間絶縁膜16、
さらにその下のSiOCH膜25、およびその下の層間絶縁膜14を貫通して延
在し、前記SiOCH膜23を底部において露出する。
【0065】 次に図5Cの工程において前記レジスト膜18を除去し、図5Bの構造上に新
たにレジスト膜19を、前記レジスト膜19が前記開口部14Aを埋めるように
塗布し、図5Dの工程において前記レジスト膜19をフォトリソグラフィー法に
よりパターニングし、前記多層配線構造中に形成したい配線溝に対応したレジス
ト開口部19Aを前記レジスト膜19中に形成する。
【0066】 次に図5Eの工程において前記レジスト開口部19Aを形成されたレジスト膜
19よりなるレジストパターンをマスクに、前記レジスト開口部19Aにより露
出されたSiOCH膜27に対してSiN膜をドライエッチングするエッチング
レシピを適用し、前記露出されたSiOCH膜27中に、前記レジスト開口部1
9Aに対応した開口部を、その下の層間絶縁膜16が露出するように形成する。
さらに前記レジストパターン19を除去した後、前記SiOCH膜27をハード
マスクに、前記層間絶縁膜16をSiO2 膜のエッチングレシピによりドライ
エッチングし、前記層間絶縁膜16中に、前記レジスト開口部19Aに対応した
、すなわち多層配線構造中に形成したい配線溝に対応した開口部16Aを形成す
る。
【0067】 前記開口部16Aを形成するドライエッチングは前記SiOCH膜25が露出
した時点で停止するが、この後で露出したSiOCH膜27,25および23を
除去し、前記開口部16Aおよび14AをCu等の導体層により充填することに
より、先に図4Fで説明した多層配線構造が得られる。
【0068】 本実施例においても、前記層間絶縁膜14および16として、FドープSiO
2 膜、SiOH等のHSQ膜、あるいは多孔質膜等の無機低誘電率絶縁膜、あ
るいは有機SOG、あるいは芳香族系の低誘電率有機絶縁膜を使うことができ、
その結果本実施例による多層配線構造では、全体的な誘電率が低下し、これによ
り半導体装置の動作速度が向上する。
【0069】 [第4実施例] 図6A〜6Eは、本発明の第4実施例による半導体装置の製造工程を示す。た
だし図中、先に説明した部分には同一の参照符号を付し、説明を省略する。
【0070】 図6Aを参照するに、この工程は先の図4A,図5Aの工程と実質的に同じで
あり、Si基板10上の層間絶縁膜11上に形成された配線層12上に、SiO
CH膜23,層間絶縁膜14,SiOCH膜25,層間絶縁膜16およびSiO
CH膜27を積層した構成の積層構造体を含む。ただし本実施例では前記積層構
造体上に、前記多層配線構造中に形成したい配線溝に対応したレジスト開口部2
8Aを有するレジストパターン28が形成されている。
【0071】 次に図6Bの工程において、まず前記SiOCH膜27を前記レジストパター
ン28をマスクに、SiN膜のエッチングレシピでエッチングし、前記レジスト
開口部28Aに対応した開口部(図示せず)を形成する。このようにして形成さ
れた開口部はその下の層間絶縁膜16を露出し、次にこのように露出された層間
絶縁膜16をSiO2膜のエッチングレシピでエッチングし、前記層間絶縁膜1
6中に、その下のSiOCH膜25を露出するように、前記レジスト開口部28
Aに対応した、すなわち形成したい配線溝に対応した開口部16Aを形成する。
【0072】 次に図6Cの工程において前記レジスト膜28を除去し、図6Bの構造上に新
たにレジスト膜29を、前記レジスト膜29が前記開口部16Aを埋めるように
塗布し、図6Dの工程において前記レジスト膜29をフォトリソグラフィー法に
よりパターニングし、前記多層配線構造中に形成したいコンタクトホールに対応
したレジスト開口部29Aを前記レジスト膜29中に形成する。
【0073】 次に図6Eの工程において前記レジスト開口部29Aを形成されたレジスト膜
29よりなるレジストパターンをマスクに、前記レジスト開口部29Aにより露
出されたSiOCH膜25に対してSiN膜をドライエッチングするエッチング
レシピを適用し、前記露出されたSiOCH膜25中に、前記レジスト開口部2
9Aに対応した開口部を、その下の層間絶縁膜14が露出するように形成する。
【0074】 さらに前記レジストパターン29を除去した後、前記SiOCH膜27および
25をハードマスクに、前記層間絶縁膜14をSiO2膜のエッチングレシピに
よりドライエッチングし、前記層間絶縁膜14中に、前記レジスト開口部29A
に対応した、すなわち多層配線構造中に形成したいコンタクトホールに対応した
開口部14Aを形成する。
【0075】 前記開口部14Aを形成するドライエッチングは前記SiOCH膜23が露出
した時点で停止するが、この後で露出したSiOCH膜27,25および23を
除去し、前記開口部16Aおよび14AをCu等の導体層により充填することに
より、先に図4Fで説明した多層配線構造が得られる。
【0076】 本実施例においても、前記層間絶縁膜14および16として、FドープSiO
2 膜、SiOH等のHSQ膜、あるいは多孔質膜等の無機低誘電率絶縁膜、あ
るいは有機SOG、あるいは芳香族系の低誘電率有機絶縁膜を使うことができ、
その結果本実施例による多層配線構造では、全体的な誘電率が低下し、これによ
り半導体装置の動作速度が向上する。
【0077】 [第5実施例] 図7A〜7Eは、本発明の第5実施例による半導体装置の製造工程を示す。た
だし図中、先に説明した部分には同一の参照符号を付し、説明を省略する。
【0078】 図7Aを参照するに、前記Si基板10上の層間絶縁膜11上に形成された配
線層12上には、SiOCH膜23,層間絶縁膜14およびSiOCH膜25が
順次堆積されており、さらに前記SiOCH膜25上には前記多層配線構造中に
形成したいコンタクトホールに対応したレジスト開口部31Aを有するレジスト
パターン31が形成されている。
【0079】 前記レジスト開口部31Aにおいては前記SiOCH膜25が露出されており
、図7Bの工程において、前記露出されたSiOCH膜25に対してSiN膜を
ドライエッチングする際のエッチングレシピを適用し、前記レジスト開口部31
Aに対応した開口部25Aを形成する。
【0080】 図7Bの工程では、さらに前記SiOCH膜25上に前記開口部25Aを埋め
るように層間絶縁膜16を堆積し、さらに前記層間絶縁膜16上にSiOCH膜
27を堆積する。
【0081】 次に図7Cの工程において前記SiOCH膜27上にレジスト膜32を塗布し
、さらに図7Dの工程において前記レジスト膜32をフォトリソグラフィー工程
によりパターニングし、前記多層配線構造中に形成したい配線溝に対応した開口
部32Aを形成する。
【0082】 さらに図7Eの工程において前記レジスト膜32をマスクに、前記開口部32
Aにより露出されたSiOCH膜27をSiN膜のエッチングレシピによりドラ
イエッチングし、その下の層間絶縁膜16を露出する。
【0083】 次に、前記層間絶縁膜16をSiO2膜のエッチングレシピによりドライエッ
チングすることにより、前記層間絶縁膜16中に、前記レジスト開口部32Aに
対応した、すなわち形成したい配線溝に対応した開口部16Aが形成される。前
記層間絶縁膜16のエッチングは前記SiOCH膜25が形成されている部分で
は、前記SiOCH膜25の露出と同時に停止するが、膜25中に前記開口部2
5Aが形成されている部分では、ドライエッチングは前記開口部25Aを通って
その下の層間絶縁膜14中に侵入し、その結果前記層間絶縁膜14中に前記開口
部25Aに対応した、すなわち前記多層配線構造中に形成したいコンタクトホー
ルに対応した開口部14Aが形成される。
【0084】 前記開口部14Aを形成するドライエッチングは前記SiOCH膜23が露出
した時点で停止するが、この後で露出したSiOCH膜27,25および23を
除去し、前記開口部16Aおよび14AをCu等の導体層により充填することに
より、先に図4Fで説明した多層配線構造が得られる。
【0085】 本実施例においても、前記層間絶縁膜14および16として、FドープSiO2 膜、SiOH等のHSQ膜、あるいは多孔質膜等の無機低誘電率絶縁膜、ある
いは有機SOG、あるいは芳香族系の低誘電率有機絶縁膜を使うことができ、そ
の結果本実施例による多層配線構造では、全体的な誘電率が低下し、これにより
半導体装置の動作速度が向上する。
【0086】 [第6実施例] 図8A〜8Eは、いわゆるクラスタードハードマスクを使った、本発明の第6
実施例による多層配線構造を有する半導体装置の製造工程を示す。ただし図中、
先に説明した部分に対応する部分には同一の参照符号を付し、説明を省略する。
【0087】 本実施例では図8Aの工程において、前記配線パターン12Aを含む配線層1
2上にSiOCH膜23,層間絶縁膜14,SiOCH膜25,層間絶縁膜16
,およびSiOCH膜27が他の実施例と同様に順次積層され、さらに前記Si
OCH膜27上にSiO2 膜32がプラズマCVD法により、あるいはスピン
コーティングにより形成され、前記SiO2 膜32上には、多層配線構造中に
形成したいコンタクトホールに対応したレジスト開口部18Aを有するレジスト
膜18が形成される。前記SiOCH膜27およびSiO2 膜32は、いわゆ
るクラスタードハードマスク構成を形成する。
【0088】 図8Aの工程では、さらに前記SiO2 膜32に対してレジスト膜18をマ
スクとしてSiO2膜をエッチングするレシピでドライエッチングが施され、そ
の結果前記SiO2膜32中に前記レジスト開口部18Aに対応してその下のS
iOCH膜27を露出する開口部が形成される。
【0089】 さらにエッチングレシピをSiN膜をドライエッチングするレシピに変更して
図8Aの工程で露出されたSiOCH膜27をドライエッチングすることにより
、前記SiOCH膜27中には、図8Bに示すように前記レジスト開口部18A
に対応して前記層間絶縁膜16を露出する開口部27Aが形成される。
【0090】 図8Bの工程では、さらに前記SiO2膜32上に、前記多層配線構造中に形
成したい配線溝に対応したレジスト開口部19Aを有するレジスト膜19が、前
記SiO2膜32を露出するように形成されており、図8Cの工程において前記
露出したSiO2膜32が、前記レジスト膜19をマスクに、SiO2膜をドライ
エッチングするエッチングレシピを適用することにより、エッチング・除去され
る。
【0091】 図8Cのドライエッチングの際には前記SiOCH膜27がエッチングストッ
パとして作用し、その結果図8Cに示すように、前記SiO2膜32中には、前
記レジスト開口部19Aに対応した開口部32Aが、前記SiOCH膜27を露
出するように形成される。
【0092】 図8Cの工程では、前記SiO2膜32のドライエッチングと同時に、前記開
口部27Aにおいて前記層間絶縁膜16のドライエッチングも進行し、その結果
、前記層間絶縁膜16中に、前記開口部27Aに対応した開口部16Aが形成さ
れる。この工程では、前記SiOCH膜27がハードマスクとして使われる。前
記開口部16AにおいてはSiOCH膜25が露出される。
【0093】 次に、図8Dの工程においてエッチングレシピをSiN膜をエッチングするレ
シピに変更し、前記開口部32Aにおいて露出しているSiOCH膜27および
前記開口部16Aにおいて露出しているSiOCH膜25を除去し、前記開口部
32Aにおいて層間絶縁膜16を、また前記開口部16Aにおいて層間絶縁膜1
4を露出する。
【0094】 さらに図8Eの工程において、エッチングレシピをSiO2膜のエッチングレ
シピに変更し、前記開口部32Aにおいて露出している層間絶縁膜16および前
記開口部16Aにおいて露出している層間絶縁膜14をエッチング・除去し、前
記層間絶縁膜16中に、前記レジスト開口部19Aに対応した、すなわち形成し
たい配線溝に対応した開口部16Bを、また前記層間絶縁膜14中に前記レジス
ト開口部14Aに対応した、すなわち形成したいコンタクトホールに対応した開
口部14Aを形成する。
【0095】 さらに図8Eの構造において露出したSiOCH膜27,25および23を除
去し、前記開口部16Aおよび14AをCu等の導体層により充填することによ
り、先に図4Fで説明した多層配線構造が得られる。
【0096】 本実施例では、特に図8Cの工程において、前記SiO2膜32とSiOCH
膜27との間のエッチング選択性を利用するが、前記SiO2膜32としてSO
G膜、すなわちスピンコーティングしたSiO2膜を使い、またこれに対応して
前記SiOCH膜27をスピンコーティングにより形成することにより、先に説
明した図2に示したように、また以下の表2に示すように、非常に大きな選択比
を実現することができる。
【0097】
【表2】 表2を参照するに、CASE1とあるのは従来の場合で、ハードマスク層(HM1
)とハードマスク層(HM2)を積層したクラスタードハードマスク構成におい
て、前記ハードマスク層(HM1)としてCVD−SiO2 膜を使い、ハード
マスク層(HM2)としてCVD−SiN膜を使った場合を示し、一方CASE2と
あるのは本実施例のように、ハードマスク層(HM1)としてSOG膜(SOD
−SiO2)を使い、ハードマスク層(HM2)としてSiOCH膜(SOD−
Hybrid)を使った場合を示す。
【0098】 表2よりわかるように、CVD−SiN膜をエッチングストッパとしてCVD
−SiO2膜をドライエッチングした従来の場合には、エッチング選択比として
17程度の値しか得られなかったが、図2よりわかるように「Hybrid2」
で示した本発明によるSiOCH膜のSiO2エッチングレシピに対するエッチ
ング速度はSiN膜のものよりも実質的に小さく、その結果100に達するエッ
チング選択比が実現できることがわかる。
【0099】 また表2より、従来CVD−SiO2膜をエッチングストッパとしてCVD−
SiN膜をドライエッチングする場合には、エッチング選択比として4.8程度
の値が得られていたが、前記SiOCH膜をSOG膜をエッチングストッパとし
て使いSiNエッチングレシピでドライエッチングした場合には13程度のより
大きなエッチング選択比が実現できる。前記SiOCH膜をSiN膜のエッチン
グレシピでドライエッチングした場合のエッチング速度は、同じドライエッチン
グレシピでプラズマCVD膜をドライエッチングする場合のエッチング速度より
も多少大きくなる。
【0100】 なお、このようにしてスピンコーティングにより形成されたSiOCH膜27
は、その下の層間絶縁膜16を、間の界面に欠陥等を形成することなく覆うこと
ができる。
【0101】 本実施例においても、前記層間絶縁膜14および16として、FドープSiO2 膜、SiOH等のHSQ膜、あるいは多孔質膜等の無機低誘電率絶縁膜、ある
いは有機SOG、あるいは芳香族系の低誘電率有機絶縁膜を使うことができ、そ
の結果本実施例による多層配線構造では、全体的な誘電率が低下し、これにより
半導体装置の動作速度が向上する。
【0102】 また本実施例において、前記上側のクラスタードハードマスク層32はSiO2 膜に限定されるものではなく、例えばC濃度のより低い組成のSiOCH膜を
使うことも可能である。
【0103】 [第7実施例] 次に、前記SiOCH膜をエッチングストッパ膜とした、SAC(self-align
ed contact)構造を有する半導体装置の製造方法について、図9A〜9Dを参照
しながら説明する。
【0104】 図9Aを参照するに、p型あるいはn型にドープされたSi基板41上にはゲ
ート酸化膜42が熱酸化膜により形成されており、前記ゲート酸化膜42上にポ
リシリコン膜43をCVD法により形成し、さらに前記ポリシリコン膜43上に
、先に説明したSiOCH膜44をスピンコーティングにより形成する。
【0105】 次に図9Bの工程において、前記SiOCH膜44およびその下のポリシリコ
ン膜43をフォトリソグラフィー法によりパターニングし、ポリシリコンゲート
電極43Aおよび43Bを互いに隣接して形成する。このようにして形成された
ポリシリコンゲート電極43A,43B上には、前記SiOCH膜44のパター
ニングの結果、SiOCHパターン44Eおよび44Fが、それぞれ形成されて
いる。
【0106】 図9Bの工程では、さらに前記Si基板41中に、前記ゲート電極43A,4
3Bを自己整合マスクとしてイオン注入を行なうことにより、図示しない拡散領
域が形成され、さらに前記ゲート電極43A,43Bを、その上のSiOCHパ
ターン44Eおよび44Fも含めて覆うように別のSiOCH膜がCVD法によ
り堆積され、これをSiN膜のエッチングレシピを使ってエッチバックすること
により、前記ゲート電極43Aの両側にSiOCHよりなる側壁絶縁膜44A,
44Bを、また前記ゲート電極43Bの両側にSiOCHよりなる側壁絶縁膜4
4C,44Dを形成する。
【0107】 さらに、前記Si基板41上には、前記ゲート電極43A,43Bを前記Si
OCH膜44A〜44Fを介して覆うように、SiO2 膜45が、プラズマC
VD法により形成される。
【0108】 次に図9Cの工程において、前記SiO2膜45中に、前記ゲート電極43A
とゲート電極43Bとの間に形成された拡散領域を露出するようにコンタクトホ
ール45Aが、前記SiO2膜45に対してSiO2膜のエッチングレシピを適用
することにより形成される。その際、前記ゲート電極43Aおよび43Bは前記
SiOCH膜44A〜44Fにより覆われているため、前記コンタクトホール4
5Aは前記SiOCH膜44B,44Cおよび44E,44Fを露出するが、前
記コンタクトホール45Aを形成するドライエッチングは、図2よりわかるよう
に、前記SiOCH膜44B,44C、44Eおよび44Fが露出した時点でエ
ッチングの選択性により、自発的に停止する。
【0109】 さらに図9Dの工程において、前記コンタクトホール45Aを覆うように前記
SiO2膜44上に電極46を形成する。
【0110】 本実施例によれば、従来のように前記エッチングストッパ膜44A〜44Fと
してSiN膜を使った場合に比べ、SiOCHを使うことによりエッチングスト
ッパ膜44A〜44FとSiO2膜45との間のエッチング選択比が増大し、そ
の結果従来図9Cの工程において生じていた、エッチングストッパ膜44B,4
4E、あるいは44C,44Fの膜厚の減少の問題、およびこれに伴うゲートリ
ーク電流の増大の問題が解消する。また、前記エッチングストッパ案区44A〜
44Fは比誘電率が3.0未満の低誘電率膜であるため、半導体装置の動作速度
が向上する。
【0111】 以上、本発明を好ましい実施例について説明したが、本発明は上記の実施例に
限定されるものではなく、特許請求の範囲に記載した要旨内において様々な変形
・変更が可能である。
【0112】
【発明の効果】
本発明の特徴によれば、低誘電率絶縁膜をエッチングストッパ膜あるいはハー
ドマスクに使うことにより、多層配線構造の全体的な誘電率を減少させることが
可能になり、半導体集積回路装置の動作速度が向上する。また、かかる組成がS
iOCHの低誘電率絶縁膜は、自己整合コンタクトホール(SAC)構造を有す
る半導体装置に対しても適用可能である。
【図面の簡単な説明】
【図1】 (A)〜(F)は、従来の多層配線構造の形成工程を示す図である。
【図2】 本発明の原理を説明する図である。
【図3】 (A)〜(C)は、本発明の第1実施例による半導体装置の製造工程を示す図
である。
【図4】 (A)〜(F)は、本発明の第2実施例による半導体装置の製造工程を示す図
である。
【図5】 (A)〜(E)は、本発明の第3実施例による半導体装置の製造工程を示す図
である。
【図6】 (A)〜(E)は、本発明の第4実施例による半導体装置の製造工程を示す図
である。
【図7】 (A)〜(E)は、本発明の第5実施例による半導体装置の製造工程を示す図
である。
【図8】 (A)〜(E)は、本発明の第6実施例による半導体装置の製造工程を示す図
である。
【図9】 (A)〜(D)は、本発明の第7実施例による半導体装置の製造工程を示す図
である。
【符号の説明】
1 基板 2,3 絶縁膜 2A,3A 開口部 10,41 Si基板 11 CVD膜 12 配線層 12A 配線パターン 12B 絶縁膜 13,15,17,32 エッチングストッパ膜 14,16 層間絶縁膜 14A,16A,25A,32A ハードマスク開口部 18,19,28,29,31,32 レジスト膜 18A,19A,28A,29A,31A,32A レジスト開口部 20 導体パターン 23,25,27 SiOCHエッチングストッパ膜 42 ゲート酸化膜 43 ポリシリコン膜 43A,43B ポリシリコンゲート電極 44 SiOCH膜 44A〜44D SiOCH側壁絶縁膜 44E,44F SiOCHパターン 45 SiO2 膜 45A コンタクトホール 46 電極
【手続補正書】特許協力条約第34条補正の翻訳文提出書
【提出日】平成14年3月29日(2002.3.29)
【手続補正1】
【補正対象書類名】明細書
【補正対象項目名】特許請求の範囲
【補正方法】変更
【補正の内容】
【特許請求の範囲】

Claims (30)

    【特許請求の範囲】
  1. 【請求項1】 第1の絶縁膜上に第2の絶縁膜を堆積する工程と、 前記第2の絶縁膜をパターニングし、開口部を形成する工程と、 前記第2の絶縁膜をマスクに前記第1の絶縁膜をエッチングする工程とを含む
    半導体装置の製造方法において、 前記第2の絶縁膜として、低誘電率膜を使い、 前記第2の絶縁膜はCを含むSiO2膜よりなることを特徴とする半導体装置
    の製造方法。
  2. 【請求項2】 前記第2の絶縁膜はCを、前記第2の絶縁膜が前記第1の絶
    縁膜をエッチングするエッチングレシピに対して選択性を示すような濃度で含む
    ことを特徴とする請求項1記載の半導体装置の製造方法。
  3. 【請求項3】 前記第2の絶縁膜中のC濃度は、前記第1の絶縁膜をエッチ
    ングするエッチングレシピを適用された場合のエッチング速度が、前記第1の絶
    縁膜のエッチング速度の1/5以下となるように設定されていることを特徴とす
    る請求項2記載の半導体装置の製造方法。
  4. 【請求項4】 前記第2の絶縁膜は、Cを約25wt%を超える濃度で含む
    ことを特徴とする請求項1記載の半導体装置の製造方法。
  5. 【請求項5】 前記第2の絶縁膜は、Cを約55wt%の濃度で含むことを
    特徴とする請求項1記載の半導体装置の製造方法。
  6. 【請求項6】 前記第1の絶縁膜は有機絶縁膜よりなり、前記第2の絶縁膜
    はhydrogen silsesquioxane膜よりなることを特徴とする請求項1記載の半導体
    装置の製造方法。
  7. 【請求項7】 前記第1の絶縁膜は有機絶縁膜よりなることを特徴とする請
    求項1記載の半導体装置の製造方法。
  8. 【請求項8】 前記第1の絶縁膜は無機絶縁膜よりなることを特徴とする請
    求項1記載の半導体装置の製造方法。
  9. 【請求項9】 第1の絶縁膜上に第2の絶縁膜を堆積する工程と、 前記第2の絶縁膜をパターニングして開口部を形成する工程と、 前記第1の絶縁膜を前記第2の絶縁膜をエッチングマスクにエッチングする工
    程とよりなり、 前記第2の絶縁膜として低誘電率膜を使い、 前記第1の絶縁膜はCを含むSiO2膜よりなることを特徴とする半導体装置
    の製造方法。
  10. 【請求項10】 前記第1の絶縁膜はCを、前記第1の絶縁膜が前記第2の
    絶縁膜をエッチングするエッチングレシピに対して選択性を示すような濃度で含
    むことを特徴とする請求項9記載の半導体装置の製造方法。
  11. 【請求項11】 前記第2の絶縁膜中のC濃度は、前記第1の絶縁膜をエッ
    チングするエッチングレシピを適用された場合のエッチング速度が、前記第1の
    絶縁膜のエッチング速度の1/5以下となるように設定されていることを特徴と
    する請求項10記載の半導体装置の製造方法。
  12. 【請求項12】 前記第1の絶縁膜はCを、約25wt%を超える濃度で含
    むことを特徴とする請求項9記載の半導体装置の製造方法。
  13. 【請求項13】 前記第1の絶縁膜は、Cを約55wt%の濃度で含むこと
    を特徴とする請求項9記載の半導体装置の製造方法。
  14. 【請求項14】 第1の絶縁膜上に第2の絶縁膜を堆積する工程と、 前記第2の絶縁膜をパターニングして開口部を形成する工程と、 前記第1の絶縁膜を前記第2の絶縁膜をエッチングマスクにエッチングする工
    程とよりなり、 前記第2の絶縁膜として低誘電率膜を使い、 前記第1の絶縁膜はCを含むSiO2膜よりなり、前記第2の絶縁膜はCを含
    むSiO2膜よりなることを特徴とする半導体装置の製造方法。
  15. 【請求項15】 前記第1および第2の絶縁膜は、前記第2の絶縁膜が前記
    第1の絶縁膜をエッチングするエッチングレシピに対してエッチング選択性を示
    すようなそれぞれの濃度でCを含むことを特徴とする請求項14記載の半導体装
    置の製造方法。
  16. 【請求項16】 前記第1および第2の絶縁膜中のC濃度は、前記第2の絶
    縁膜に対して前記第1の絶縁膜のエッチングレシピを適用した場合に、前記第2
    の絶縁膜のエッチング速度が前記第1の絶縁膜のエッチング速度の1/5以下に
    なるように選ばれていることを特徴とする請求項15記載の半導体装置の製造方
    法。
  17. 【請求項17】 前記第1および第2の絶縁膜は、同一の堆積装置中におい
    て連続して形成されることを特徴とする請求項1記載の半導体装置の製造方法。
  18. 【請求項18】 基板と、 前記基板上に形成された多層配線構造とよりなり、 前記多層配線構造は、 第1の開口部を有する層間絶縁膜と、 前記層間絶縁膜上に形成され、前記第1の開口部に対して整列した第2の開口
    部を有するエッチングストッパ膜と、 前記第1および第2の開口部を充填する導電性パターンとよりなり、 前記エッチングストッパ膜は低誘電率膜よりなり、 前記エッチングストッパ膜はCを含むSiO2膜よりなることを特徴とする半
    導体装置。
  19. 【請求項19】 前記エッチングストッパ膜はCを、約25wt%を超える
    濃度で含むことを特徴とする請求項18記載の半導体装置。
  20. 【請求項20】 前記エッチングストッパ膜は、Cを約55wt%の濃度で
    含むことを特徴とする請求項18記載の半導体装置。
  21. 【請求項21】 前記層間絶縁膜は、SiO2膜とhydrogen silsesquioxane
    膜より選択されることを特徴とする請求項18記載の半導体装置。
  22. 【請求項22】 前記層間絶縁膜は有機絶縁膜よりなり、前記エッチングス
    トッパ膜はhydrogen silsesquioxane膜よりなることを特徴とする請求項18記
    載の半導体装置。
  23. 【請求項23】 前記層間絶縁膜は有機絶縁膜よりなり、前記エッチングス
    トッパ膜はCを含むSiO2膜よりなることを特徴とする請求項18記載の半導
    体装置。
  24. 【請求項24】 前記エッチングストッパ膜は、Cを約25wt%以上の濃
    度で含むことを特徴とする請求項23記載の半導体装置。
  25. 【請求項25】 前記エッチングストッパ膜は、Cを約55wt%以上の濃
    度で含むことを特徴とする請求項23記載の半導体装置。
  26. 【請求項26】 前記層間絶縁膜と前記エッチングストッパ膜とはCを含む
    SiO2膜より形成されており、前記層間絶縁膜および前記エッチングストッパ
    膜中のC濃度は、前記エッチングストッパ膜に対して前記層間絶縁膜をエッチン
    グするエッチングレシピを適用した場合のエッチング速度が、前記層間絶縁膜の
    エッチング速度の1/5以下となるように選ばれていることを特徴とする請求項
    18記載の半導体装置。
  27. 【請求項27】 前記エッチングストッパ膜はCを約55wt%の濃度で含
    み、前記層間絶縁膜はCを約25wt%以下の濃度で含むことを特徴とする請求
    項26記載の半導体装置。
  28. 【請求項28】 基板と、 前記基板上に形成された一対のパターンと、 前記一対のパターンの間に形成されたコンタクトホールとよりなる半導体装置
    において、 前記パターンの各々は側壁絶縁膜を有し、 前記コンタクトホールは前記パターンの側壁絶縁膜により画成され、 前記側壁絶縁膜は低誘電率材料よりなり、 前記側壁絶縁膜はCを含むSiO2膜よりなることを特徴とする半導体装置。
  29. 【請求項29】 前記側壁絶縁膜はCを、約25wt%を超える濃度で含む
    ことを特徴とする請求項28記載の半導体装置。
  30. 【請求項30】 前記側壁絶縁膜は、Cを約55wt%の濃度で含むことを
    特徴とする請求項28記載の半導体装置。
JP2001581345A 2000-04-28 2001-04-26 低誘電率膜を有する半導体装置およびその製造方法 Pending JP2003533025A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2000131378 2000-04-28
JP2000-131378 2000-04-28
PCT/JP2001/003618 WO2001084626A1 (en) 2000-04-28 2001-04-26 Semiconductor device having a low dielectric film and fabrication process thereof

Publications (1)

Publication Number Publication Date
JP2003533025A true JP2003533025A (ja) 2003-11-05

Family

ID=18640285

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001581345A Pending JP2003533025A (ja) 2000-04-28 2001-04-26 低誘電率膜を有する半導体装置およびその製造方法

Country Status (7)

Country Link
US (1) US20040065957A1 (ja)
EP (1) EP1284015A4 (ja)
JP (1) JP2003533025A (ja)
KR (1) KR100575227B1 (ja)
CN (1) CN1224092C (ja)
TW (1) TW517336B (ja)
WO (1) WO2001084626A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6984875B2 (en) 2003-01-28 2006-01-10 Nec Electronics Corporation Semiconductor device with improved reliability and manufacturing method of the same

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100419746B1 (ko) * 2002-01-09 2004-02-25 주식회사 하이닉스반도체 반도체소자의 다층 금속배선 형성방법
US7595538B2 (en) * 2004-08-17 2009-09-29 Nec Electronics Corporation Semiconductor device
JP2006093330A (ja) 2004-09-22 2006-04-06 Renesas Technology Corp 半導体装置およびその製造方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5677867A (en) * 1991-06-12 1997-10-14 Hazani; Emanuel Memory with isolatable expandable bit lines
JPH03153045A (ja) * 1989-11-10 1991-07-01 Seiko Epson Corp 半導体装置の製造方法
JPH04152535A (ja) * 1990-10-16 1992-05-26 Sanyo Electric Co Ltd 半導体装置
US5559367A (en) * 1994-07-12 1996-09-24 International Business Machines Corporation Diamond-like carbon for use in VLSI and ULSI interconnect systems
JP3399252B2 (ja) * 1996-10-03 2003-04-21 ソニー株式会社 半導体装置の製造方法
JP3522059B2 (ja) * 1996-10-28 2004-04-26 沖電気工業株式会社 半導体装置及び半導体装置の製造方法
KR19980042229A (ko) * 1996-11-08 1998-08-17 윌리암비.켐플러 집적 회로 절연체 및 그 제조 방법
US6218078B1 (en) * 1997-09-24 2001-04-17 Advanced Micro Devices, Inc. Creation of an etch hardmask by spin-on technique
US6204168B1 (en) * 1998-02-02 2001-03-20 Applied Materials, Inc. Damascene structure fabricated using a layer of silicon-based photoresist material
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6197696B1 (en) * 1998-03-26 2001-03-06 Matsushita Electric Industrial Co., Ltd. Method for forming interconnection structure
US6127258A (en) * 1998-06-25 2000-10-03 Motorola Inc. Method for forming a semiconductor device
TW437040B (en) * 1998-08-12 2001-05-28 Applied Materials Inc Interconnect line formed by dual damascene using dielectric layers having dissimilar etching characteristics
JP2000150516A (ja) * 1998-09-02 2000-05-30 Tokyo Electron Ltd 半導体装置の製造方法
JP2000174123A (ja) * 1998-12-09 2000-06-23 Nec Corp 半導体装置及びその製造方法
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6984875B2 (en) 2003-01-28 2006-01-10 Nec Electronics Corporation Semiconductor device with improved reliability and manufacturing method of the same

Also Published As

Publication number Publication date
TW517336B (en) 2003-01-11
CN1224092C (zh) 2005-10-19
EP1284015A4 (en) 2005-07-20
KR20020093074A (ko) 2002-12-12
KR100575227B1 (ko) 2006-05-02
US20040065957A1 (en) 2004-04-08
EP1284015A1 (en) 2003-02-19
CN1426600A (zh) 2003-06-25
WO2001084626A1 (en) 2001-11-08

Similar Documents

Publication Publication Date Title
US5435888A (en) Enhanced planarization technique for an integrated circuit
US5801094A (en) Dual damascene process
JP3974023B2 (ja) 半導体装置の製造方法
US7041571B2 (en) Air gap interconnect structure and method of manufacture
US6479391B2 (en) Method for making a dual damascene interconnect using a multilayer hard mask
US6245665B1 (en) Semiconductor device and method of fabricating the same
US5607773A (en) Method of forming a multilevel dielectric
DE102017127530A1 (de) Verbindungsstruktur und Verfahren
JPH10116907A (ja) 半導体装置を形成する方法
US6753260B1 (en) Composite etching stop in semiconductor process integration
JP3193335B2 (ja) 半導体装置の製造方法
EP0726599B1 (en) Method of manufacturing semiconductor device having multilayer wiring structure, with improved version of step of forming interlayer dielectric layer
US7504727B2 (en) Semiconductor interconnect structure utilizing a porous dielectric material as an etch stop layer between adjacent non-porous dielectric materials
US6506680B1 (en) Method of forming connections with low dielectric insulating layers
KR100614782B1 (ko) 이중 다마신 구조를 포함하는 집적회로 제조방법 및 집적회로
US7351653B2 (en) Method for damascene process
JP2003533025A (ja) 低誘電率膜を有する半導体装置およびその製造方法
GB2325083A (en) A dual damascene process
US7250364B2 (en) Semiconductor devices with composite etch stop layers and methods of fabrication thereof
US20230178379A1 (en) Film deposition for patterning process
KR100389041B1 (ko) 에이치에스큐막을 층간절연막으로 사용하는 배선 형성 방법
US6522000B1 (en) Method for making a semiconductor device having copper conductive layers
US6180520B1 (en) Multiple layer interconnects with low stray lateral capacitance
KR100226250B1 (ko) 반도체 소자의 금속 배선 형성방법
JP2000252286A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060718

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20061121