JP2003520450A - Process for providing seed layers of aluminum, copper, gold and silver - Google Patents

Process for providing seed layers of aluminum, copper, gold and silver

Info

Publication number
JP2003520450A
JP2003520450A JP2001553582A JP2001553582A JP2003520450A JP 2003520450 A JP2003520450 A JP 2003520450A JP 2001553582 A JP2001553582 A JP 2001553582A JP 2001553582 A JP2001553582 A JP 2001553582A JP 2003520450 A JP2003520450 A JP 2003520450A
Authority
JP
Japan
Prior art keywords
layer
depositing
level
barrier
copper
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2001553582A
Other languages
Japanese (ja)
Other versions
JP2003520450A5 (en
Inventor
ファラー,ポール,エイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of JP2003520450A publication Critical patent/JP2003520450A/en
Publication of JP2003520450A5 publication Critical patent/JP2003520450A5/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76859After-treatment introducing at least one additional element into the layer by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76874Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers

Abstract

(57)【要約】 集積回路の性能を改善する構造及び方法。これらの構造及び方法は、拡散障壁(114)及び種層(116)を包含し、それらは共に低エネルギーイオン注入の後、集積回路の金属ライン(120)を選択的に付着して形成される。本発明によると、金属ラインの選択的付着により、多数の化学的機械研磨(CMP)ステップが不要になる。本発明の低エネルギーイオン注入により、拡散障壁及び種層の両方を明確に配設できる。残留するレジストを用いて、ウェーハ表面の不要な領域から拡散障壁及び種層を除去することができる。 (57) Abstract: A structure and method for improving the performance of an integrated circuit. These structures and methods include a diffusion barrier (114) and a seed layer (116), both formed after low energy ion implantation and selectively depositing metal lines (120) of the integrated circuit. . According to the present invention, the selective deposition of metal lines eliminates the need for multiple chemical mechanical polishing (CMP) steps. With the low energy ion implantation of the present invention, both the diffusion barrier and the seed layer can be clearly defined. The remaining resist can be used to remove diffusion barriers and seed layers from unwanted areas of the wafer surface.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【発明の分野】FIELD OF THE INVENTION

本発明は、一般的に、集積回路に関し、さらに詳細には、集積回路の金属部分
に種層を提供する構造及び方法に関する。
The present invention relates generally to integrated circuits, and more particularly to structures and methods for providing a seed layer to a metal portion of an integrated circuit.

【0002】[0002]

【発明の背景】BACKGROUND OF THE INVENTION

ULSIの時代において半導体処理業界が直面する主要な問題の1つは、配線
レベルにおける容量−抵抗損の問題である。このため、配線レベルでの抵抗の減
少及び容量負荷の低下を目的として多大の努力が払われている。この業界は、そ
の初期段階より、配線材料としてアルミニウム及びアルミニウム合金を使用して
いる。同様に、この業界では、絶縁材料として主にSiO2を多年使用している
。もっとも、ポリイミドが1つの会社(IBM)により多数の製品に使用された
ことがある。この容量・抵抗の問題は、技術世代の進化により深刻化している。
設計者が基本原則に従って利点を得ようとする場合、サイズが減少すると、最小
のラインとスペースの組み合わせが減少するため、結果として容量と抵抗が共に
増加する。
One of the major problems facing the semiconductor processing industry in the ULSI era is the problem of capacitance-resistive loss at the wiring level. Therefore, great efforts have been made to reduce the resistance and the capacitive load at the wiring level. Since its infancy, the industry has used aluminum and aluminum alloys as wiring materials. Similarly, the industry has mainly used SiO 2 as the insulating material for many years. However, polyimide has been used in many products by one company (IBM). This problem of capacitance and resistance is getting worse due to the evolution of technology generation.
If the designer seeks to benefit from the basic principles, then the reduction in size will reduce the minimum line and space combination, resulting in both increased capacitance and resistance.

【0003】 導電性を改善するために、現在使用中のアルミニウムの代わりに、銅または、
恐らく銀もしくは金の使用が多くの研究者によって示唆されている。これらの金
属を使用する提案を発展させた結果、幾つかの潜在的な問題に遭遇している。主
要な問題の1つは、銅がケイ素及びSiO2の両方に早い速度で拡散することで
ある。この問題と、銅及び金の公知の接合平衡効果により、下地膜を使用しこれ
らの金属をSiO2の絶縁層から分離させる提案が生まれている。
In order to improve the conductivity, copper or copper instead of aluminum, which is currently in use,
Probably the use of silver or gold has been suggested by many researchers. As a result of developing the proposals using these metals, some potential problems have been encountered. One of the major problems is that copper diffuses into both silicon and SiO 2 at a fast rate. Due to this problem and the known bonding equilibrium effect of copper and gold, proposals have been made to use an underlayer to separate these metals from the insulating layer of SiO 2 .

【0004】 例えば、表題"Tantalum as a diffusion barrier between copper and silico
n", Appl. Phys. Letter, vol. 57, No. 17, 22 October 1990, pp. 1735-1738
のKaren Hollway and Peter M. Fryerの論文は、タンタルの金属下地膜の使用を
示唆している。表題"Encapsulation of Copper by Nitridation of Cu-Ti Alloy
/Bilayer Structures", International Conference on Metallurgical Coatings
and Thin Films, San Diego, CA, April 21, 25, 1997, Abstract No. H1.03,
pg. 309のT. Laursen and J.W. Mayerの別の論文では、下地膜としてCuTiの
ような化合物の使用が示唆されている。表題"An investigation into the perfo
rmance of diffusion barrier materials against copper diffusion using met
al-oxide-semiconductor (MOS) capacitor structures", Solid-State Electron
ics 43 (1999), pp. 1045-1049のVee S. C. Len, R. E. Hurley, N. McCusker,
D. W. McNill, B. M. Armstrong and H.S. Gambleのさらに別の論文では、下地
膜としてTaNのような化合物の使用が示唆されている。しかしながら、これら
のアプローチでは、最小のラインとスペースが減少する上述の問題を完全に解決
できない。従って、金属ラインと下地膜の組み合わせのラインサイズが縮小する
と再び容量と抵抗が増加する。
For example, the title “Tantalum as a diffusion barrier between copper and silico
n ", Appl. Phys. Letter, vol. 57, No. 17, 22 October 1990, pp. 1735-1738
Karen Hollway and Peter M. Fryer's paper suggests the use of tantalum metal underlayers. Title "Encapsulation of Copper by Nitridation of Cu-Ti Alloy
/ Bilayer Structures ", International Conference on Metallurgical Coatings
and Thin Films, San Diego, CA, April 21, 25, 1997, Abstract No. H1.03,
Another article by T. Laursen and JW Mayer in pg. 309 suggests the use of compounds such as CuTi as the underlayer. Title "An investigation into the perfo
rmance of diffusion barrier materials against copper diffusion using met
al-oxide-semiconductor (MOS) capacitor structures ", Solid-State Electron
Vee SC Len, RE Hurley, N. McCusker, ics 43 (1999), pp. 1045-1049,
Yet another paper by DW McNill, BM Armstrong and HS Gamble suggests the use of compounds such as TaN as an underlayer. However, these approaches do not completely solve the above-mentioned problem of reduced minimum lines and spaces. Therefore, when the line size of the combination of the metal line and the base film is reduced, the capacitance and resistance increase again.

【0005】 これらと同時に、他の研究者は、容量負荷の影響に着目し、絶縁体SiO2
代替物としてフッ化ポリイミドのような種々のポリマーの研究を行っている。こ
れらの物質の幾つかは、SiO2よりかなり小さい比誘電率を有する。しかしな
がら、SiO2の場合のように、銅との間に不適応性の問題があることが判明し
ている。例えば、表題"Copper Diffusion in Organic Polymer Resists and Int
er-level Dielectrics", at the Internatnional Conference on Metallurgical
Coatings and Thin Films, San Diego, CA, April 21-25, 1997, Abstract H2.
04, pg. 313のD. J. Godbey, L. J. Buckley, A. P. Purdy and A. W. Snowによ
る発表では、ポリイミドや他の多くのポリマーは、硬化時に銅と反応して導電性
酸化物CuO2が形成し、それがポリマー内に分散されることが示されている。
これにより、ポリマーの実効比誘電率が上昇し、多くの場合、ポリマーの導電性
が増加する。加えて、銅、銀または金のこれら3種の金属全ての反応性イオンエ
ッチング(RIE)は、いくら良く見ても、容易でないことがわかっている。
At the same time, other researchers pay attention to the influence of capacitive load and are researching various polymers such as fluorinated polyimide as a substitute for the insulator SiO 2 . Some of these materials have a dielectric constant that is significantly less than SiO 2 . However, it has been found that there is an incompatibility problem with copper, as in the case of SiO 2 . For example, the title "Copper Diffusion in Organic Polymer Resists and Int
er-level Dielectrics ", at the Internatnional Conference on Metallurgical
Coatings and Thin Films, San Diego, CA, April 21-25, 1997, Abstract H2.
In a presentation by DJ Godbey, LJ Buckley, AP Purdy and AW Snow on 04, pg. 313, polyimides and many other polymers react with copper to form a conductive oxide CuO 2 upon curing, which is the polymer. It is shown to be dispersed within.
This increases the effective relative permittivity of the polymer and often increases the conductivity of the polymer. In addition, reactive ion etching (RIE) of all three of these metals, copper, silver or gold, has proven not to be easy, at best.

【0006】 研究者による他のアプローチとして、比誘電率が小さい絶縁体をアルミニウム
の配線と共に使用する方法も研究が継続されている。これは、所与のライン間ス
ペースについて容量性負荷を減少させるが、幅広または厚いラインを必要とする
。厚いラインを用いると、容量性負荷が厚さの増加に正比例して増加する。従っ
て、このやり方は容量性負荷の影響を減少させるという目的にある程度反するも
のである。従って、厚いラインの使用はできるだけ避ける必要がある。ラインの
抵抗率はその断面積に正比例するため、厚くできないのであれば、幅を広げなけ
ればならない。しかしながら、ラインの幅を広げると、各金属レベルに設けるこ
とのできる配線チャンネルの数が減少する。同数の配線チャンネルを得るために
は、金属のレベルを増加させる必要がある。このため、チップコストが増加する
。このアプローチを採用する場合、低コストプロセスに利用が絶対必要である。
As another approach by researchers, a method of using an insulator having a small relative dielectric constant with aluminum wiring is also being researched. This reduces capacitive loading for a given interline space, but requires wide or thick lines. With thick lines, the capacitive load increases in direct proportion to the increase in thickness. Therefore, this approach defeats the purpose of reducing the effects of capacitive loading to some extent. Therefore, the use of thick lines should be avoided as much as possible. The resistivity of a line is directly proportional to its cross-sectional area, so if it cannot be thickened, it must be widened. However, increasing the width of the line reduces the number of wiring channels that can be provided at each metal level. To get the same number of wiring channels, it is necessary to increase the metal level. Therefore, the chip cost increases. If this approach is adopted, its use in low cost processes is a must.

【0007】 1998年8月4日付け米国特許出願第09/128,859号(発明の名称
:"Copper Metallurgy in Integrated Circuits")に記載された本発明者による
1つのアプローチは、ポリマー絶縁体に銅を用いる際の問題点の多くを解決する
方法を提案している。この方法はポリマーまたは発泡絶縁体と適合するように特
に設計されているが、各層の表面上の不要な銅を化学的機械研磨(CMP)また
は同様な平坦化工程により除去する必要がある。しかしながら、この方法はプロ
セス制御を注意深く行う必要があるためコストがかさむ。1998年2月27日
付け米国特許出願第09/032,197号(発明の名称:"Method for Making
Copper and Other Metal Interconnections in Integrated Circuits")に記載
された別のアプローチは、イオン化スパッタリングにより下層を形成した後、銅
を形成したくない領域にジェット蒸着法により濡れの少ない層を形成する方法を
提案している。銅は、イオン化マグネトロンスパッタリングの後、水素アニーリ
ングで付着される。その後、余剰の銅が、上述の出願と同様にCMPにより除去
される。
One approach by the inventor described in US patent application Ser. No. 09 / 128,859 dated Aug. 4, 1998 (Title of the Invention: “Copper Metallurgy in Integrated Circuits”) is to use polymer insulators. It proposes a method that solves many of the problems associated with using copper. Although this method is specifically designed to be compatible with polymers or foam insulation, it requires removal of unwanted copper on the surface of each layer by chemical mechanical polishing (CMP) or similar planarization steps. However, this method is expensive because it requires careful process control. U.S. Patent Application No. 09 / 032,197 dated February 27, 1998 (Title of Invention: "Method for Making
Copper and Other Metal Interconnections in Integrated Circuits ") proposes a method of forming a lower layer by ionization sputtering, and then forming a layer with low wetting by jet deposition in an area where copper is not desired to be formed. The copper is deposited by hydrogen annealing after ionization magnetron sputtering, after which the excess copper is removed by CMP as in the above-mentioned application.

【0008】 1998年9月1日付け米国特許出願第09/145,012号(発明の名称
:"Integrated Circuit with Oxidation Resistant Polymeric Layer")におい
て本発明者により提案された別のプロセスは、CMPの多くの工程を省略し、リ
フトオフにより溝と種層を同時に画定するものである。本願の発明者が1999
年3月1日付け米国特許出願第09/259,849号(発明の名称:"Conduct
ive Structures in Integrated Circuits")に記載したプロセスは、ダマシンま
たはデュアルダマシンプロセスで金属層を選択的に付着させる前に不要な種層を
除去するためにCMP法を必要とする。
Another process proposed by the inventor in US patent application Ser. No. 09 / 145,012 (Title of Invention: “Integrated Circuit with Oxidation Resistant Polymeric Layer”) dated September 1, 1998 is CMP Many steps are omitted, and the groove and the seed layer are simultaneously defined by lift-off. The inventor of the present application was
US patent application Ser. No. 09 / 259,849 dated March 1, 2014 (Title of Invention: "Conduct
ive Structures in Integrated Circuits ") requires a CMP method to remove unwanted seed layers prior to selectively depositing metal layers in a damascene or dual damascene process.

【0009】 CMPの使用は、局部的な凸凹を減少させる上で効果的であることが判明して
いる。しかしながら、幅広のラインが広範囲に皿状になったり絶縁体のコーナー
が丸くなったりするのはよく起こることである。ダミー構造及び特徴部分の小さ
なサイズを用いて規則的な構造を維持することにより、1つのレベルをほぼ平坦
な表面にできることが判明している。しかしながら、これらの方法はコストが高
く、場合によっては、密度または性能が低下することがある。しかしながら、金
属レベルでこれらの方法が使用される前に密度をほとんどまたは全く低下させず
に構造を平坦化することが一般的に可能である。表題"Copper electroless depo
sition technology for ultra-large scale-integration (ULSI) metallization
"のYosi Schacham-Diamand and Valery M. Dubinの論文には、無電解めっきの利
用が示唆されている。しかしながら、この方法のコストを改善するには、障壁層
だけでなく種層を得る簡便なプロセスが必要である。高エネルギー(10−20
キロ電子ボルト(KEV))のイオン注入によりポリイミド及びケイ素の表面に
種を植え付ける1つの方法が、表題"Selective electroless copper plating on
silicon seeded by copper ion implantation"のS. Bhansali, D. K. Sood and
R. B. Zmoodの論文に開示されている。しかしながら、この方法は、障壁及び/
または接着層を必要とする製品構造には実現可能であるとは示されていない。
The use of CMP has been found to be effective in reducing local irregularities. However, it is common for wide lines to be dished extensively or corners of the insulator to be rounded. It has been found that one level can be made to be a nearly flat surface by maintaining a regular structure with dummy structures and a small size of features. However, these methods are costly and can sometimes result in reduced density or performance. However, it is generally possible to planarize the structure with little or no loss of density before these methods are used at the metal level. Title "Copper electroless depo
sition technology for ultra-large scale-integration (ULSI) metallization
"Yosi Schacham-Diamand and Valery M. Dubin's paper suggests the use of electroless plating. Process required High energy (10-20
One method of implanting seeds on the surface of polyimide and silicon by ion implantation of kiloelectron volts (KEV) is the title "Selective electroless copper plating on.
silicon seeded by copper ion implantation "S. Bhansali, DK Sood and
It is disclosed in a paper by RB Zmood. However, this method has barriers and / or
Or it has not been shown to be feasible for product structures that require an adhesive layer.

【0010】 上述の理由及び以下の説明を読めば自明となるであろう他の理由により、ビア
及び金属ラインの作製プロセスに付随する問題を軽減する構造及び方法が求めら
れている。ビア及び金属ラインの作製を行うこれらの構造及び方法は、簡便で、
集積回路の高い性能の要求を受け入れるものでなければならない。
[0012] For the above reasons and others that will be apparent upon reading the following description, there is a need for structures and methods that reduce the problems associated with the fabrication process of vias and metal lines. These structures and methods for making vias and metal lines are simple and
It must meet the high performance requirements of integrated circuits.

【0011】[0011]

【発明の概要】 集積回路のサイズ及び性能、ビア及び金属ライン作製プロセスに付随する上記
及び他の問題は、以下の説明を読めば理解できるように、本発明により解決され
る。本発明の構造及び方法は、低エネルギーイオン注入の後、集積回路の金属ラ
インを選択的に付着して形成される拡散障壁及び種層を含むものである。本発明
の教示によると、金属ラインの選択的付着により、化学的機械研磨(CMP)の
多数の工程を使用する必要性が回避される。本発明では、低エネルギーイオン注
入により、拡散障壁と種層との両方を明確に配置形成することができる。残留す
るレジストを使用して、ウェーハ表面上の不要な領域から拡散障壁及び種層を除
去することができる。
SUMMARY OF THE INVENTION The above and other problems associated with integrated circuit size and performance, via and metal line fabrication processes are solved by the present invention, as will be appreciated by reading the following description. The structures and methods of the present invention include a diffusion barrier and seed layer formed by selective deposition of metal lines in an integrated circuit after low energy ion implantation. In accordance with the teachings of the present invention, selective deposition of metal lines avoids the need to use multiple steps of chemical mechanical polishing (CMP). In the present invention, both the diffusion barrier and the seed layer can be clearly arranged and formed by the low energy ion implantation. The residual resist can be used to remove diffusion barriers and seed layers from unwanted areas on the wafer surface.

【0012】 さらに詳細に説明すると、本発明の1つの実施例は、集積回路に拡散障壁と種
層とを形成する方法である。この方法は、平坦化した表面の多数の第1レベルの
ビアに開口する多数の溝を絶縁層に画定するために絶縁材料をパターン形成する
ステップを含む。障壁/接着層は、低エネルギーイオン注入、例えば、100乃
至800電子ボルト(eV)のイオン注入により多数の溝に付着される。種層は
、低エネルギーイオン注入により、その多数の溝内の障壁/接着層上に付着され
る。この新規な方法は、アルミニウム、銅、金及び/または銀の金属インターコ
ネクトの形成を可能にするものである。
In more detail, one embodiment of the present invention is a method of forming a diffusion barrier and a seed layer in an integrated circuit. The method includes patterning an insulating material to define a number of trenches in the insulating layer that open into a number of first level vias in the planarized surface. The barrier / adhesion layer is deposited in the multiple trenches by low energy ion implantation, eg, 100 to 800 electron volts (eV) ion implantation. The seed layer is deposited on the barrier / adhesion layer in its multiple grooves by low energy ion implantation. This novel method enables the formation of aluminum, copper, gold and / or silver metal interconnects.

【0013】[0013]

【実施例の詳細な説明】[Detailed Description of Examples]

本発明の以下の詳細な説明において、本願の一部であり、本発明の特定の実施
例を例示する添付図面を参照する。これらの実施例は、当業者が本発明を実施で
きるように十分に詳しく記載されている。他の実施例も可能であり、本発明の範
囲から逸脱することなく構造的、論理的及び電気的な設計変更を行うことができ
る。従って、以下の詳細の説明は限定的な意味でとらえるべきでなく、本発明の
範囲は頭書の特許請求の範囲によってのみ決定される。
DETAILED DESCRIPTION In the following detailed description of the invention, reference is made to the accompanying drawings, which are a part of this application and which illustrate specific embodiments of the invention. These examples are described in sufficient detail to enable one of ordinary skill in the art to practice the invention. Other embodiments are possible and structural, logical and electrical design changes can be made without departing from the scope of the invention. Therefore, the following detailed description should not be taken in a limiting sense, and the scope of the present invention is determined only by the appended claims.

【0014】 以下の説明に使用する用語「ウェーハ」及び「基板」は、本発明の集積回路(
IC)構造を形成する露出表面を備えた任意の構造を包含する。用語「基板」は
、半導体ウェーハを包含すると理解されたい。用語「基板」はまた、プロセス時
における半導体構造を意味し、その上に形成された他の層を含む場合がある。基
板は、ドーピング済みまたはドーピング前の半導体、基板の半導体または絶縁層
により支持されるエピタキシャル半導体層だけでなく、当業者によく知られた他
の半導体構造を含むものである。用語「絶縁層」は、当業者により導体と一般的
に呼ばれている材料よりも導電性が低い任意の物質を含むと定義される。従って
、以下の詳細な説明は限定的な意味で捉えるべきではない。
The terms “wafer” and “substrate” used in the following description refer to the integrated circuit of the present invention (
IC) structure with any exposed surface forming structure. The term "substrate" should be understood to include semiconductor wafers. The term "substrate" also refers to a semiconductor structure during processing, which may include other layers formed thereon. The substrate includes doped or undoped semiconductors, semiconductors of the substrate or epitaxial semiconductor layers supported by an insulating layer, as well as other semiconductor structures well known to those skilled in the art. The term "insulating layer" is defined to include any substance that is less conductive than the material commonly referred to by those skilled in the art as a conductor. Therefore, the following detailed description should not be taken in a limiting sense.

【0015】 本願に開示するのは、配線レベルで低い容量及び抵抗損を達成する低コストの
プロセスである。本発明は、使用する金属レベルの数とは無関係に、たった1回
の完全なCMP平坦化と共に第1レベルのビアの形成を必要とする。各金属レベ
ル上での本質的に洗浄CMPステップが、一連のプロセスで使用される。このプ
ロセスは、アルミニウム、銅、銀、金、または後で無電解めっきか選択的CVD
または他の任意の選択的付着プロセスにより付着させることができる他の任意の
材料に使用可能である。絶縁体として、ポリイミド、他のポリマーもしくは発泡
ポリマーを使用できる。また、絶縁物の積層と使用中の金属との間に適応性があ
る場合、酸化物または他の無機絶縁構造と共に用いることができる。また、エア
ブリッジ構造を形成するように使用することもできる。このプロセスは、種層と
共に接着及び/または障壁層を付着させるために低エネルギーイオン注入法を使
用する。これは、注入領域を画定するためのブロック層としてダマシン溝を画定
するレジスト層を使用する。低エネルギーイオン注入により、障壁/接着層と種
層とを明確に配置形成することができる。同じレジスト層を用いて溝と種層とを
共に画定するため、プロセスの実施を低コストで行うことができる。
Disclosed herein is a low cost process that achieves low capacitance and ohmic losses at the wiring level. The present invention requires the formation of a first level via with only one complete CMP planarization, regardless of the number of metal levels used. An essentially clean CMP step on each metal level is used in a series of processes. This process can be performed with aluminum, copper, silver, gold, or later electroless plating or selective CVD.
Or it can be used for any other material that can be deposited by any other selective deposition process. Polyimide, other polymers or foamed polymers can be used as the insulator. It can also be used with oxides or other inorganic insulating structures, where there is compatibility between the stack of insulators and the metal in use. It can also be used to form an air bridge structure. This process uses low energy ion implantation to deposit an adhesion and / or barrier layer with the seed layer. It uses a resist layer that defines damascene trenches as a blocking layer to define the implant regions. The low-energy ion implantation allows the barrier / adhesion layer and the seed layer to be clearly arranged. Since the trench and seed layer are both defined using the same resist layer, the process can be performed at low cost.

【0016】 銅とポリイミドを用いる金属インターコネクトの実施例 図1A−1Kは、本発明により集積回路に金属インターコネクト及び/または
配線構造を形成する新規な方法を示す。この方法は、低エネルギーイオン注入に
より集積回路に障壁/接着層と種層とを形成する新規なものである。この新規な
方法はまた、集積回路に銅、銀、アルミニウムまたは金のインターコネクトを形
成する方法を包含する。
Metal Interconnect Embodiment Using Copper and Polyimide FIGS. 1A-1K illustrate a novel method of forming a metal interconnect and / or wiring structure in an integrated circuit in accordance with the present invention. This method is a novel way of forming barrier / adhesion layers and seed layers in integrated circuits by low energy ion implantation. The novel method also includes forming a copper, silver, aluminum or gold interconnect in the integrated circuit.

【0017】 図1Aは、基板に多数の半導体デバイスを形成した集積回路構造の一部を示す
。図1は、基板にデバイス構造を形成し、このデバイス構造への接点構造を配置
した構造を示す。当業者は、この説明を読めば、基板に多数の半導体構造、例え
ばトランジスタを形成する態様がわかるであろう。当業者はまた、この説明を読
めば、基板の所与の半導体デバイスへの接続用接点構造を形成する態様がわかる
であろう。例えば、図1Aは、基板100に多数のデバイス構造、例えばトラン
ジスタ101A、101Bを形成した後の構造を示す。絶縁層102は、多数の
半導体101A、101Bの上に付着されている。絶縁層102の付着は、厚さ
が100乃至500オングストロームの範囲のSi34の層の付着を含む。この
絶縁層はまた、後続の処理工程からの不純物に対して別の障壁として働く。接点
孔105A、105Bは、フォトリソグラフィーにより多数のデバイス構造10
1A、101Bに開口している。当業者は、この説明を読めば、フォトリソグラ
フィーにより接点孔105A、105Bを形成する態様がわかるであろう。本発
明の1つの実施例では、けい化タンタルの下地膜106A、106Bが、化学的
蒸着(CVD)などのプロセスにより接点孔105A、105B内に配設されて
いる。次に、接点孔105A、105B内にタングステンのビア107A、10
7Bを付着することができる。タングステンのビア107A、107Bは、CV
Dプロセスのような適当な方法により接点孔内に付着可能である。その後、余剰
のタングステンを、化学的機械研磨(CMP)または他の適当なプロセスにより
ウェーハ表面から除去して、平坦な表面109を形成する。
FIG. 1A shows a part of an integrated circuit structure in which a large number of semiconductor devices are formed on a substrate. FIG. 1 shows a structure in which a device structure is formed on a substrate and a contact structure to the device structure is arranged. Those of ordinary skill in the art will understand, after reading this description, how to form numerous semiconductor structures, such as transistors, on a substrate. Those of ordinary skill in the art will also understand, after reading this description, how to form contact structures for connecting a substrate to a given semiconductor device. For example, FIG. 1A shows a structure after forming a number of device structures on a substrate 100, such as transistors 101A and 101B. The insulating layer 102 is deposited on the large number of semiconductors 101A and 101B. Deposition of insulating layer 102 includes deposition of a layer of Si 3 N 4 having a thickness in the range of 100 to 500 Å. This insulating layer also acts as another barrier to impurities from subsequent processing steps. The contact holes 105A and 105B are formed in a large number of device structures 10 by photolithography.
It is open to 1A and 101B. Those skilled in the art will understand how to form the contact holes 105A and 105B by photolithography after reading this description. In one embodiment of the present invention, tantalum silicide underlayers 106A, 106B are disposed in the contact holes 105A, 105B by a process such as chemical vapor deposition (CVD). Next, tungsten vias 107A, 10A are formed in the contact holes 105A, 105B.
7B can be attached. The tungsten vias 107A and 107B are CVs.
It can be deposited in the contact holes by any suitable method such as the D process. The excess tungsten is then removed from the wafer surface by chemical mechanical polishing (CMP) or other suitable process to form a flat surface 109.

【0018】 図1Bに示すように、第1のポリマー層108またはポリイミドの第1の層1
08は、ウェーハ表面上に付着される。第1のポリマー層108は、例えば、本
願の一部として引用する米国特許出願第09/128,859号(発明の名称:
"Copper Metallurgy in Integrated Circuits")に記載されたプロセス及び材料
を用いて付着することができる。1つの実施例において、第1のポリマー層10
8の付着は、発泡ポリマー層108の付着を含む。1つの実施例において、ポリ
イミドの第1の層108は、付着後硬化して、厚さ5000オングストロームの
ポリマー層108を形成する。当業者であればこの説明を読むと理解できるよう
に、他の適当な厚さのポリイミドの第1の層108または絶縁層/材料108を
、第1レベルの金属パターンの形成に適当なように付着させることができるが、
本発明はこれに限定されない。ポリイミドの第1の層108または第1の絶縁層
/材料108は、平坦な表面109の多数の第1レベルのビア、例えば、タング
ステンのビア107A、107Bに開口する多数の溝110を第1の絶縁層10
8に画定するようにパターン形成される。換言すれば、フォトレジストのマスク
112により第1レベルの金属パターン110を画定した後、反応性イオンエッ
チング(RIE)のような任意適当なプロセスによりポリイミドの第1の層10
8をエッチングして、第1レベルの金属パターン110がポリイミドに画定され
るようにする。本発明によると、残留するフォトレジスト層112は、多数の溝
110の外側の多数の領域113の第1の絶縁体層108上に残される。この構
造は、図1Bに示すものである。
As shown in FIG. 1B, a first polymer layer 108 or a polyimide first layer 1
08 is deposited on the wafer surface. The first polymer layer 108 is, for example, US patent application Ser. No. 09 / 128,859 (Title of Invention:
It can be deposited using the processes and materials described in "Copper Metallurgy in Integrated Circuits"). In one embodiment, the first polymer layer 10
Deposition of 8 includes deposition of foamed polymer layer 108. In one embodiment, the first layer of polyimide 108 is post-deposited and cured to form a 5000 angstrom thick polymer layer 108. Those of ordinary skill in the art will appreciate upon reading this description that any other suitable thickness of polyimide first layer 108 or insulating layer / material 108 may be suitable for forming the first level metal pattern. Can be attached,
The present invention is not limited to this. The first layer 108 of polyimide or the first insulating layer / material 108 provides a first plurality of trenches 110 opening into a number of first level vias in the planar surface 109, eg, tungsten vias 107A, 107B. Insulating layer 10
8 is defined. In other words, after defining the first level metal pattern 110 by the photoresist mask 112, the polyimide first layer 10 is formed by any suitable process such as reactive ion etching (RIE).
Etch 8 so that first level metal pattern 110 is defined in the polyimide. According to the present invention, the remaining photoresist layer 112 is left on the first insulator layer 108 in the multiple regions 113 outside the multiple trenches 110. This structure is shown in FIG. 1B.

【0019】 図1Cに示すように、第1の障壁/接着層114は、低エネルギーイオン注入
により多数の溝110に付着される。本発明の1つの実施例によると、障壁/接
着層114の付着は、厚さが約5乃至100オングストロームのジルコニウム層
114の付着を含む。別の実施例では、障壁/接着層114の付着は、チタン及
び/またはハフニウムの障壁/接着層114の付着を含む。1つの実施例におい
て、ジルコニウム層114の付着は、厚さが約50オングストロームのジルコニ
ウム層114の付着を含む。これは、1017個のジルコニウムイオンの注入、即
ち、1平方センチメートル当たり1017個のジルコニウムイオンの注入により実
現できる。本発明によると、ジルコニウム層114は、矢印111に示すような
、注入角度がウェーハ表面に対する鉛直方向から15°ずれた角度に変化する可
変角度注入法により、100電子ボルト(eV)で、ポリマー層108の溝11
0の表面に注入される。当業者であればこの説明を読めばわかるように、注入角
が平坦な表面109に対する鉛直方向から約15°変化する可変角度注入法によ
り、多数の溝110内の全ての表面に障壁/接着層114を付着することができ
る。
As shown in FIG. 1C, the first barrier / adhesion layer 114 is deposited in the multiple trenches 110 by low energy ion implantation. According to one embodiment of the present invention, depositing the barrier / adhesion layer 114 includes depositing a zirconium layer 114 having a thickness of about 5-100 Angstroms. In another embodiment, depositing the barrier / adhesion layer 114 comprises depositing a titanium and / or hafnium barrier / adhesion layer 114. In one embodiment, depositing zirconium layer 114 includes depositing zirconium layer 114 having a thickness of about 50 Å. This injection of 10 17 of zirconium ions, i.e., can be realized by injection of per square centimeter 1017 of zirconium ions. According to the present invention, the zirconium layer 114 is a polymer layer at 100 electron volts (eV) by a variable angle implantation method in which the implantation angle is changed by 15 ° from the vertical direction with respect to the wafer surface, as indicated by arrow 111. Groove 11 of 108
0 surface is injected. As one of ordinary skill in the art will appreciate upon reading this description, barrier / adhesion layers are provided on all surfaces within multiple trenches 110 by a variable angle implant method in which the implant angle varies about 15 ° from vertical with respect to flat surface 109. 114 can be attached.

【0020】 図1Dにおいて、第1の種層116は、低エネルギーイオン注入により第1の
障壁/接着層114上に付着される。本発明の広い思想によると、障壁/接着層
114上への種層116の付着は、アルミニウム、銅、銀及び金より成る群から
選択した種層116の付着を含む。しかしながら、本発明によると、種層116
の付着は、厚さが約100オングストロームの銅層116の付着を含む。これは
、8×1016個の銅イオンの注入により達成可能である。本発明によると、低エ
ネルギーイオン注入は、ポリマー層の溝110の表面への100電子ボルト(e
V)での銅層116の注入を含む。銅層116は、矢印115で示すように、ウ
ェーハ表面に鉛直の角度で注入される。当業者であればこの説明を読むと理解で
きるように、平坦な表面に鉛直の角度で銅層116の注入を行うと、銅の種層1
16が多数の溝110の底面118上に形成され、多数の溝110の側面117
への付着は格段に少ない。1つの実施例において、オプションとしてのアルミニ
ウム層121も、100電子ボルト(eV)の低エネルギーイオン注入により銅
の種層116上に付着される。オプションとしてのアルミニウム層121は、厚
さが約50オングストロームとなるように付着される。これらは、3×1016
のアルミニウムイオンをウェーハ表面に鉛直の方向に注入することにより達成可
能である。当業者であればこの説明を読むとわかるように、アルミニウム層12
1は、後続の処理ステップの前に銅の種層116が酸化しないように保護するた
めのものである。この構造は、図1Dに示す。
In FIG. 1D, a first seed layer 116 is deposited on the first barrier / adhesion layer 114 by low energy ion implantation. In accordance with the broad idea of the invention, depositing seed layer 116 on barrier / adhesion layer 114 includes depositing a seed layer 116 selected from the group consisting of aluminum, copper, silver and gold. However, in accordance with the present invention, seed layer 116
Depositing includes depositing a copper layer 116 having a thickness of about 100 Å. This can be achieved by implanting 8 × 10 16 copper ions. According to the present invention, low energy ion implantation is performed at 100 eV (e) on the surface of the groove 110 of the polymer layer.
V) including implantation of copper layer 116. Copper layer 116 is implanted at a vertical angle onto the wafer surface, as indicated by arrow 115. As one of ordinary skill in the art will appreciate upon reading this description, implantation of copper layer 116 at a vertical angle on a flat surface will result in copper seed layer 1
16 are formed on the bottom surfaces 118 of the multiple grooves 110, and the side surfaces 117 of the multiple grooves 110 are formed.
Adhesion to is much less. In one embodiment, an optional aluminum layer 121 is also deposited on the copper seed layer 116 by low energy ion implantation of 100 electron volts (eV). Optional aluminum layer 121 is deposited to a thickness of about 50 Å. These can be achieved by implanting 3 × 10 16 aluminum ions vertically into the wafer surface. Those of ordinary skill in the art will appreciate upon reading this description that the aluminum layer 12
1 is to protect the copper seed layer 116 from oxidation before subsequent processing steps. This structure is shown in FIG. 1D.

【0021】 図1Eは、次の一連の処理ステップを実施した後の構造を示す。当業者であれ
ばこの説明を読むとわかるように、残留するフォトレジスト層112は、障壁/
接着層114、種層116及びアルミニウム層121の注入領域を画定するため
のブロック層として働く。残留するフォトレジスト層112は、当業者であれば
この説明を読んで理解できるように、ウェットストリッププロセスにより除去さ
れる。本発明によると、残留するフォトレジスト層112の除去は、不要なアル
ミニウム層121、不要な種層116及び不要な障壁/接着層114をウェーハ
表面の他の領域、例えば、第1の絶縁層108の頂面119上の、溝110の外
側の多数の領域113から除去するステップを含む。この構造を図1Eに示す。
FIG. 1E shows the structure after performing the next series of processing steps. As one of ordinary skill in the art will appreciate upon reading this description, the remaining photoresist layer 112 is
It acts as a blocking layer to define the implant regions of the adhesive layer 114, seed layer 116 and aluminum layer 121. The remaining photoresist layer 112 is removed by a wet strip process, as those skilled in the art can read and understand this description. According to the present invention, removal of the remaining photoresist layer 112 is accomplished by removing the unwanted aluminum layer 121, unwanted seed layer 116 and unwanted barrier / adhesion layer 114 from other areas of the wafer surface, such as the first insulating layer 108. Removing from a number of regions 113 on the top surface 119 of the outer side of the groove 110. This structure is shown in FIG. 1E.

【0022】 図1Fにおいて、金属導体120または多数の第1レベルの金属ライン120
は、多数の溝110内の種層116上に付着される。本発明によると、金属導体
120または多数の第1レベルの金属ライン120は、付着された種層116の
種類に応じて、アルミニウム、銅、銀及び金より成る群から選択される。本発明
によると、金属導体120または多数の第1レベルの金属ライン120は、多数
の銅金属ライン120または第1レベルの銅金属ライン120が第1の絶縁層1
08の頂面119に形成されないように、銅の種層116上に選択的に形成され
る。1つの実施例において、金属導体120または多数の第1レベルの金属ライ
ン120は、選択的CVDプロセスにより付着される。別の実施例では、種層1
16上の金属導体120または多数の第1レベルの金属ライン120の付着は、
無電解めっきによる金属導体120の付着を含む。銅の無電解めっきは、第1の
絶縁層108の頂面119まで多数の溝110を充填するために十分な銅を付着
する目的で利用する。
In FIG. 1F, a metal conductor 120 or a number of first level metal lines 120
Are deposited on the seed layer 116 in the multiple grooves 110. In accordance with the present invention, the metal conductor 120 or multiple first level metal lines 120 are selected from the group consisting of aluminum, copper, silver and gold, depending on the type of seed layer 116 deposited. According to the present invention, the metal conductors 120 or the plurality of first level metal lines 120 may include the plurality of copper metal lines 120 or the first level copper metal lines 120 as the first insulating layer 1.
It is selectively formed on the copper seed layer 116 so that it is not formed on the top surface 119 of 08. In one embodiment, metal conductors 120 or multiple first level metal lines 120 are deposited by a selective CVD process. In another embodiment, seed layer 1
The deposition of the metal conductor 120 on 16 or a number of first level metal lines 120 is
Including deposition of the metal conductor 120 by electroless plating. Electroless plating of copper is utilized to deposit sufficient copper to fill the multiple grooves 110 up to the top surface 119 of the first insulating layer 108.

【0023】 図1Gに示すように、一連の工程を継続して実行すると、多層配線構造に任意
の数の金属層をさらに形成することができる。図1Gは、次の一連の処理ステッ
プの後の構造を示す。図1Gにおいて、デュアルダマシンプロセスにより、第1
から第2レベルのビアと、第2のレベルの金属とを画定して充填する。これを行
うために、第2のポリマー層124または第2のポリイミド層124を、ウェー
ハ表面、例えば、金属導体120または多数の第1レベルの金属ライン120と
、第1のポリマー層108とにわたって付着させる。第2のポリマー層124は
、例えば、本願の一部として引用する米国特許出願第09/128,859号(
発明の名称:"Copper Metallurgy in Integrated Circuits")に記載されたプロ
セス及び材料を用いて同じように付着することができる。1つの実施例において
、第2のポリマー層124の付着は、第2の発泡ボリマー層124の付着を含む
。1つの実施例において、第2のポリマー層124は、付着後硬化すると、厚さ
10、000オングストロームの第2のポリマー層124となる。当業者であれ
ばこの説明を読んで理解できるように、他の適当な厚さの第2のポリマー層12
4または第2の絶縁層/材料124を、第1から第2レベルのビア、例えば、第
2レベルのビア及び多数の第2レベルの金属ラインを形成するに適当に付着させ
ることができるが、本発明はそれに限定されない。第2レベルのポリマー層12
4または第2の絶縁層/材料124は、金属導体120または多数の第1レベル
の金属ライン120に開口する第2レベルのビア及び多数の第2のレベル金属ラ
インを第2の絶縁層/材料124に画定するようにパターン形成される。換言す
れば、第2レベルのビアはフォトレジストの第2のマスク126に画定され、そ
の後、第2のポリマー層124が、例えば反応性エッチング(RIE)のような
任意適当なプロセスによりエッチングされて、第2レベルのビア孔128がポリ
イミドに画定される。デュアルダマシンプロセスを用いて、多数の第2レベルの
金属ラインがフォトレジストの第2のマスク126に画定され、第2のポリマー
層124が再び、例えば、反応性イオンエッチング(RIE)のような任意適当
なプロセスによりエッチングされて、第2レベルの金属ラインの溝130がポリ
イミドに画定される。当業者は、この説明を読めば、デュアルダマシンプロセス
により、フォトレジスト層126でマスキングを行い、露光し、現像して、第2
の絶縁層/材料124に第2レベルのビア孔128及び第2レベルの金属ライン
溝130をパターン形成する態様がわかるであろう。
As shown in FIG. 1G, if a series of steps are continuously performed, an arbitrary number of metal layers can be further formed in the multilayer wiring structure. FIG. 1G shows the structure after the next series of processing steps. In FIG. 1G, the dual damascene process
To a second level via and a second level metal are defined and filled. To do this, a second polymer layer 124 or a second polyimide layer 124 is deposited over the wafer surface, eg, metal conductors 120 or a number of first level metal lines 120, and the first polymer layer 108. Let The second polymer layer 124 may be, for example, US patent application Ser. No. 09 / 128,859 (which is incorporated herein by reference).
The same can be applied using the processes and materials described in the title of the invention: "Copper Metallurgy in Integrated Circuits"). In one example, depositing the second polymer layer 124 comprises depositing the second foam polymer layer 124. In one example, the second polymer layer 124, when deposited and cured, results in the second polymer layer 124 having a thickness of 10,000 angstroms. Those of ordinary skill in the art will appreciate, upon reading and understanding this description, other suitable thicknesses of the second polymer layer 12.
4 or a second insulating layer / material 124 may be suitably deposited to form first to second level vias, eg, second level vias and a number of second level metal lines, The invention is not so limited. Second level polymer layer 12
4 or a second insulating layer / material 124 may be a second insulating layer / material which may be a second level via opening to the metal conductor 120 or a number of first level metal lines 120 and a number of second level metal lines. Patterned to define 124. In other words, the second level vias are defined in the second mask 126 of photoresist, and then the second polymer layer 124 is etched by any suitable process, such as reactive etching (RIE). , A second level via hole 128 is defined in the polyimide. Using a dual damascene process, a number of second level metal lines are defined in the second mask 126 of photoresist and the second polymer layer 124 is again optional, for example reactive ion etch (RIE). Etched by a suitable process to define second level metal line trenches 130 in the polyimide. Those skilled in the art, after reading this description, will use a dual damascene process to mask, expose, and develop a second photoresist layer 126.
It will be appreciated how to pattern second level via holes 128 and second level metal line trenches 130 in the insulating layer / material 124 of FIG.

【0024】 上述したように、また明細書に示されるように、残留するフォトレジスト層1
26は、第2レベルの金属ライン溝130の外側の多数の領域132の第2の絶
縁層/材料124上に残される。当業者であればこの説明を読むとわかるように
、適当なプラズマ及び/またはウェット洗浄プロセスにより、第2レベルのビア
孔128及び第2のレベルの金属ライン溝130から汚染物質を除去することが
できる。この構造を、図1Gに示す。
As mentioned above and as indicated in the description, the remaining photoresist layer 1
26 is left on the second insulating layer / material 124 in a number of regions 132 outside the second level metal line trenches 130. Those of ordinary skill in the art will appreciate upon reading this description that a suitable plasma and / or wet cleaning process can remove contaminants from the second level via holes 128 and the second level metal line trenches 130. it can. This structure is shown in FIG. 1G.

【0025】 図1Hは、次の一連の処理ステップの後の構造100を示す。図1Hにおいて
、第2の障壁/接着層134は、低エネルギーイオン注入により、第2レベルの
ビア孔128及び第2のレベル金属ライン溝130に付着される。上述したよう
に、本発明の1つの実施例では、第2の障壁/接着層134の付着は、厚さが約
5乃至100オングストロームのジルコニウム層134の付着を含む。別の実施
例では、第2の障壁/接着層134の付着は、チタン及び/またはハフニウムの
障壁/接着層134の付着を含む。1つの実施例において、ジルコニウム層13
4の付着は、厚さが約50オングストロームのジルコニウム層134の付着を含
む。1つの実施例において、これは、1017個のジルコニウムイオンの注入によ
り実現される。本発明によると、ジルコニウム層134は、注入角度がウェーハ
表面に対する鉛直方向から15°離れた方向に変化する、矢印125で示すよう
な可変角度を使用して、100電子ボルト(eV)で、第2のポリマー層124
の第2レベルのビア孔128及び第2レベルの金属ライン溝130の表面に注入
される。当業者であればこの説明を読むと理解できるように、注入角度がウェー
ハ表面に対する鉛直方向から約15°変化する可変角度注入法の使用により、障
壁/接着層134を第2のレベルのビア孔128及び第2レベルの金属ライン溝
130の全ての表面上に付着することができる。この構造を図1Hに示す。
FIG. 1H shows structure 100 after the next series of processing steps. In FIG. 1H, a second barrier / adhesion layer 134 is deposited in the second level via hole 128 and the second level metal line trench 130 by low energy ion implantation. As mentioned above, in one embodiment of the invention, depositing the second barrier / adhesion layer 134 comprises depositing a zirconium layer 134 having a thickness of about 5 to 100 angstroms. In another example, depositing the second barrier / adhesion layer 134 comprises depositing a titanium and / or hafnium barrier / adhesion layer 134. In one embodiment, zirconium layer 13
Deposition of No. 4 includes deposition of zirconium layer 134 having a thickness of about 50 Å. In one embodiment, this is accomplished by implanting 10 17 zirconium ions. In accordance with the present invention, the zirconium layer 134 uses a variable angle, such as that shown by arrow 125, where the implantation angle changes 15 ° away from the vertical with respect to the wafer surface, at 100 electron volts (eV). Two polymer layers 124
Of the second level via hole 128 and the second level metal line trench 130. Those of ordinary skill in the art will understand from reading this description that the barrier / adhesion layer 134 is formed into a second level via hole through the use of a variable angle implant method in which the implant angle varies from the vertical to the wafer surface by about 15 °. It can be deposited on all surfaces of the 128 and second level metal line trenches 130. This structure is shown in FIG. 1H.

【0026】 図1Iは、次の一連の処理ステップの後の構造100を示す。図1Iにおいて
、第2の種層136は、低エネルギーイオン注入により第2の障壁/接着層13
4上に付着される。本発明の広い思想によると、第2の障壁/接着層114上へ
の第2の種層136の付着は、アルミニウム、銅、銀及び金より成る群から選択
した第2の種層136の付着を含む。しかしながら、本発明によると、第2の種
層136の付着は、厚さが約100オングストロームの第2の銅層136の付着
を含む。1つの実施例において、これは8×1016個の銅イオンの注入により達
成される。本発明によると、低エネルギーイオン注入は、第2レベルのビア孔1
28及びポリマー層の表面への100電子ボルト(eV)での銅層136の注入
を含む。銅層136はまた、矢印137で示すように、ウェーハ表面に対して鉛
直の角度で注入される。当業者であればこの説明から理解できるように、平坦な
表面に対して鉛直の角度で銅層136を注入すると、銅の第2の種層136が第
2レベルのビア孔128及び第2の金属ライン溝130の底面138上に形成さ
れるが、第2レベルのビア溝128及び第2の金属ライン溝120の側面140
上へはほとんど形成されない。1つの実施例において、オプションとしてのアル
ミニウム層141は、銅の第2の種層136上に、再び、100電子ボルト(e
V)の低エネルギーイオン注入により付着される。オプションとしてのアルミニ
ウム層は、約50オングストロームの厚さに付着される。1つの実施例において
、これは、ウェーハ表面に対して鉛直方向に3×1016個のアルミニウムイオン
を注入することにより達成される。当業者であればこの説明を読むと理解できる
ように、アルミニウム層141は、後続の処理ステップの前に銅の第2の種層1
36が酸化しないよう保護する目的で使用する。
FIG. 1I shows structure 100 after the next series of processing steps. In FIG. 1I, the second seed layer 136 is a second barrier / adhesion layer 13 formed by low energy ion implantation.
4 is attached on. According to the broad idea of the invention, the deposition of the second seed layer 136 on the second barrier / adhesion layer 114 is accomplished by depositing the second seed layer 136 selected from the group consisting of aluminum, copper, silver and gold. including. However, in accordance with the present invention, depositing second seed layer 136 includes depositing second copper layer 136 having a thickness of about 100 angstroms. In one embodiment, this is accomplished by implanting 8 × 10 16 copper ions. According to the present invention, the low energy ion implantation is performed on the second level via hole 1
28 and implanting a copper layer 136 at the surface of the polymer layer at 100 electron volts (eV). Copper layer 136 is also implanted at a vertical angle to the wafer surface, as indicated by arrow 137. As one of ordinary skill in the art will appreciate from this description, implantation of copper layer 136 at an angle perpendicular to a flat surface causes second seed layer 136 of copper to form second level via holes 128 and second levels. A second level via groove 128 and a side surface 140 of the second metal line groove 120 are formed on the bottom surface 138 of the metal line groove 130.
Little formed on top. In one embodiment, an optional aluminum layer 141 is again deposited on the copper second seed layer 136 at 100 eV (e).
V) deposited by low energy ion implantation. An optional aluminum layer is deposited to a thickness of about 50 Å. In one embodiment, this is accomplished by implanting 3 × 10 16 aluminum ions perpendicular to the wafer surface. As one of ordinary skill in the art will appreciate upon reading this description, the aluminum layer 141 may include a copper second seed layer 1 prior to subsequent processing steps.
It is used to protect 36 from oxidation.

【0027】 図1Jは、次の一連の処理ステップの後の構造を示す。当業者であればこの説
明を読めば理解できるように、残留するフォトレジスト層は、第2の障壁/接着
層134、第2の種層136及びアルミニウム層141の注入領域を画定するた
めのブロック層として働く。この残留するフォトレジスト層126は、当業者で
あればこの説明を読んで理解できるように、ウェットストリッププロセスにより
除去される。本発明によれば、残留するフォトレジスト層126の除去は、ウェ
ーハ表面の他の領域、例えば、第2の絶縁層124の頂面142上の、第2レベ
ルの金属ライン溝130の外側の多数の領域132から不要のアルミニウム層1
41、不要の種層136及び不要の障壁/接着層134を除去するステップを含
む。この構造を図1Jに示す。
FIG. 1J shows the structure after the next series of processing steps. Those of ordinary skill in the art will appreciate upon reading this description that the remaining photoresist layer is a block for defining the implant regions of the second barrier / adhesion layer 134, the second seed layer 136 and the aluminum layer 141. Work as a layer. This residual photoresist layer 126 is removed by a wet strip process, as one of ordinary skill in the art can read and understand this description. In accordance with the present invention, removal of the remaining photoresist layer 126 is accomplished by removing a large number of other areas of the wafer surface, such as on the top surface 142 of the second insulating layer 124, outside the second level metal line trenches 130. Unnecessary aluminum layer 1 from region 132 of
41, removing unwanted seed layer 136 and unwanted barrier / adhesion layer 134. This structure is shown in FIG. 1J.

【0028】 図1Kにおいて、第2の金属導体144または第2のコア導体144は、ポリ
マー層の第2レベルのビア孔128及び第2レベルの金属ライン溝130の第2
の種層136上及び第2の障壁/接着層134内に付着される。この実施例にお
いて、第2の金属導体144または第2のコア導体144は銅であるが、本発明
の他の実施例では、アルミニウム、銀及び金より成る群から選択することができ
る。1つの実施例において、第2の金属導体144または第2のコア導体144
は、第2の金属導体144または第2のコア導体144が第2の絶縁層124の
頂面142上に形成されないように、選択的CVDプロセスにより付着される。
別の実施例において、第2の種層136上及び第2の障壁/接着層134内の第
2の金属導体144または第2のコア導体144の付着は、無電解めっきによる
第2の金属導体144または第2のコア導体144の付着を含む。銅の無電解メ
ッキは、第2の絶縁層124の頂面142まで第2レベルのビア孔128及び第
2レベルの金属ライン溝130を充填するに十分な銅を付着するために使用する
。従って、第2の障壁/接着層134、第2の種層136及び第2の金属導体1
44または第2のコア導体144は、第1の多数の導電性構造、例えば、第1レ
ベルの金属ライン120上においてそれと接続するように形成される多数の第2
レベルのビア及び多数の第2レベルの金属ラインを含む第2の多数の導電性構造
を構成する。
In FIG. 1K, the second metal conductor 144 or the second core conductor 144 may be the second level via hole 128 of the polymer layer and the second level metal line groove 130 of the second level.
Deposited on the seed layer 136 and in the second barrier / adhesive layer 134. In this embodiment, the second metal conductor 144 or the second core conductor 144 is copper, but in other embodiments of the invention it can be selected from the group consisting of aluminum, silver and gold. In one embodiment, the second metal conductor 144 or the second core conductor 144
Is deposited by a selective CVD process so that the second metal conductor 144 or the second core conductor 144 is not formed on the top surface 142 of the second insulating layer 124.
In another embodiment, the deposition of the second metal conductor 144 or the second core conductor 144 on the second seed layer 136 and in the second barrier / adhesion layer 134 is performed by electroless plating. 144 or the attachment of the second core conductor 144. Electroless plating of copper is used to deposit sufficient copper to fill the second level via holes 128 and the second level metal line trenches 130 to the top surface 142 of the second insulating layer 124. Thus, the second barrier / adhesion layer 134, the second seed layer 136 and the second metal conductor 1
44 or a second core conductor 144 is formed on the first number of conductive structures, for example, a number of second conductive lines on the first level metal lines 120.
A second plurality of conductive structures including a level via and a plurality of second level metal lines.

【0029】 アルミニウム金属ラインと酸化物絶縁体を用いる金属インターコネクトの実施 図2A−2Kは、本発明により集積回路に金属インターコネクト及び/または
配線構造を形成する新規な方法を示す。この新規な方法は、低エネルギーイオン
注入により集積回路に障壁/接着層及び種層を形成する方法である。新規な方法
はまた、集積回路に銅、銀、アルミニウムまたは金のインターコネクトを形成す
る方法を包含する。
[0029] Example Figure 2A-2K metal interconnects using aluminum metal lines and the oxide insulator, showing a novel method of forming a metal interconnect and / or interconnect structure in an integrated circuit in accordance with the present invention. This new method is a method of forming barrier / adhesion layers and seed layers in integrated circuits by low energy ion implantation. The novel methods also include methods of forming copper, silver, aluminum or gold interconnects in integrated circuits.

【0030】 図2Aは、図1Aに関連して上述したように基板に集積回路構造、即ち、多数
の半導体デバイスが形成された集積回路の一部を示す。即ち、図2Aは、デバイ
ス構造を基板に形成し、デバイス構造への接点構造を配設した後の構造を示す。
図1Aと同様に、図2Aは、多数のデバイス構造、例えば、トランジスタ201
A、201Bを基板200に形成した後の構造を示す。絶縁層202は、多数の
半導体デバイス201A、201Bの上に付着されている。絶縁層202の付着
は、厚さが100乃至500オングストロームの範囲のSi34の層の付着を含
む。この絶縁層はまた、後続の処理ステップで生じる不純物に対する別の障壁と
して働く。接点孔205A、205Bは、フォトリソグラフィーにより多数のデ
バイス構造201A、201Bに開口するように穿孔される。当業者は、この説
明を読むと、フォトリソグラフィーにより接点孔205A、205Bを形成する
態様を理解できるであろう。本発明の1つの実施例において、けい化チタンの下
地膜206A、206Bは、化学的蒸着(CVD)のようなプロセスにより接点
孔205A、205B内に配設される。次に、接点孔205A、205B内にタ
ングステンのビア207A、207Bを付着できる。タングステンのビア207
A、207Bは、CVDプロセスのような任意適当な方法を用いて接点孔内に付
着可能である。その後、余剰のタングステンを化学的機械研磨(CMP)または
他の適当なプロセスによりウェーハ表面から除去して、平坦な表面209を形成
する。
FIG. 2A shows a portion of an integrated circuit structure, ie, an integrated circuit having a number of semiconductor devices formed on a substrate, as described above with reference to FIG. 1A. That is, FIG. 2A shows the structure after forming the device structure on the substrate and disposing the contact structure to the device structure.
Similar to FIG. 1A, FIG. 2A shows a number of device structures, such as transistor 201.
A structure after forming A and 201B on the substrate 200 is shown. The insulating layer 202 is deposited on the large number of semiconductor devices 201A and 201B. Deposition of the insulating layer 202 includes the deposition of a layer the Si 3 N 4 in the range of 100 to 500 Angstroms thick. This insulating layer also acts as another barrier to impurities that may arise in subsequent processing steps. The contact holes 205A, 205B are punched by photolithography so as to open in a large number of device structures 201A, 201B. Those of ordinary skill in the art, after reading this description, will understand how to form contact holes 205A, 205B by photolithography. In one embodiment of the present invention, a titanium silicide base film 206A, 206B is disposed in the contact holes 205A, 205B by a process such as chemical vapor deposition (CVD). Then, tungsten vias 207A, 207B can be deposited in the contact holes 205A, 205B. Tungsten via 207
A, 207B can be deposited in the contact holes using any suitable method, such as a CVD process. The excess tungsten is then removed from the wafer surface by chemical mechanical polishing (CMP) or other suitable process to form a flat surface 209.

【0031】 図2Bに示すように、第1の酸化物層208、例えば、二酸化けい素(SiO 2 )の層は、ウェーハ表面上に形成される。1つの実施例において、第1の酸化
物層208の付着は、フッ化けい素酸化物層108の付着を含む。第1の酸化物
層208は、例えば、CVDプロセスのような任意適当な方法により付着するこ
とができる。1つの実施例において、付着ステップにより厚さ約5000オング
ストロームの第1の酸化物層208が付着される。当業者であればこの説明を読
むと理解できるように、他の適当な厚さの第1の酸化物層208を、第1レベル
の金属パターンの形成に適当なように付着することも可能であるが、本発明はさ
らに限定されない。第1の酸化物層208は、平坦な表面209の多数の第1レ
ベルのビア、例えば、タングステンのビア207A、207Bに開口する多数の
溝210を第1の酸化物層208に画定するようにパターン形成される。換言す
れば、第1レベルの金属パターン210をフォトレジスト212のマスクに画定
した後、第1の酸化物層208を、例えば反応性イオンエッチング(RIE)の
ような任意適当なプロセスによりエッチングして、第1レベルの金属パターン2
10が第1の酸化物層208に画定されるようにする。当業者は、この説明を読
むと、任意所望の第1レベルの金属パターン210をフォトリソグラフィーによ
り形成できることがわかるであろう。本発明によると、残留するフォトレジスト
層212は、多数の溝210の外側の多数の領域213の第1の酸化物層208
上に残される。この構造を、図2Bに示す。
[0031]   As shown in FIG. 2B, a first oxide layer 208, such as silicon dioxide (SiO 2). 2 Layer) is formed on the wafer surface. In one embodiment, the first oxidation
Deposition of material layer 208 includes deposition of silicon fluoride oxide layer 108. First oxide
Layer 208 may be applied by any suitable method, such as a CVD process.
You can In one embodiment, the depositing step provides a thickness of about 5000 angstroms.
A strom first oxide layer 208 is deposited. Those skilled in the art should read this description.
As will be appreciated, another suitable thickness of the first oxide layer 208 is applied to the first level.
Although it is possible to deposit the metal pattern as appropriate for forming the metal pattern of
Is not limited to The first oxide layer 208 includes a number of first layers of the flat surface 209.
Bell vias, eg, tungsten vias
Patterned to define a trench 210 in the first oxide layer 208. In other words
Then, the first level metal pattern 210 is defined on the mask of the photoresist 212.
Then, the first oxide layer 208 is formed, for example, by reactive ion etching (RIE).
Etching by any suitable process such as
10 is defined in the first oxide layer 208. Those skilled in the art should read this description.
Then, a desired first level metal pattern 210 is formed by photolithography.
It will be understood that it can be formed. According to the present invention, residual photoresist
The layer 212 is a first oxide layer 208 in a number of regions 213 outside the number of trenches 210.
Left on. This structure is shown in FIG. 2B.

【0032】 図2Cに示すように、第1の障壁/接着層214は、低エネルギーイオン注入
により多数の溝210に付着される。本発明の1つの実施例では、障壁/接着層
214の付着は、厚さが約5乃至100オングストロームのジルコニウム層21
4の付着を含む。別の実施例において、障壁/接着層214の付着は、チタン及
び/またはハフニウムの障壁/接着層214の付着を含む。1つの実施例におい
て、ジルコニウム層214の付着は、厚さが約50オングストロームのジルコニ
ウム層214の付着を含む。これは、1017個のジルコニウムイオンの注入によ
り達成可能である。本発明によると、ジルコニウム層214は、注入角度がウェ
ーハ表面に対して鉛直の方向から15°離れた角度に変化する、矢印211で示
すような可変角度注入法により、100電子ボルト(eV)で、第1の酸化物層
208の溝210の表面に注入される。当業者であればこの説明を読むと理解で
きるように、注入角度がウェーハ表面に対して鉛直方向から約15°離れた方向
に変化する可変角度注入により、多数の溝210の全ての表面上に障壁/接着層
214が付着される。この構造を、図2Cに示す。
As shown in FIG. 2C, a first barrier / adhesion layer 214 is deposited in the multiple grooves 210 by low energy ion implantation. In one embodiment of the present invention, the barrier / adhesion layer 214 deposition is based on the zirconium layer 21 having a thickness of about 5 to 100 angstroms.
Including 4 deposits. In another embodiment, depositing the barrier / adhesion layer 214 comprises depositing a titanium and / or hafnium barrier / adhesion layer 214. In one embodiment, depositing zirconium layer 214 comprises depositing zirconium layer 214 having a thickness of about 50 Å. This can be achieved by implanting 10 17 zirconium ions. According to the present invention, the zirconium layer 214 is at 100 electron volts (eV) by a variable angle implant method as indicated by arrow 211, where the implant angle changes to an angle 15 ° away from the vertical with respect to the wafer surface. , On the surface of the trench 210 of the first oxide layer 208. As one of ordinary skill in the art will appreciate upon reading this description, the variable angle implant causes the implant angle to vary about 15 ° from the vertical with respect to the wafer surface, thereby providing a large number of grooves 210 on all surfaces. A barrier / adhesive layer 214 is deposited. This structure is shown in FIG. 2C.

【0033】 図2Dにおいて、第1の種層216は、低エネルギーイオン注入により第1の
障壁/接着層214上に付着される。本発明の広い思想によると、障壁/接着層
214上への種層216の付着は、アルミニウム、銅、銀及び金より成る群から
選択した第1の種層216の付着を含む。しかしながら、本発明によると、種層
216の付着は厚さが110オングストロームのアルミニウム銅合金の層216
の付着を含む。これは、約100電子ボルト(eV)の低エネルギーイオン注入
により、第1のアルミニウム層281を障壁/接着層214上へ約50オングス
トロームの厚さに付着させて達成する。その後、銅の層282を、約100eV
の低エネルギーイオン注入により第1のアルミニウム層280上に約10オング
ストロームの厚さに付着する。その後、第2のアルミニウム層283を、約10
0eVの低エネルギーイオン注入により銅層282上に厚さ約50オングストロ
ームに付着する。また、第1の種層216は、矢印215で示すように、平坦な
表面に対して鉛直の角度で注入される。当業者であればこの説明を読めば理解で
きるように、平坦な表面に対して鉛直の角度で第1の種層216を注入すると、
第1の種層216が多数の溝210の底面218上に形成され、多数の溝210
の側面217上へはほとんど形成されない。
In FIG. 2D, a first seed layer 216 is deposited on the first barrier / adhesion layer 214 by low energy ion implantation. In accordance with the broad idea of the invention, depositing seed layer 216 on barrier / adhesion layer 214 includes depositing a first seed layer 216 selected from the group consisting of aluminum, copper, silver and gold. However, in accordance with the present invention, the deposition of seed layer 216 results in a layer 216 of aluminum copper alloy having a thickness of 110 Å.
Including adhesion of. This is accomplished by depositing the first aluminum layer 281 on the barrier / adhesion layer 214 to a thickness of about 50 Angstroms by low energy ion implantation of about 100 electron volts (eV). Then, a copper layer 282 is applied to about 100 eV.
Low energy ion implant of about 10 Å thick on the first aluminum layer 280. Then, a second aluminum layer 283 is applied to about 10
Deposit about 50 angstroms thick on copper layer 282 by low energy ion implantation of 0 eV. The first seed layer 216 is also implanted at a vertical angle to the flat surface, as indicated by arrow 215. As one of ordinary skill in the art will appreciate upon reading this description, implanting the first seed layer 216 at a vertical angle to a flat surface,
A first seed layer 216 is formed on the bottom surface 218 of the plurality of grooves 210 and the first seed layer 216 is formed on the bottom surface 218 of the plurality of grooves 210.
Is hardly formed on the side surface 217.

【0034】 図2Eは、次の一連の処理ステップの後の構造を示す。当業者であればこの説
明を読むと理解できるように、残留するフォトレジスト層212は、障壁/接着
層214及び種層216の注入領域を画定するためのブロック層として働く。残
留するフォトレジスト層212は、当業者であれば理解できるように、ウェット
ストリッププロセスにより除去される。本発明によると、残留するフォトレジス
ト216の除去は、ウェーハ表面の他の領域、例えば、第1の絶縁層208の頂
面219上の、溝210の外側の多数の領域213から不要の種層216及び不
要の障壁/接着層214を除去するステップを含む。この構造を、図2Eに示す
FIG. 2E shows the structure after the next series of processing steps. As one of ordinary skill in the art will appreciate upon reading this description, the remaining photoresist layer 212 acts as a blocking layer to define the implant regions of the barrier / adhesion layer 214 and seed layer 216. The remaining photoresist layer 212 is removed by a wet strip process, as will be appreciated by those skilled in the art. In accordance with the present invention, removal of residual photoresist 216 is accomplished by removing unwanted seed layers from other areas of the wafer surface, such as a number of areas 213 outside the trench 210, on the top surface 219 of the first insulating layer 208. 216 and removing the unwanted barrier / adhesive layer 214. This structure is shown in FIG. 2E.

【0035】 図2Fにおいて、金属導体220または多数の第1レベルの金属ライン220
は、多数の溝210の第1の種層216上及び第1の障壁/接着層214内に付
着される。この実施例において、金属導体220または多数の第1レベルの金属
ライン220はアルミニウムであるが、本発明の他の実施例では、付着される種
層の種類に応じて、銅、銀及び金より成る群から選択される。1つの実施例にお
いて、金属導体220または多数の第1レベルの金属ライン220は、選択的C
VDプロセスにより付着される。別の実施例において、金属導体220または多
数の第1レベルの金属ライン220の種層216上への付着は、無電解めっきに
よる金属導体220の付着を含む。本発明によると、第1レベルのアルミニウム
金属ライン220は、第1の酸化物層208の頂面210まで多数の溝220を
充填するために付着される。従って、多数の溝210の第1レベルのアルミニウ
ム金属ライン220、第1の種層216及び第1の障壁/接着層214は、第1
の多数の導電構造を構成する。第1の種層216の銅の組成は、完成後の第1の
多数の導電構造が適当なパーセントの銅を含むように調整可能である。例えば、
上述の実施例において、アルミニウムと銅のサンドイッチ構造の層の厚さは、第
1の多数の導電性構造において銅が0.7重量パーセントとなるように設計され
ている。
In FIG. 2F, metal conductor 220 or a number of first level metal lines 220.
Are deposited on the first seed layer 216 of the multiple trenches 210 and in the first barrier / adhesion layer 214. In this embodiment, the metal conductor 220 or the number of first level metal lines 220 is aluminum, but in other embodiments of the invention, depending on the type of seed layer deposited, copper, silver and gold may be used. Selected from the group consisting of: In one embodiment, the metal conductor 220 or a number of first level metal lines 220 are selectively C-shaped.
Attached by VD process. In another example, depositing metal conductor 220 or multiple first level metal lines 220 on seed layer 216 comprises depositing metal conductor 220 by electroless plating. According to the present invention, a first level aluminum metal line 220 is deposited to fill a number of trenches 220 up to the top surface 210 of the first oxide layer 208. Therefore, the first level aluminum metal lines 220, the first seed layer 216 and the first barrier / adhesion layer 214 of the plurality of trenches 210 may be the first.
Of a number of conductive structures. The copper composition of the first seed layer 216 can be adjusted so that the completed first plurality of conductive structures contains a suitable percentage of copper. For example,
In the above example, the layer thickness of the aluminum and copper sandwich structure is designed to be 0.7 weight percent copper in the first majority conductive structure.

【0036】 図2Gに示すように、一連の工程を継続して実行すると、多層配線構造に任意
の数の金属層をさらに形成することができる。図2Gは、次の一連の処理ステッ
プの後の構造を示す。図2Gにおいて、デュアルダマシンプロセスにより、第1
から第2レベルのビア及び第2レベルの金属を画定し充填する。これを行うため
に、第2の酸化物層224は、ウェーハ表面、例えば金属導体220または多数
の第1レベルの金属ライン220及び第1の酸化物層208上に付着される。1
つの実施例において、第2の酸化物層224の付着は、第2のフッ化けい素酸化
物層224の付着を含む。1つの実施例において、第2の酸化物層224は、厚
さが10、000オングストロームとなるように形成される。当業者であればこ
の説明から理解できるように、他の適当な厚さの第2の酸化物層224を第1か
ら第2レベルのビア、例えば第2レベルのビアと、多数の第2のレベルの金属ラ
インを形成するに好適なように付着可能であるが、本発明はそれに限定されない
。第2の酸化物層224は、金属導体220または多数の第1レベルの金属ライ
ン220に開口する第2のレベルのビア及び多数の第2レベルの金属ラインを第
2の酸化物層224に画定するようにパターン形成される。換言すると、第2レ
ベルのビアをフォトレジストの第2のマスク226に画定した後、第2の酸化物
層224を、例えば反応性イオンエッチング(RIE)のような任意適当なプロ
セスによりエッチングして、第2レベルのビア孔228がポリイミドに画定され
るようにする。デュアルダマシンプロセスにより、多数の第2レベルの金属ライ
ンをフォトレジストの第2のマスク226に画定し、第2の酸化物層224を再
び、例えば、反応性イオンエッチング(RIE)のような任意適当なプロセスに
よりエッチングして、第2レベルの金属ライン溝230を第2の酸化物層224
に画定する。当業者は、この説明を読むと、デュアルダマシンプロセスによりフ
ォトレジスト層226をマスキングし、露光し、現像して、第2の酸化物層22
4に第2レベルのビア孔228及び第2レベルの金属ライン溝230をパターン
形成する態様を理解できるであろう。
As shown in FIG. 2G, if a series of steps are continuously performed, an arbitrary number of metal layers can be further formed in the multilayer wiring structure. FIG. 2G shows the structure after the next series of processing steps. In FIG. 2G, the dual damascene process
To define and fill a second level via and a second level metal. To do this, a second oxide layer 224 is deposited on the wafer surface, such as the metal conductor 220 or a number of first level metal lines 220 and the first oxide layer 208. 1
In one embodiment, depositing second oxide layer 224 comprises depositing second silicon fluoride oxide layer 224. In one embodiment, second oxide layer 224 is formed to have a thickness of 10,000 Angstroms. As those skilled in the art will appreciate from this description, other suitable thicknesses of the second oxide layer 224 may be applied to the first to second level vias, such as the second level vias, and a number of second level vias. It may be deposited as suitable for forming level metal lines, but the invention is not so limited. Second oxide layer 224 defines second level vias in metal conductor 220 or multiple first level metal lines 220 and multiple second level metal lines in second oxide layer 224. Pattern is formed. In other words, after defining the second level vias in the photoresist second mask 226, the second oxide layer 224 is etched by any suitable process, such as reactive ion etching (RIE). , Second level via holes 228 are defined in the polyimide. A dual damascene process defines a number of second level metal lines in the second mask 226 of photoresist and the second oxide layer 224 is again defined by any suitable method, such as reactive ion etching (RIE). The second level metal line trenches 230 are etched into the second oxide layer 224.
Demarcate. Those of ordinary skill in the art, after reading this description, will mask, expose, and develop the photoresist layer 226 by a dual damascene process to remove the second oxide layer 22.
It will be understood how to pattern the second level via holes 228 and the second level metal line trenches 230 in FIG.

【0037】 上述したように、また本発明によると、残留するフォトレジスト層226は、
第2レベルの金属ライン溝230の外側の、多数の領域232の第2の酸化物層
224上に残される。当業者であればこの説明を読むと理解できるように、適当
なプラズマ及び/またはウェット洗浄プロセスにより、第2レベルのビア孔22
8及び第2のレベル金属ライン溝230から汚染物質を除去することができる。
この構造を、図2Gに示す。
As mentioned above and according to the invention, the remaining photoresist layer 226 is
It is left on the second oxide layer 224 in a number of regions 232 outside the second level metal line trench 230. Those of ordinary skill in the art will appreciate upon reading this description that a suitable plasma and / or wet cleaning process may be used to achieve the second level via hole 22.
The contaminants can be removed from the 8 and second level metal line grooves 230.
This structure is shown in FIG. 2G.

【0038】 図2Hは、次の一連の処理ステップの後の構造を示す。図2Hにおいて、第2
の障壁/接着層234は、低エネルギーイオン注入により、第2レベルのビア孔
228及び第2レベルの金属ライン孔230に付着される。上述したように、本
発明の1つの実施例では、第2の障壁/接着層234の付着は、厚さが5乃至1
00オングストロームのジルコニウム層234の付着を含む。別の実施例におい
て、第2の障壁/接着層234の付着は、チタン及び/またはハフニウムの障壁
/接着層234の付着を含む。1つの実施例において、ジルコニウム層234の
付着は、厚さが約50オングストロームのジルコニウム層234の付着を含む。
1つの実施例において、これは1017個のジルコニウムイオン(即ち、1平方セ
ンチ当たり1017個のイオン)の注入により達成される。本発明によると、ジル
コニウム層234は、注入角度がウェーハ表面に対して鉛直の方向から15°ず
れた方向に変化する、矢印225で示すような可変角度注入法により、100電
子ボルト(eV)で、第2のポリマー層224の第2レベルのビア孔228及び
第2レベルの金属ライン溝230の表面に注入される。当業者であればこの説明
から明らかなように、注入角度がウェーハ表面に対して鉛直の方向から約15°
離れた方向に変化する可変角度注入法を用いることにより、第2レベルのビア孔
228及び第2レベルの金属ライン溝130の全ての表面上に障壁/接着層23
4が付着される。この構造を、図2Hに示す。
FIG. 2H shows the structure after the next series of processing steps. In FIG. 2H, the second
Barrier / adhesion layer 234 is deposited on second level via holes 228 and second level metal line holes 230 by low energy ion implantation. As mentioned above, in one embodiment of the invention, the deposition of the second barrier / adhesive layer 234 has a thickness of between 5 and 1.
Includes deposition of a zirconium layer 234 of 00 Angstroms. In another example, depositing the second barrier / adhesion layer 234 comprises depositing a titanium and / or hafnium barrier / adhesion layer 234. In one embodiment, depositing zirconium layer 234 comprises depositing zirconium layer 234 having a thickness of about 50 Å.
In one embodiment, this is achieved by injection of 10 17 of zirconium ions (i.e., per square centimeter 10 17 ions). In accordance with the present invention, the zirconium layer 234 is at 100 electron volts (eV) by a variable angle implant method, as indicated by arrow 225, where the implant angle varies by 15 ° from the vertical direction with respect to the wafer surface. , The surface of second level via holes 228 and second level metal line trenches 230 of the second polymer layer 224. As will be apparent to those skilled in the art from this description, the implantation angle is about 15 ° from the direction perpendicular to the wafer surface.
The barrier / adhesion layer 23 is formed on all surfaces of the second level via holes 228 and the second level metal line trenches 130 by using a variable angle implantation method that changes away.
4 is attached. This structure is shown in FIG. 2H.

【0039】 図2Iは、次の一連の処理ステップの後の構造を示す。図2Iにおいて、第2
の種層236は、低エネルギーイオン注入により、第2の障壁/接着層324上
に付着される。本発明の広い思想によると、第2の障壁/接着層214上への第
2の種層236の付着は、アルミニウム、銅、銀及び金から成る群から選択した
第2の種層236の付着を含む。しかしながら、本発明によると、種層216の
付着は、厚さが約110オングストロームのアルミニウム銅合金層216の付着
を含む。これは、約100電子ボルト(eV)の低エネルギーイオン注入により
障壁/接着層214上に第1のアルミニウム層284を約50オングストローム
の厚さに付着することにより達成可能である。銅層285はその後、第1のアル
ミニウム層284上に、約100eVの低エネルギーイオン注入により約10オ
ングストロームの厚さに付着される。その後、第2のアルミニウム層286が、
約100eVの低エネルギーイオン注入により銅層285上に約50オングスト
ロームの厚さに付着される。また、第1の種層216は、矢印237で示すよう
に、ウェーハの表面に対して鉛直の角度で注入される。当業者であればこの説明
を読むと理解できるように、平坦な表面に対して鉛直の角度で銅層236を注入
すると、第2レベルのビア孔228の底面238上に銅の第2の種層236が形
成され、第2レベルのビア孔228及び第2レベルの金属ライン溝230の側面
240上への形成はほとんどない。
FIG. 2I shows the structure after the next series of processing steps. In FIG. 2I, the second
Seed layer 236 is deposited on second barrier / adhesion layer 324 by low energy ion implantation. According to the broad idea of the invention, the deposition of the second seed layer 236 on the second barrier / adhesion layer 214 is accomplished by depositing the second seed layer 236 selected from the group consisting of aluminum, copper, silver and gold. including. However, in accordance with the present invention, depositing seed layer 216 includes depositing aluminum copper alloy layer 216 having a thickness of about 110 angstroms. This can be accomplished by depositing a first aluminum layer 284 on the barrier / adhesion layer 214 to a thickness of about 50 Å by low energy ion implantation of about 100 electron volts (eV). Copper layer 285 is then deposited over first aluminum layer 284 by low energy ion implantation of about 100 eV to a thickness of about 10 Å. Then, the second aluminum layer 286
Deposited to a thickness of about 50 Å on copper layer 285 by low energy ion implantation of about 100 eV. The first seed layer 216 is also implanted at a vertical angle with respect to the surface of the wafer, as indicated by arrow 237. As one of ordinary skill in the art will appreciate upon reading this description, implanting copper layer 236 at a vertical angle to a flat surface will result in a second seed of copper on bottom surface 238 of second level via hole 228. Layer 236 is formed, and there is little formation of second level via hole 228 and second level metal line trench 230 on side surface 240.

【0040】 図2Jは、次の一連の処理ステップの後の構造を示す。当業者であればこの説
明を読むと理解できるように、残留するフォトレジスト層226は、第2の障壁
/接着層234及び第2の種層236の注入領域を画定するためのブロック層と
して働く。残留するフォトレジスト層226は、当業者であればこの説明を読む
と理解できるように、ウェットストリッププロセスにより除去される。本発明に
よると、残留するフォトレジスト層226の除去は、ウェーハ表面の他の領域、
例えば第2の酸化物層224の頂面242上の、第2レベルの金属ライン溝23
0の外側の多数の領域232から、不要の障壁/接着層234及び不要の第2の
種層236を除去するステップを含む。この構造を、図2Jに示す。
FIG. 2J shows the structure after the next series of processing steps. Those of ordinary skill in the art will appreciate upon reading this description that the remaining photoresist layer 226 acts as a blocking layer to define the implant regions of the second barrier / adhesion layer 234 and the second seed layer 236. . The remaining photoresist layer 226 is removed by a wet strip process, as those skilled in the art will understand upon reading this description. In accordance with the present invention, removal of the residual photoresist layer 226 is accomplished by removing other areas of the wafer surface,
For example, the second level metal line trench 23 on the top surface 242 of the second oxide layer 224.
Removing unnecessary barrier / adhesion layer 234 and unnecessary second seed layer 236 from the multiple regions 232 outside 0. This structure is shown in FIG. 2J.

【0041】 図2Kにおいて、第2の金属導体244または第2のコア導体244は、ポリ
マー層の第2レベルのビア孔228及び第2レベルの金属ライン溝230の第2
の種層236上及び第2の障壁/接着層内234内に付着される。この実施例に
おいて、第2の金属導体244または第2のコア導体244はアルミニウムであ
るが、本発明の他の実施例では、銅、銀及び金より成る群から選択可能である。
1つの実施例において、第2の金属導体244または第2のコア導体244は、
選択的CVDプロセスにより付着される。別の実施例において、第2の種層23
6上及び第2の障壁/接着層234内への第2の金属導体244または第2のコ
ア導体244の付着は、無電解めっきによる第2の金属導体244または第2の
コア導体244の付着を含む。第2のアルミニウム導体244及び第2のコア導
体244は、第2レベルのビア孔228及び第2のレベル金属ライン溝230を
第2の絶縁層224の頂面242まで充填するために付着される。かくして、第
2の障壁/接着層234、第2の種層236及び第2の金属導体244または第
2のコア導体244は、多数の第2レベルのビア及び第2レベルの金属ラインが
第1の多数の導電構造、例えば第1レベルのビア207A、207B上に形成さ
れてそれと接続される第2の多数の導電構造を構成する。
In FIG. 2K, the second metal conductor 244 or the second core conductor 244 is the second level via hole 228 of the polymer layer and the second level metal line groove 230.
Deposited on the seed layer 236 and in the second barrier / adhesive layer 234. In this embodiment, the second metal conductor 244 or the second core conductor 244 is aluminum, but in other embodiments of the invention it can be selected from the group consisting of copper, silver and gold.
In one embodiment, the second metal conductor 244 or the second core conductor 244 is
It is deposited by a selective CVD process. In another embodiment, the second seed layer 23
6 depositing the second metal conductor 244 or the second core conductor 244 on the second barrier / adhesive layer 234 and by depositing the second metal conductor 244 or the second core conductor 244 by electroless plating. including. The second aluminum conductor 244 and the second core conductor 244 are deposited to fill the second level via holes 228 and the second level metal line grooves 230 to the top surface 242 of the second insulating layer 224. . Thus, the second barrier / adhesion layer 234, the second seed layer 236 and the second metal conductor 244 or the second core conductor 244 may include a number of second level vias and second level metal lines first. A second conductive structure formed on and connected to the first level vias 207A, 207B.

【0042】 銅金属ライン及び酸化物絶縁体を用いる金属インターコネクトの実施例 図3A−3Kは、本発明により集積回路に金属インターコネクト及び/または
配線構造を形成する新規な方法を示す。この新規な方法は、低エネルギーイオン
注入による集積回路の障壁/接着層及び種層を形成する方法を含む。この新規な
方法はまた、集積回路に銅、銀、アルミニウムまたは金のインターコネクトを形
成する方法を包含する。
Metal Interconnect Example Using Copper Metal Lines and Oxide Insulators FIGS. 3A-3K illustrate a novel method of forming metal interconnect and / or wiring structures in an integrated circuit in accordance with the present invention. This novel method involves forming barrier / adhesion and seed layers of integrated circuits by low energy ion implantation. The novel method also includes forming a copper, silver, aluminum or gold interconnect in the integrated circuit.

【0043】 図3Aは、集積回路構造、即ち、多数の半導体デバイスが基板に形成された集
積回路の一部を示す。図3は、デバイス構造が基板に形成され、デバイス構造へ
の接点構造が配設された構造を示す。当業者は、この説明を読めば、多数の半導
体構造、例えば、トランジスタを基板に形成する態様を理解できるであろう。当
業者は、この説明を読めば、図1Aに関連して説明したように、基板の所与の半
導体デバイスを接続する接点構造を形成する態様を理解できるであろう。例えば
、図3Aは、多数のデバイス構造、例えば、トランジスタ301A、301Bが
基板300に形成された後の構造を示す。絶縁層302は、多数の半導体構造3
01A、301Bの上に付着される。絶縁層302の付着は、厚さが100乃至
500オングストロームの範囲のSi34層の付着を含む。この絶縁層はまた、
後続の処理ステップにより生じる不純物に対して別の障壁として働く。接点孔3
05A、305Bは、フォトリソグラフィーにより多数のデバイス構造301A
、301Bに対して開口している。当業者は、この説明を読むと、フォトリソグ
ラフィーにより接点孔305A、305Bを形成する態様を理解できるであろう
。本発明の一実施例によると、けい化チタンの下地膜306A、306Bは、化
学的蒸着(CVD)のようなプロセスにより接点孔305A、305B内に形成
される。次に、タングステンのビア307A、307Bを接点孔305Aと30
5Bとに付着できる。タングステンのビア307A、307Bは、CVDのよう
な任意適当な方法により接点孔内に付着可能である。余剰のタングステンはその
後、化学的機械研磨(CMP)または他の適当なプロセスによりウェーハ表面か
ら除去して、平坦な表面309を形成する。
FIG. 3A shows an integrated circuit structure, that is, a part of an integrated circuit in which a large number of semiconductor devices are formed on a substrate. FIG. 3 shows a structure in which a device structure is formed on a substrate and a contact structure to the device structure is arranged. Those of ordinary skill in the art will understand, after reading this description, how to form numerous semiconductor structures, eg, transistors, on a substrate. One of ordinary skill in the art, after reading this description, will understand how to form a contact structure connecting a given semiconductor device on a substrate, as described in connection with FIG. 1A. For example, FIG. 3A illustrates a number of device structures, eg, after transistors 301A, 301B have been formed on substrate 300. Insulating layer 302 is used in multiple semiconductor structures 3
It is attached on 01A and 301B. Deposition of the insulating layer 302 has a thickness including the attachment the Si 3 N 4 layer in the range of 100 to 500 angstroms. This insulating layer also
It acts as another barrier to impurities created by subsequent processing steps. Contact hole 3
05A and 305B are photolithography-based multiple device structures 301A.
, 301B. Those skilled in the art will understand how to form the contact holes 305A and 305B by photolithography after reading this description. According to one embodiment of the present invention, titanium silicide underlayer films 306A, 306B are formed in the contact holes 305A, 305B by a process such as chemical vapor deposition (CVD). Next, the tungsten vias 307A and 307B are connected to the contact holes 305A and 30.
Can be attached to 5B. The tungsten vias 307A, 307B can be deposited in the contact holes by any suitable method such as CVD. Excess tungsten is then removed from the wafer surface by chemical mechanical polishing (CMP) or other suitable process to form a flat surface 309.

【0044】 図3Bに示すように、第1のポリマー層308または第1のポリイミド層30
8はウェーハ表面上に付着される。第1の酸化物層308を、例えば、CVDの
ような任意適当なプロセスにより付着することができる。1つの実施例において
、第1の酸化物層308の付着は、フッ化けい素酸化物層308の付着を含む。
1つの実施例において、第1の酸化物層308は、約5000オングストローム
の厚さに付着する。当業者であればこの説明を読むと理解できるように、他の適
当な厚さの第1の酸化物層308を第1レベルの金属パターンの形成に適当なよ
うに付着できるが、本発明はこれに限定されない。第1の酸化物層308は、平
坦な表面309の、例えばタングステンのビア307A、307Bのような多数
の第1レベルのビアに開口する多数の溝310を第1の酸化物層308に画定す
るようにパターン形成される。換言すれば、第1レベルの金属パターン310を
フォトレジストのマスク312に画定した後、第1の酸化物層308を、例えば
、反応性イオンエッチング(RIE)のような任意適当なプロセスによりエッチ
ングして、第1レベルの金属パターン310が第1の酸化物層308に画定され
るようにする。本発明によると、残留するフォトレジスト層312は、多数の溝
310の外側の多数の領域313の第1の酸化物層318上に残す。この構造を
、図3Bに示す。
As shown in FIG. 3B, first polymer layer 308 or first polyimide layer 30
8 is deposited on the wafer surface. The first oxide layer 308 can be deposited by any suitable process such as, for example, CVD. In one example, depositing first oxide layer 308 comprises depositing silicon fluoride oxide layer 308.
In one example, the first oxide layer 308 is deposited to a thickness of about 5000 Å. As one of ordinary skill in the art will appreciate upon reading this description, other suitable thicknesses of the first oxide layer 308 can be deposited as appropriate for forming the first level metal pattern, but the present invention is not limited to this. It is not limited to this. The first oxide layer 308 defines a number of trenches 310 in the first oxide layer 308 that open into a number of first level vias in the planar surface 309, eg, tungsten vias 307A, 307B. To be patterned. In other words, after defining the first level metal pattern 310 in the photoresist mask 312, the first oxide layer 308 is etched by any suitable process, such as, for example, reactive ion etching (RIE). So that the first level metal pattern 310 is defined in the first oxide layer 308. According to the present invention, the remaining photoresist layer 312 is left on the first oxide layer 318 in the multiple regions 313 outside the multiple trenches 310. This structure is shown in FIG. 3B.

【0045】 図3Cに示すように、第1の障壁/接着層314は、低エネルギーイオン注入
により多数の溝310に付着される。本発明の一実施例によると、障壁/接着層
314の付着は、厚さが約5乃至100オングストロームの窒化タンタル層31
4の付着を含む。別の実施例では、障壁/接着層314の付着は、タンタル及び
/またはCuTiの障壁/接着層314の付着を含む。1つの実施例において、
窒化タンタルの層314の付着は、矢印311で示すように、注入角度が平坦な
表面309に対する鉛直方向から約15°はずれた方向に変化する可変角度の、
約100電子ボルト(eV)の低エネルギーイオン注入法により、タンタルの層
381を約100オングストロームの厚さに付着するステップを含む。1つの実
施例において、これは、1017個のタンタルイオンの注入により達成される。次
に、本発明によると、窒素の層382が700電子ボルト(eV)でタンタルの
層381に注入される。1つの実施例において、これは、8×1016個の窒素イ
オンの注入により達成される。当業者であればこの説明から理解できるように、
注入角度が平坦な表面309に対して鉛直の方向から15°はずれた方向に変化
する可変角度注入法を用いると、多数の溝310の全ての表面上に障壁/接着層
314が付着される。この構造を、図3Cに示す。
As shown in FIG. 3C, the first barrier / adhesion layer 314 is deposited in the multiple trenches 310 by low energy ion implantation. According to one embodiment of the invention, the barrier / adhesion layer 314 deposition is performed with a tantalum nitride layer 31 having a thickness of about 5 to 100 angstroms.
Including 4 deposits. In another example, the barrier / adhesion layer 314 deposition comprises tantalum and / or CuTi barrier / adhesion layer 314 deposition. In one embodiment,
The deposition of the layer of tantalum nitride 314 is of variable angle, as shown by arrow 311, with the implant angle varying by about 15 ° from the vertical with respect to the flat surface 309.
Depositing a layer of tantalum 381 to a thickness of about 100 angstroms by low energy ion implantation of about 100 electron volts (eV). In one embodiment, this is accomplished by implanting 10 17 tantalum ions. Next, according to the present invention, a layer of nitrogen 382 is implanted in the layer of tantalum 381 at 700 electron volts (eV). In one embodiment, this is accomplished by implanting 8 × 10 16 nitrogen ions. As those skilled in the art can understand from this description,
A barrier / adhesion layer 314 is deposited on all surfaces of the multiple trenches 310 using a variable angle implant method in which the implant angle varies 15 ° from the vertical with respect to the flat surface 309. This structure is shown in FIG. 3C.

【0046】 図3Dにおいて、第1の種層316は、低エネルギーイオン注入により第1の
障壁/接着層314上に付着される。本発明の広い思想によると、障壁/接着層
314上への種層316の付着は、アルミニウム、銅、銀及び金から成る群から
選択した種層316の付着を含む。しかしながら、本発明によると、種層316
の付着は、厚さが約50オングストロームの銅層316の付着を含む。これは、
8×1016個の銅イオンの注入により達成可能である。本発明によると、低エネ
ルギーイオン注入は、100電子ボルト(eV)での第1の障壁/接着層314
への銅層316の注入を含む。また、銅層316は、矢印315で示すように、
平坦な表面309に対して鉛直の角度で注入される。当業者であればこの説明か
ら理解できるように、平坦な表面に対する鉛直の角度で銅層316を注入すると
、銅の種層316は多数の溝310の底面318上に形成され、多数の溝310
の側面324にはほとんど形成されない。1つの実施例において、オプションと
してのアルミニウム層321は、再び、100電子ボルト(eV)の低エネルギ
ーイオン注入により銅の種層316上に付着される。オプションとしてのアルミ
ニウム層321は、約50オングストロームの厚さに付着される。これは、ウェ
ーハ表面に対して鉛直に3×1016個のアルミニウムイオンを注入することによ
り達成可能である。当業者であればこの説明から理解できるように、アルミニウ
ム層321は、後続の処理ステップの前に銅の種層316が酸化しないように保
護する目的で使用する。この構造を、図3Tに示す。
In FIG. 3D, a first seed layer 316 is deposited on the first barrier / adhesion layer 314 by low energy ion implantation. In accordance with the broad idea of the invention, depositing a seed layer 316 on the barrier / adhesion layer 314 includes depositing a seed layer 316 selected from the group consisting of aluminum, copper, silver and gold. However, according to the present invention, the seed layer 316
Depositing includes depositing a copper layer 316 having a thickness of about 50 Angstroms. this is,
This can be achieved by implanting 8 × 10 16 copper ions. In accordance with the present invention, low energy ion implantation involves first barrier / adhesion layer 314 at 100 electron volts (eV).
Implanting a copper layer 316 therein. In addition, the copper layer 316, as shown by the arrow 315,
Implanted at a vertical angle to the flat surface 309. Those skilled in the art will appreciate from this description that when the copper layer 316 is implanted at an angle perpendicular to the flat surface, the copper seed layer 316 is formed on the bottom surface 318 of the plurality of grooves 310 and the plurality of grooves 310.
Is hardly formed on the side surface 324 of the. In one embodiment, an optional aluminum layer 321 is again deposited on the copper seed layer 316 by low energy ion implantation of 100 electron volts (eV). An optional aluminum layer 321 is deposited to a thickness of about 50 Å. This can be achieved by implanting 3 × 10 16 aluminum ions vertically to the wafer surface. As those skilled in the art will appreciate from this description, aluminum layer 321 is used to protect copper seed layer 316 from oxidation prior to subsequent processing steps. This structure is shown in FIG. 3T.

【0047】 図3Eは、後続の一連の処理ステップの後の構造を示す。当業者であればこの
説明を読んで理解できるように、残留するフォトレジスト層312は、障壁/接
着層314、種層316及びアルミニウム層321の注入領域を画定するための
ブロック層として働く。残留するフォトレジスト層312は、当業者であればこ
の説明を読んで理解できるように、ウェットストリッププロセスにより除去され
る。本発明によると、残留するフォトレジスト層312の除去は、ウェーハ表面
の他の領域、例えば、第1の絶縁層308の頂面319上の、溝310の外側の
多数の領域から不要なアルミニウム層321、不要な種層316及び不要な障壁
/接着層314を除去するステップを含む。この構造を、図3Eに示す。
FIG. 3E shows the structure after a subsequent series of processing steps. As one of ordinary skill in the art will appreciate upon reading this description, the remaining photoresist layer 312 acts as a blocking layer to define the implant regions of the barrier / adhesion layer 314, seed layer 316 and aluminum layer 321. The remaining photoresist layer 312 is removed by a wet strip process, as those skilled in the art can read and understand this description. In accordance with the present invention, removal of the remaining photoresist layer 312 is accomplished by removing unwanted aluminum layers from other areas of the wafer surface, such as a number of areas outside the trench 310, on the top surface 319 of the first insulating layer 308. 321, removing unnecessary seed layer 316 and unnecessary barrier / adhesion layer 314. This structure is shown in FIG. 3E.

【0048】 図3Fにおいて、金属導体320または多数の第1レベルの金属ライン320
は、多数の溝310の種層310上に付着される。本発明によると、金属導体3
20または多数の第1レベルの金属ライン320は銅である。1つの実施例にお
いて、金属導体320または多数の第1レベルの金属ライン320は選択的CV
Dプロセスにより付着される。別の実施例において、種層316への金属導体3
20または多数の第1レベルの金属ライン320の付着は、無電解メッキによる
金属導体320の付着を含む。銅の無電解メッキは、第1の酸化物層308の頂
面319から約100オングストローム下方のレベルまで溝310を充填するに
十分な銅を付着するために用いる。この点で、窒化タンタルの第2の層323は
、銅の金属導体320または多数の第1レベルの銅ライン320上に約100オ
ングストロームの厚さに付着される。その後、化学的機械研磨(CMP)洗浄プ
ロセスを用いて第1の酸化物層308の頂面319から窒化タンタルを除去する
In FIG. 3F, metal conductor 320 or a number of first level metal lines 320.
Are deposited on the seed layer 310 of the multiple grooves 310. According to the invention, the metal conductor 3
Twenty or many first level metal lines 320 are copper. In one embodiment, the metal conductor 320 or multiple first level metal lines 320 are selectively CVs.
It is attached by the D process. In another embodiment, the metal conductor 3 to the seed layer 316
Depositing twenty or many first level metal lines 320 includes depositing metal conductors 320 by electroless plating. Electroless plating of copper is used to deposit sufficient copper to fill trench 310 to a level about 100 Angstroms below top surface 319 of first oxide layer 308. In this regard, a second layer of tantalum nitride 323 is deposited on the copper metal conductor 320 or multiple first level copper lines 320 to a thickness of about 100 Å. Thereafter, a chemical mechanical polishing (CMP) cleaning process is used to remove the tantalum nitride from the top surface 319 of the first oxide layer 308.

【0049】 図3Gに示すように、一連の工程を継続して実行すると、多層配線構造に任意
の数の金属層をさらに形成することができる。図3Gは、次の一連の処理ステッ
プの後の構造を示す。図3Gにおいて、デュアルダマシンプロセスを用いて、第
2レベルのビア及び第2レベルの金属へ第1から第2レベルのビア及び第1レベ
ルの金属を画定し充填する。これを行うために、第2の酸化物層324は、ウェ
ーハ表面、例えば、金属導体320または多数の第1レベルの金属ライン320
及び第1の酸化物層308上に付着される。第2の酸化物層324は、再び任意
適当な方法により付着される。1つの実施例において、第2の酸化物層324の
付着は、フッ化けい素酸化物層324の付着を含む。1つの実施例において、第
2の酸化物層324は、約10、000オングストロームの厚さに付着される。
当業者であればこの説明から理解できるように、他の適当な厚さの第2の酸化物
層324を、第1から第2のレベルへのビア、例えば第2レベルのビア、及び多
数の第2レベルの金属ラインの形成に適当なように付着できるが、本発明はそれ
に限定されない。第2の酸化物層324は、金属導体320または多数の第1レ
ベルの金属ライン320に開口する第2レベルのビア及び多数の第2レベルの金
属ラインを第2の酸化物層324に画定するためにパターン形成される。換言す
ると、第2レベルのビアをフォトレジストの第2のマスク326に画定した後、
第2の酸化物層324を、例えば反応性イオンエッチング(RIE)のような任
意適当なプロセスによりエッチングして、第2レベルのビア孔328が第2の酸
化物層324に画定されるようにする。デュアルダマシンプロセスを用いて多数
の第2レベルの金属ラインをフォトレジストの第2のマスク326に画定し、第
2の酸化物層324を再び反応性イオンエッチング(RIE)のような任意適当
なプロセスによりエッチングして、第2レベルの金属ラインの溝330が酸化物
層に画定されるようにする。当業者は、この説明を読めば、デュアルダマシンプ
ロセスを用いてフォトレジスト層326をマスキングし、露光し、現像して、第
2の酸化物層324に第2レベルのビア孔328と第2のレベルの金属ライン溝
330をパターン形成する態様が理解できるであろう。
As shown in FIG. 3G, if a series of steps are continuously performed, an arbitrary number of metal layers can be further formed in the multilayer wiring structure. FIG. 3G shows the structure after the next series of processing steps. In FIG. 3G, a dual damascene process is used to define and fill the first to second level vias and first level metal into the second level vias and second level metal. To do this, the second oxide layer 324 is applied to the wafer surface, eg, the metal conductor 320 or a number of first level metal lines 320.
And on the first oxide layer 308. The second oxide layer 324 is again deposited by any suitable method. In one example, depositing the second oxide layer 324 includes depositing a silicon fluoride oxide layer 324. In one embodiment, the second oxide layer 324 is deposited to a thickness of about 10,000 Angstroms.
As those skilled in the art will appreciate from this description, other suitable thicknesses of the second oxide layer 324 may be provided, such as first to second level vias, eg, second level vias, and numerous other layers. Although suitable for forming second level metal lines, the invention is not so limited. The second oxide layer 324 defines a second level via in the second oxide layer 324 and a second level via opening to the metal conductor 320 or a number of first level metal lines 320. Patterned for. In other words, after defining the second level vias in the second mask 326 of photoresist,
The second oxide layer 324 is etched by any suitable process such as, for example, reactive ion etching (RIE) so that second level via holes 328 are defined in the second oxide layer 324. To do. A number of second level metal lines are defined in the photoresist second mask 326 using a dual damascene process, and the second oxide layer 324 is again defined by any suitable process such as reactive ion etching (RIE). To etch the second level metal line trenches 330 in the oxide layer. Those of ordinary skill in the art, after reading this description, will use a dual damascene process to mask, expose, and develop the photoresist layer 326 to the second oxide layer 324 and the second level via holes 328 and second. The manner in which the level metal line trenches 330 are patterned will be appreciated.

【0050】 上述したように、また本発明に従って、残留するフォトレジスト層326は、
第2レベルの金属ライン溝330の外側の、多数の領域332の第2の酸化物層
324の上に残される。当業者であればこの説明を読むと理解できるように、適
当なプラズマ及び/またはウェット洗浄プロセスを用いて、第2レベルのビア孔
328及び第2レベルの金属ライン溝330から汚染物質を除去する。この構造
を、図3Gに示す。
As noted above, and in accordance with the present invention, the remaining photoresist layer 326 is
Outside the second level metal line trench 330, it is left over the second oxide layer 324 in a number of regions 332. Those of ordinary skill in the art will appreciate upon reading this description that a suitable plasma and / or wet cleaning process is used to remove contaminants from the second level via holes 328 and the second level metal line trenches 330. . This structure is shown in FIG. 3G.

【0051】 図3Hは、次の一連の処理ステップの後の構造を示す。図3Hでは、第2の障
壁/接着層334は、低エネルギーイオン注入により、第2レベルのビア孔32
8及び第2レベルの金属ライン溝330に付着される。上述したように、本発明
の1つの実施例によると、第2の障壁/接着層334の付着は、厚さが約5乃至
100オングストロームの窒化タンタル層334の付着を含む。別の実施例にお
いて、第2の障壁/接着層334の付着は、タンタル及び/またはCuTiの第
2の障壁/接着層334の付着を含む。1つの実施例において、窒化タンタル層
334の付着は、例えば、注入角度がウェーハの表面に対する鉛直方向から約1
5°はずれた方向に変化する可変角度の、約100電子ボルト(eV)の低エネ
ルギーイオン注入法を用いて、タンタルの層383を約100オングストローム
の厚さに付着するステップを含む。1つの実施例において、これは、1017個の
タンタルイオンの注入により達成される。次に、本発明によると、窒素の層38
4を、700電子ボルト(eV)でタンタルの層383に注入する。当業者であ
ればこの説明からわかるように、注入角度がウェーハ表面に鉛直の方向から約1
5°はずれた方向に変化する可変角度注入法を使用すると、第2の障壁/接着層
334が、第2の酸化物層324に形成された第2レベルのビア孔328及び第
2レベルの金属ライン溝330の全ての表面上に付着される。
FIG. 3H shows the structure after the next series of processing steps. In FIG. 3H, the second barrier / adhesion layer 334 is formed by low energy ion implantation to the second level via hole 32.
8 and second level metal line grooves 330 are deposited. As mentioned above, according to one embodiment of the present invention, depositing the second barrier / adhesion layer 334 comprises depositing a tantalum nitride layer 334 having a thickness of about 5-100 Angstroms. In another example, depositing the second barrier / adhesive layer 334 comprises depositing a second barrier / adhesive layer 334 of tantalum and / or CuTi. In one embodiment, the tantalum nitride layer 334 is deposited by, for example, implanting an angle of about 1 from a vertical direction with respect to the surface of the wafer.
Depositing a layer of tantalum 383 to a thickness of about 100 Angstroms using a low energy ion implantation method of about 100 electron volts (eV) with variable angles varying by 5 °. In one embodiment, this is accomplished by implanting 10 17 tantalum ions. Next, according to the present invention, a layer of nitrogen 38
4 is implanted in the tantalum layer 383 at 700 electron volts (eV). Those skilled in the art will understand from this description that the implantation angle is about 1 from the direction vertical to the wafer surface.
Using the variable angle implant method, which is offset by 5 °, the second barrier / adhesion layer 334 forms a second level via hole 328 formed in the second oxide layer 324 and a second level metal. It is attached on all surfaces of the line groove 330.

【0052】 図3Iは、次の一連の処理ステップの後の構造を示す。図3Iにおいて、第2
の種層336は、低エネルギーイオン注入により第2の障壁/接着層334上に
付着される。本発明の広い思想によると、第2の障壁/接着層314への第2の
種層336の付着はアルミニウム、銅、銀及び金より成る群から選択した第2の
種層336の付着を含む。しかしながら、本発明によると、第2の種層336の
付着は、厚さが約50オングストロームの第2の銅層336の付着を含む。1つ
の実施例において、これは、8×1016個の銅イオンの注入により達成される。
本発明によると、低エネルギーイオン注入は、ポリマー層の第2レベルのビア孔
328及び第2レベルの金属ライン溝330の表面への、100電子ボルト(e
V)での、銅層336の注入を含む。また、銅層336は、矢印337で示すよ
うに、ウェーハの表面に対して鉛直の角度で注入される。当業者であればこの説
明から明らかなように、銅層336をウェーハ表面に鉛直の角度で注入すると、
銅の第2の種層336が第2レベルのビア孔328の底面338上に形成され、
第2レベルのビア孔328と第2レベルの金属ライン溝330の側面340上に
はほとんど形成されない。1つの実施例において、オプションとしてのアルミニ
ウム層341は、再び100電子ボルト(eV)の低エネルギーイオン注入によ
り銅の第2の種層336上に付着される。オプションとしてのアルミニウム層は
、約50オングストロームの厚さに付着される。1つの実施例において、これは
、ウェーハ表面に対して鉛直に3×1016個のアルミニウムイオンを注入するこ
とにより達成される。当業者であればこの説明からわかるように、アルミニウム
層341により、後続の処理ステップの前に銅の第2の種層336が酸化しない
ように保護する。この構造を、図3Iに示す。
FIG. 3I shows the structure after the next series of processing steps. In FIG. 3I, the second
Seed layer 336 is deposited on second barrier / adhesion layer 334 by low energy ion implantation. In accordance with the broad idea of the invention, depositing the second seed layer 336 on the second barrier / adhesion layer 314 comprises depositing a second seed layer 336 selected from the group consisting of aluminum, copper, silver and gold. . However, according to the present invention, depositing the second seed layer 336 includes depositing a second copper layer 336 having a thickness of about 50 Å. In one embodiment, this is accomplished by implanting 8 × 10 16 copper ions.
In accordance with the present invention, low energy ion implantation is performed at 100 eV (e) to the surface of the second level via holes 328 and the second level metal line grooves 330 in the polymer layer.
V) including implantation of copper layer 336. The copper layer 336 is also implanted at a vertical angle with respect to the surface of the wafer, as indicated by arrow 337. Those skilled in the art will appreciate from this description that when the copper layer 336 is implanted into the wafer surface at a vertical angle,
A second seed layer 336 of copper is formed on the bottom surface 338 of the second level via hole 328,
It is hardly formed on the side surface 340 of the second level via hole 328 and the second level metal line groove 330. In one embodiment, an optional aluminum layer 341 is again deposited on the copper second seed layer 336 by low energy ion implantation of 100 electron volts (eV). An optional aluminum layer is deposited to a thickness of about 50 Å. In one embodiment, this is accomplished by implanting 3 × 10 16 aluminum ions perpendicular to the wafer surface. Those skilled in the art will appreciate from this description that aluminum layer 341 protects copper second seed layer 336 from oxidation prior to subsequent processing steps. This structure is shown in FIG. 3I.

【0053】 図3Jは、次の一連の処理ステップの後の構造を示す。当業者であればこの説
明から明らかなように、残留するフォトレジスト層326は、第2の障壁/接着
層334、第2の種層336及びアルミニウム層341の注入領域を画定するた
めのブロック層として働く。当業者であればこの説明から明らかなように、残留
するフォトレジスト層326は、ウェットストリッププロセスにより除去される
。本発明によると、残留するフォトレジスト層326の除去は、ウェーハ表面の
他の領域、例えば、第2の絶縁層324の頂面342上の、第2レベルの金属ラ
イン溝330の外側の多数の領域332から不要のアルミニウム層341、不要
の種層336及び不要の障壁/接着層334を除去するステップを含む。この構
造を、図3Jに示す。
FIG. 3J shows the structure after the next series of processing steps. Those skilled in the art will appreciate from this description that the remaining photoresist layer 326 is a blocking layer for defining the implant regions of the second barrier / adhesion layer 334, the second seed layer 336 and the aluminum layer 341. Work as. Those skilled in the art will appreciate from this description that the residual photoresist layer 326 is removed by a wet strip process. In accordance with the present invention, removal of the residual photoresist layer 326 is accomplished by removing a number of other areas of the wafer surface, such as on the top surface 342 of the second insulating layer 324, outside the second level metal line trenches 330. Removing unnecessary aluminum layer 341, unnecessary seed layer 336 and unnecessary barrier / adhesion layer 334 from region 332. This structure is shown in FIG. 3J.

【0054】 図3Kにおいて、第2の金属導体334または第2のコア導体344は、ポリ
マー層の第2レベルのビア孔328及び第2レベルの金属ライン溝330の第2
の種層336上及び第2の障壁/接着層334内に付着される。この実施例にお
いて、第2の金属導体344または第2のコア導体344は銅であるが、本発明
の他の実施例では、アルミニウム、銀及び金より成る群から選択できる。1つの
実施例において、第2の金属導体344または第2のコア導体344は選択的C
VDプロセスにより付着される。別の実施例において、第2の種層336上及び
第2の障壁/接着層334内への第2の金属導体344または第2のコア導体3
44の付着は、無電解メッキにより第2の金属導体344または第2のコア導体
344を付着するステップを含む。銅の無電解メッキにより、第2の絶縁層32
4の頂面342から約100オングストローム下方のレベルまで第2レベルのビ
ア孔328及び第2レベルの金属ライン溝330を充填するに十分な銅を付着さ
せる。この点において、窒化タンタルの第2の層346は、第2の金属導体34
4または第2のコア導体344上に約100オングストロームの厚さに付着され
る。その後、化学的機械研磨(CMP)洗浄プロセスを用いて、第2の絶縁層3
24の頂面342から窒化タンタルを除去する。かくして、第2の障壁/接着層
344、第2の種層336及び第2の金属導体344または第2のコア導体34
4は、多数の第2レベルのビア及び多数の第2レベルの金属ラインが第1の多数
の導電構造、例えば、金属導体320または多数の第1レベルの金属ライン32
0上に形成されてそれらと接続される第2の多数の導電構造を構成する。
In FIG. 3K, the second metal conductor 334 or the second core conductor 344 is the second level via hole 328 of the polymer layer and the second level metal line groove 330 of the second level.
Deposited on the seed layer 336 and in the second barrier / adhesive layer 334. In this embodiment, the second metal conductor 344 or the second core conductor 344 is copper, but in other embodiments of the invention it can be selected from the group consisting of aluminum, silver and gold. In one embodiment, the second metal conductor 344 or the second core conductor 344 is a selective C
Attached by VD process. In another embodiment, the second metal conductor 344 or second core conductor 3 on the second seed layer 336 and into the second barrier / adhesion layer 334.
Depositing 44 includes depositing second metal conductor 344 or second core conductor 344 by electroless plating. The second insulating layer 32 is formed by electroless plating of copper.
Sufficient copper is deposited to fill the second level via holes 328 and the second level metal line trenches 330 from the top surface 342 to a level about 100 angstroms below. In this regard, the second layer of tantalum nitride 346 is coupled to the second metal conductor 34.
Deposited to a thickness of about 100 angstroms on the fourth or second core conductor 344. Then, using a chemical mechanical polishing (CMP) cleaning process, the second insulating layer 3
Tantalum nitride is removed from the top surface 342 of 24. Thus, the second barrier / adhesion layer 344, the second seed layer 336 and the second metal conductor 344 or the second core conductor 34.
4 includes a number of second level vias and a number of second level metal lines as a first number of conductive structures, eg, metal conductors 320 or number of first level metal lines 32.
A second multiplicity of conductive structures formed on and connected to the zeros.

【0055】 銅を用いる金属インターコネクトの別の実施例 図4A−4Lは、本発明により集積回路に金属インターコネクト及び/または
配線構造を形成する新規な方法を示す。この方法は、低エネルギーイオン注入に
より集積回路に障壁/接着層と種層とを形成する新規なものである。この新規な
方法はまた、集積回路に銅、銀、アルミニウムまたは金のインターコネクトを形
成する方法を包含する。
Alternative Embodiments of Copper Metal Interconnects FIGS. 4A-4L illustrate a novel method of forming metal interconnect and / or wiring structures in an integrated circuit in accordance with the present invention. This method is a novel way of forming barrier / adhesion layers and seed layers in integrated circuits by low energy ion implantation. The novel method also includes forming a copper, silver, aluminum or gold interconnect in the integrated circuit.

【0056】 図4Aは、基板に多数の半導体デバイスが形成された集積回路構造の一部を示
す。図4Aは、デバイス構造が基板に形成され、デバイス構造への接点構造が配
設された後の構造を示す。当業者は、この説明を読めば、多数の半導体構造、例
えば、トランジスタを基板に形成する態様が理解できるであろう。当業者はまた
、図1Aに関連して説明したように、接点構造を基板の所与の半導体デバイスに
接続するよう形成する態様がわかるであろう。例えば、図4Aは、多数のデバイ
ス構造、例えば、トランジスタ401A、401Bを基板400に形成した後の
構造を示す。絶縁層402は、多数の半導体デバイス401A、401Bの上に
付着されている。絶縁層402の付着は、厚さが100乃至500オングストロ
ームの範囲にあるSi34の層の付着を含む。この絶縁層はまた、後続の処理ス
テップで生じる不純物に対する別の障壁として働く。接点孔405A、405B
は、フォトリソグラフィーにより多数のデバイス構造401A、401Bに開口
するように形成される。当業者は、この説明を読めば、リソグラフィーにより接
点孔405A、405Bを形成する態様がわかるであろう。本発明の1つの実施
例によると、けい化チタンの下地膜406A、406Bが、化学的蒸着(CVD
)のようなプロセスにより接点孔405A、405B内に形成される。次に、接
点孔405A、405B内にタングステンのビア407A、407Bを付着でき
る。タングステンのビア407A、407Bは、CVDのような任意適当な方法
により接点孔内に付着できる。その後、余剰のタングステンを、化学的機械研磨
(CMP)または他の適当なプロセスによりウェーハ表面から除去して、平坦な
表面409を形成する。
FIG. 4A shows a part of an integrated circuit structure in which a large number of semiconductor devices are formed on a substrate. FIG. 4A shows the structure after the device structure is formed on the substrate and the contact structure to the device structure is disposed. Those of ordinary skill in the art will understand, upon reading this description, how to form numerous semiconductor structures, eg, transistors, on a substrate. One of ordinary skill in the art will also appreciate the manner in which contact structures are formed to connect to a given semiconductor device on a substrate, as described in connection with FIG. 1A. For example, FIG. 4A illustrates a number of device structures, for example, after forming transistors 401A, 401B on substrate 400. The insulating layer 402 is deposited on the multiple semiconductor devices 401A, 401B. Deposition of insulating layer 402 includes deposition of a layer of Si 3 N 4 having a thickness in the range of 100 to 500 Å. This insulating layer also acts as another barrier to impurities that may arise in subsequent processing steps. Contact holes 405A, 405B
Are formed by photolithography so as to open in a large number of device structures 401A and 401B. Those skilled in the art will understand how to form the contact holes 405A and 405B by lithography after reading this description. According to one embodiment of the present invention, titanium silicide underlayer films 406A, 406B are formed by chemical vapor deposition (CVD).
) Is formed in the contact holes 405A and 405B. Next, tungsten vias 407A, 407B can be deposited in the contact holes 405A, 405B. The tungsten vias 407A, 407B can be deposited in the contact holes by any suitable method such as CVD. The excess tungsten is then removed from the wafer surface by chemical mechanical polishing (CMP) or other suitable process to form a flat surface 409.

【0057】 図4Bに示すように、第1のポリマー層408またはポリイミドの第1の層4
08は、ウェーハ表面上に付着される。第1のポリマー層408は、例えば、本
願の一部として引用する米国特許出願第09/128,859号(発明の名称:
"Copper Metallurgy in Integrated Circuits")に記載されたプロセス及び材料
を用いて付着することができる。1つの実施例において、第1のポリマー層40
8の付着は、発泡ポリマー層408の付着を含む。1つの実施例において、ポリ
イミドの第1の層408が付着され、硬化すると、厚さ5000オングストロー
ムのポリマー層408が形成される。当業者であればこの説明を読むと理解でき
るように,他の適当な厚さの第1のポリイミド層408または絶縁層/材料40
8を、第1レベルの金属パターンの形成に合うように付着することも可能である
が、本発明はこれに限定されない。第1のポリイミド層408または第1の絶縁
層/材料408は、例えば、平坦な表面409のタングステンのビア407A、
407Bのような多数の第1レベルのビアに開口する多数の溝410を第1の絶
縁層408に画定するためにパターン形成される。換言すれば、第1レベルの金
属パターン410をフォトレジストのマスク412に画定した後、第1のポリイ
ミド層408を反応性イオンエッチング(RIE)のような任意適当なプロセス
でエッチングして、第1レベルの金属パターン410がポリイミド層に画定され
るようにする。本発明によると、残留するフォトレジスト層412は、多数の溝
410の外側の多数の領域413の第1の絶縁層408上に残される。この構造
を、図4Bに示す。
As shown in FIG. 4B, first polymer layer 408 or polyimide first layer 4
08 is deposited on the wafer surface. The first polymer layer 408 may be, for example, US patent application Ser. No. 09 / 128,859 (Title of the Invention:
It can be deposited using the processes and materials described in "Copper Metallurgy in Integrated Circuits"). In one embodiment, the first polymer layer 40
Deposition of 8 includes deposition of foamed polymer layer 408. In one embodiment, a first layer of polyimide 408 is deposited and cured to form a 5000 angstrom thick polymer layer 408. Those of ordinary skill in the art will appreciate upon reading this description that the first polyimide layer 408 or insulating layer / material 40 of any other suitable thickness is used.
It is also possible to deposit 8 to suit the formation of the first level metal pattern, but the invention is not so limited. The first polyimide layer 408 or the first insulating layer / material 408 may be, for example, a tungsten via 407A on a flat surface 409,
Patterned to define a number of trenches 410 in the first insulating layer 408 that open to a number of first level vias, such as 407B. In other words, after defining the first level metal pattern 410 in the photoresist mask 412, the first polyimide layer 408 is etched by any suitable process such as reactive ion etching (RIE) to form the first polyimide layer 408. Allow the level metal pattern 410 to be defined in the polyimide layer. According to the present invention, the remaining photoresist layer 412 is left on the first insulating layer 408 in the multiple regions 413 outside the multiple trenches 410. This structure is shown in FIG. 4B.

【0058】 図4Cに示すように、第1の障壁/接着層414は、低エネルギーイオン注入
により多数の溝410に付着される。本発明の1つの実施例によると、障壁/接
着層414の付着は、厚さが約5乃至100オングストロームのジルコニウム層
414の付着を含む。別の実施例において、障壁/接着層414の付着は、チタ
ン及び/またはハフニウムの障壁/接着層414の付着を含む。1つの実施例に
おいて、ジルコニウム層414の付着は、厚さが約15オングストロームのジル
コニウム層414の付着を含む。これは、1017個のジルコニウムイオンの注入
により達成可能である。本発明によると、ジルコニウム層414は、矢印411
で示すような、ウェーハ表面に対して鉛直の注入方向を用い、100電子ボルト
(eV)で、ポリマー層408の溝410の表面に注入される。この構造を、図
4Cに示す。
As shown in FIG. 4C, the first barrier / adhesion layer 414 is deposited in the multiple grooves 410 by low energy ion implantation. According to one embodiment of the invention, depositing the barrier / adhesion layer 414 comprises depositing a zirconium layer 414 having a thickness of about 5-100 angstroms. In another embodiment, depositing the barrier / adhesion layer 414 comprises depositing a titanium and / or hafnium barrier / adhesion layer 414. In one example, depositing zirconium layer 414 includes depositing zirconium layer 414 having a thickness of about 15 Å. This can be achieved by implanting 10 17 zirconium ions. According to the present invention, the zirconium layer 414 has an arrow 411.
Injecting into the surface of the groove 410 of the polymer layer 408 at 100 electron volts (eV) using an injection direction vertical to the wafer surface as shown in FIG. This structure is shown in FIG. 4C.

【0059】 図4Dにおいて、第1の種層416は、低エネルギーイオン注入により第1の
障壁/接着層414上に付着される。本発明の広い思想によると、障壁/接着層
414上の種層416の付着は、アルミニウム、銅、銀及び金より成る群から選
択した種層416の付着を含む。しかしながら、本発明によると、種層416の
付着は、厚さが約50オングストロームの銅層416の付着を含む。これは、8
×1016個の銅イオンの注入により達成可能である。本発明によると、低エネル
ギーイオン注入は、ポリマー層の溝410の表面へ100電子ボルト(eV)で
銅層416を注入するステップを含む。銅層416はまた、矢印415に示すよ
うに、ウェーハ表面に対して鉛直の角度で注入される。当業者であればこの説明
を読むと理解できるように、ウェーハ表面に鉛直の角度で銅層416を注入する
と、多数の溝410の底面418上に銅の種層416が形成されるが、多数の溝
410の側面420にはほとんど形成されない。1つの実施例において、オプシ
ョンとしてのアルミニウム層421が再び100電子ボルト(eV)の低エネル
ギーイオン注入により銅の種層416上に付着される。オプションとしてのアル
ミニウム層421は、約50オングストロームの厚さに付着される。これは、矢
印415で示すように、ウェーハ表面に鉛直の方向に3×1016個のアルミニウ
ムイオンを注入することにより達成可能である。当業者であればこの説明を読む
と理解できるように、アルミニウム層421は、後続の処理ステップ以前に銅の
種層416が酸化しないように保護する目的で使用する。この構造は、図4Dに
示す。
In FIG. 4D, a first seed layer 416 is deposited on the first barrier / adhesion layer 414 by low energy ion implantation. In accordance with the broad idea of the invention, depositing a seed layer 416 on the barrier / adhesion layer 414 includes depositing a seed layer 416 selected from the group consisting of aluminum, copper, silver and gold. However, in accordance with the present invention, depositing seed layer 416 includes depositing copper layer 416 having a thickness of about 50 Å. This is 8
This can be achieved by implanting × 10 16 copper ions. According to the present invention, low energy ion implantation comprises implanting a copper layer 416 at 100 electron volts (eV) into the surface of the polymer layer trench 410. Copper layer 416 is also implanted at a vertical angle to the wafer surface, as shown by arrow 415. As one of ordinary skill in the art will appreciate upon reading this description, implanting the copper layer 416 at a vertical angle into the wafer surface will form a copper seed layer 416 on the bottom surface 418 of the multiple trenches 410, but many Is hardly formed on the side surface 420 of the groove 410. In one embodiment, an optional aluminum layer 421 is again deposited on the copper seed layer 416 by low electron implantation of 100 electron volts (eV). Optional aluminum layer 421 is deposited to a thickness of approximately 50 Å. This can be achieved by implanting 3 × 10 16 aluminum ions in the vertical direction on the wafer surface, as indicated by arrow 415. As one of ordinary skill in the art will appreciate upon reading this description, the aluminum layer 421 is used to protect the copper seed layer 416 from oxidation prior to subsequent processing steps. This structure is shown in FIG. 4D.

【0060】 図4Eは、次の一連の処理ステップの後の構造を示す。当業者であればこの説
明を読むと理解できるように、残留するフォトレジスト層412は、障壁/接着
層414、種層416及びアルミニウム層421の注入領域を画定するためのブ
ロック層として働く。当業者であればこの説明を読むと理解できるように、残留
するフォトレジスト層412は、ウェットストリッププロセスにより除去される
。本発明によると、残留するフォトレジスト層412の除去は、ウェーハ表面の
他の領域、例えば、第1の絶縁層408の頂面419上の、溝410の外側の多
数の領域413から不要のアルミニウム層421、不要の種層416及び不要の
障壁/接着層414を除去するステップを含む。この構造は、図4Eに示す。
FIG. 4E shows the structure after the next series of processing steps. As one of ordinary skill in the art will appreciate upon reading this description, the remaining photoresist layer 412 acts as a blocking layer to define the implant regions of the barrier / adhesion layer 414, seed layer 416 and aluminum layer 421. Those of ordinary skill in the art will appreciate upon reading this description that the remaining photoresist layer 412 is removed by a wet strip process. In accordance with the present invention, removal of the remaining photoresist layer 412 is accomplished by removing unwanted aluminum from other areas of the wafer surface, such as a number of areas 413 on the top surface 419 of the first insulating layer 408, outside the grooves 410. Removing layer 421, unwanted seed layer 416 and unwanted barrier / adhesion layer 414. This structure is shown in Figure 4E.

【0061】 図4Fにおいて、金属導体420または多数の第1レベルの金属ライン420
は、多数の溝410の種層416上に付着される。本発明によると、金属導体4
20または多数の第1レベルの金属ライン420は、付着された種層416の種
類に応じてアルミニウム、銅、銀または金より成る群から選択される。本発明に
よると、多数の銅金属ライン420または第1レベルの銅金属ライン420は、
銅の種層416上に選択的に形成される。1つの実施例において、金属導体42
0または多数の第1レベルの金属ライン420は選択的CVDプロセスにより付
着される。別の実施例において、種層416上への金属導体420または多数の
第1レベルの金属ライン420の付着は、無電解メッキによる金属導体420の
付着を含む。銅の無電解メッキは、第1の絶縁層408の頂面419まで多数の
溝410を充填するに十分な銅の付着を行うために行う。
In FIG. 4F, metal conductor 420 or a number of first level metal lines 420.
Are deposited on the seed layer 416 of the multiple grooves 410. According to the invention, the metal conductor 4
Twenty or many first level metal lines 420 are selected from the group consisting of aluminum, copper, silver or gold depending on the type of seed layer 416 deposited. According to the present invention, a number of copper metal lines 420 or first level copper metal lines 420 are
Selectively formed on copper seed layer 416. In one embodiment, the metal conductor 42
Zero or many first level metal lines 420 are deposited by a selective CVD process. In another example, depositing metal conductor 420 or multiple first level metal lines 420 on seed layer 416 comprises depositing metal conductor 420 by electroless plating. The electroless plating of copper is performed to deposit sufficient copper to fill the large number of grooves 410 up to the top surface 419 of the first insulating layer 408.

【0062】 図4Gに示すように、一連の工程を継続して実行すると、多層配線構造に任意
の数の金属層をさらに形成することができる。図4Gは、次の一連の処理ステッ
プの後の構造を示す。図4Gにおいて、デュアルダマシンプロセスにより、第1
から第2レベルへのビア及び第2レベルの金属を画定し充填する。これを行うた
めに、第2のポリマー層424または第2のポリイミド層424を、ウェーハ表
面、例えば、金属導体420または多数の第1レベルの金属ライン420及び第
1のポリマー層408上に付着する。第2のポリマー424も同様に、例えば、
本願の一部として引用する米国特許出願第09/128,859号(発明の名称
:"Copper Metallurgy in Integrated Circuits")に記載されたプロセス及び材
料を用いて付着することができる。1つの実施例において、第2のポリマー層4
24の付着は、第2の発泡ポリマー層424の付着を含む。1つの実施例におい
て、第2のポリマー層424を付着し硬化させると、硬化後に厚さ10、000
オングストロームの第2のポリマー層424が形成される。当業者であればこの
説明を読むと理解できるように、他の適当な厚さの第2のポリマー層または第2
の絶縁層/材料424を、第1から第2レベルへのビア、例えば第2レベルのビ
アと、多数の第2レベルの金属ラインとの形成に合うように付着することができ
るが、本発明はそれに限定されない。第2のポリマー層424または第2の絶縁
層/材料424は、金属導体420または多数の第1レベルの金属420に開口
する第2レベルのビア及び多数の第2レベルの金属ラインを第2の絶縁層/材料
424に画定するためにパターン形成される。換言すれば、第2レベルのビアを
フォトレジストの第2のマスク426に画定し、その後、第2のポリマー層42
4を、例えば反応性イオンエッチング(RIE)のような任意適当なプロセスに
よりエッチングして、第2レベルのビア孔428がポリイミド層に画定されるよ
うにする。デュアルダマシンプロセスを用いて、多数の第2レベルの金属ライン
をフォトレジストの第2のマスク426に画定し、第2のポリマー層424を再
び、例えば反応性イオンエッチング(RIE)のような任意適当なプロセスによ
りエッチングして、第2レベルの金属ラインの溝430がポリイミド層に画定さ
れるようにする。当業者は、この説明を読めば、デュアルダマシンプロセスによ
り、フォトレジスト層426をマスキングし、、露光し、現像して、第2の絶縁
層/材料424に第2レベルのビア孔428及び第2レベルの金属ライン溝43
0をパターン形成する態様がわかるであろう。
As shown in FIG. 4G, when a series of steps are continuously performed, an arbitrary number of metal layers can be further formed in the multilayer wiring structure. FIG. 4G shows the structure after the next series of processing steps. In FIG. 4G, the dual damascene process
To second level vias and second level metal are defined and filled. To do this, a second polymer layer 424 or a second polyimide layer 424 is deposited on the wafer surface, such as a metal conductor 420 or a number of first level metal lines 420 and a first polymer layer 408. . The second polymer 424 is also similar, for example:
It can be deposited using the processes and materials described in U.S. patent application Ser. No. 09 / 128,859 (Title of the Invention: "Copper Metallurgy in Integrated Circuits"), which is incorporated by reference herein. In one embodiment, the second polymer layer 4
Depositing 24 includes depositing the second foamed polymer layer 424. In one example, the second polymer layer 424 is applied and cured to provide a thickness of 10,000 after curing.
A second polymer layer 424 of Angstrom is formed. Those of ordinary skill in the art will appreciate upon reading this description that any other suitable thickness of the second polymer layer or second
Of insulating layer / material 424 may be deposited to suit the formation of first to second level vias, eg, second level vias, and multiple second level metal lines. Is not limited to it. The second polymer layer 424 or the second insulating layer / material 424 may include second level vias and multiple second level metal lines that open to the metal conductor 420 or multiple first level metals 420. Patterned to define an insulating layer / material 424. In other words, a second level via is defined in the second mask of photoresist 426, and then the second polymer layer 42 is formed.
4 is etched by any suitable process, such as reactive ion etching (RIE), so that second level via holes 428 are defined in the polyimide layer. Using a dual damascene process, a number of second level metal lines are defined in the photoresist second mask 426 and the second polymer layer 424 is again formed of any suitable material, such as reactive ion etching (RIE). Etching is performed by various processes so that the groove 430 of the second level metal line is defined in the polyimide layer. Those skilled in the art, after reading this description, will use a dual damascene process to mask, expose, and develop the photoresist layer 426 to the second insulating layer / material 424 to the second level via holes 428 and the second level. Level metal line groove 43
It will be seen how the 0s are patterned.

【0063】 上述したように、また本発明に従うと、残留するフォトレジスト層426は、
第2レベルの金属ライン溝430の外側の、多数の領域432の第2の絶縁層/
材料424上に残される。当業者であればこの説明を読むと理解できるように、
任意適当なプラズマ及び/またはウェット洗浄プロセスを用いて、第2レベルの
ビア孔428及び第2レベルの金属ライン溝430から汚染物質を除去する。こ
の構造を、図4Gに示す。
As mentioned above and according to the invention, the remaining photoresist layer 426 is:
Outside the second level metal line trench 430, a second insulating layer / in multiple regions 432 /
It is left on the material 424. As those skilled in the art will understand by reading this description,
Contaminants are removed from the second level via holes 428 and the second level metal line trenches 430 using any suitable plasma and / or wet cleaning process. This structure is shown in FIG. 4G.

【0064】 図4Hは、次の一連の処理ステップの後の構造を示す。図4Hにおいて、第2
の障壁/接着層434は、低エネルギーイオン注入により第2レベルのビア孔4
28及び第2レベルの金属ライン溝430に付着される。上述したように、本発
明の1つの実施例では、第2の障壁/接着層434の付着は、厚さが約5乃至1
00オングストロームのジルコニウム層434の付着を含む。別の実施例におい
て、第2の障壁/接着層434の付着は、チタン及び/またはハフニウムの障壁
/接着層434の付着を含む。1つの実施例において、ジルコニウム層434の
付着は、厚さが約15オングストロームのジルコニウム層434の付着を含む。
1つの実施例において、これは1017個のジルコニウムイオンの注入により達成
される。本発明によると、ジルコニウム層434は、矢印425で示すように、
ウェーハ表面に対して鉛直の注入角度を用い、100電子ボルト(eV)で、第
2のポリマー層424の第2レベルのビア孔428及び第2レベルの金属ライン
溝430の表面に注入される。この構造を、図4Hに示す。
FIG. 4H shows the structure after the next series of processing steps. In FIG. 4H, the second
Barrier / adhesion layer 434 of the second level via hole 4 by low energy ion implantation.
28 and second level metal line grooves 430 are deposited. As mentioned above, in one embodiment of the present invention, the deposition of the second barrier / adhesive layer 434 has a thickness of about 5 to 1.
Includes deposition of a 00 Å zirconium layer 434. In another example, depositing the second barrier / adhesion layer 434 comprises depositing a titanium and / or hafnium barrier / adhesion layer 434. In one embodiment, depositing zirconium layer 434 comprises depositing zirconium layer 434 having a thickness of about 15 Å.
In one embodiment, this is accomplished by implanting 10 17 zirconium ions. According to the present invention, zirconium layer 434, as indicated by arrow 425,
Implanted into the surface of second level via holes 428 and second level metal line trenches 430 of second polymer layer 424 at 100 eV using a vertical implant angle to the wafer surface. This structure is shown in FIG. 4H.

【0065】 図4Iは、次の一連の処理ステップの後の構造を示す。図4Iにおいて、第2
の種層436は、低エネルギーイオン注入により第2の障壁/接着層434上に
付着される。本発明の広い思想によると、第2の障壁/接着層414上への第2
の種層436の付着は、アルミニウム、銅、銀及び金より成る群から選択した第
2の種層436の付着を含む。しかしながら、本発明によると、第2の種層43
6の付着は、厚さが約50オングストロームの第2の銅層436の付着を含む。
1つの実施例において、これは8×1016個の銅イオンの注入により達成される
。本発明によると、低エネルギーイオン注入は、ポリマー層の第2レベルのビア
孔428及び第2レベルの金属ライン溝430へ100電子ボルト(eV)で銅
層436を注入するステップを含む。銅層436はまた、矢印437で示すよう
に、ウェーハ表面に対して鉛直の角度で注入される。当業者であればこの説明を
読むと理解できるように、ウェーハ表面に対して鉛直の角度で銅層436を注入
すると、第2レベルのビア孔428の底面438上に銅の第2の種層436が形
成され、第2レベルのビア孔428及び第2レベルの金属ライン溝430の側面
440上にはほとんど形成されない。1つの実施例において、オプションとして
のアルミニウム層441が再び、100電子ボルト(eV)の低エネルギーイオ
ン注入により銅の第2の種層436上に付着される。オプションとしてのアルミ
ニウム層は、約50オングストロームの厚さに付着される。1つの実施例におい
て、これはウェーハ表面に鉛直の方向に3×1016個のアルミニウムイオンを注
入して達成される。当業者であればこの説明を読むと理解できるように、アルミ
ニウム層441は、後続の処理ステップの前に銅の第2の種層436が酸化しな
いように保護する目的で使用する。この構造を、図4Iに示す。
FIG. 4I shows the structure after the next series of processing steps. In FIG. 4I, the second
Seed layer 436 is deposited on second barrier / adhesion layer 434 by low energy ion implantation. In accordance with the broad idea of the invention, a second barrier / adhesive layer 414 on the second
Depositing the seed layer 436 of FIG. 1 includes depositing a second seed layer 436 selected from the group consisting of aluminum, copper, silver and gold. However, according to the invention, the second seed layer 43
Deposition of 6 includes deposition of a second copper layer 436 having a thickness of about 50 Å.
In one embodiment, this is accomplished by implanting 8 × 10 16 copper ions. In accordance with the present invention, low energy ion implantation includes implanting a copper layer 436 at 100 electron volts (eV) into a second level via hole 428 and a second level metal line trench 430 in the polymer layer. Copper layer 436 is also implanted at a vertical angle to the wafer surface, as indicated by arrow 437. As those skilled in the art will appreciate upon reading this description, implanting copper layer 436 at an angle normal to the wafer surface will result in a second seed layer of copper on bottom surface 438 of second level via hole 428. 436 is formed and is scarcely formed on the side surface 440 of the second level via hole 428 and the second level metal line groove 430. In one embodiment, an optional aluminum layer 441 is again deposited on the copper second seed layer 436 by low energy ion implantation of 100 electron volts (eV). An optional aluminum layer is deposited to a thickness of about 50 Å. In one embodiment, this is accomplished by implanting 3 × 10 16 aluminum ions vertically into the wafer surface. As one of ordinary skill in the art will appreciate upon reading this description, aluminum layer 441 is used to protect copper second seed layer 436 from oxidation prior to subsequent processing steps. This structure is shown in FIG. 4I.

【0066】 図4Jは、次の一連の処理ステップの後の構造を示す。当業者であればこの説
明を読むと理解できるように、残留するフォトレジスト層426は、第2の障壁
/接着層434、第2の種層436及びアルミニウム層441の注入領域を画定
するためのブロック層として働く。当業者であればこの説明を読むと理解できる
ように、残留するフォトレジスト層426は、ウェットストリッププロセスによ
り除去される。本発明によると、残留するフォトレジスト層426の除去は、ウ
ェーハ表面の他の領域、例えば、第2の絶縁層424の頂面442上の、第2レ
ベルの金属ライン溝430の外側の多数の領域432から、不要なアルミニウム
層441、不要な種層436及び不要な障壁/接着層434を除去することを含
む。この構造を、図4Jに示す。
FIG. 4J shows the structure after the next series of processing steps. Those of ordinary skill in the art will appreciate upon reading this description that the remaining photoresist layer 426 is for defining the implant regions of the second barrier / adhesion layer 434, the second seed layer 436 and the aluminum layer 441. Work as a block layer. Those of ordinary skill in the art will appreciate upon reading this description that the residual photoresist layer 426 is removed by a wet strip process. In accordance with the present invention, removal of the remaining photoresist layer 426 is accomplished by removing a number of areas outside the second level metal line trench 430 on other areas of the wafer surface, such as the top surface 442 of the second insulating layer 424. Removing unwanted aluminum layer 441, unwanted seed layer 436, and unwanted barrier / adhesion layer 434 from region 432. This structure is shown in FIG. 4J.

【0067】 図4Kにおいて、第2の金属導体444または第2のコア導体444は、第2
の種層436上及びポリマー層の第2レベルのビア孔428及び第2レベルの金
属ライン溝430の第2の障壁/接着層434内に付着される。この実施例にお
いて、第2の金属導体444または第2のコア導体444は銅であるが、他の実
施例では、アルミニウム、銀及び金より成る群から選択可能である。1つの実施
例において、第2の金属導体444または第2のコア導体444は選択的CVD
プロセスにより付着される。別の実施例において、第2の種層446上及び第2
の障壁/接着層434内への第2の金属導体444または第2のコア導体444
の付着は、無電解メッキによる第2の金属導体444または第2のコア導体44
4の付着を含む。銅の無電解メッキは、第2レベルのビア孔428及び第2レベ
ルの金属ライン溝430を第2の絶縁層424の頂面442まで充填するに十分
な銅を付着するために使用する。従って、第2の障壁/接着層434、第2の種
層436及び第2の金属導体444または第2のコア導体444は、多数の第2
レベルのビア及び多数の第2レベルの金属ラインが多数の第1の導電構造、例え
ば、金属導体420または多数の第1レベルの金属ライン420上に形成されそ
れらと接合された第2の多数の導電構造を構成する。
In FIG. 4K, the second metal conductor 444 or the second core conductor 444 is the second metal conductor 444.
On the seed layer 436 and in the second barrier / adhesion layer 434 of the second level via hole 428 and the second level metal line trench 430 of the polymer layer. In this embodiment, second metal conductor 444 or second core conductor 444 is copper, but in other embodiments it can be selected from the group consisting of aluminum, silver and gold. In one embodiment, second metal conductor 444 or second core conductor 444 is selectively CVD.
Attached by the process. In another embodiment, on the second seed layer 446 and the second seed layer 446.
Second metal conductor 444 or second core conductor 444 into the barrier / adhesion layer 434 of
Is adhered to the second metal conductor 444 or the second core conductor 44 by electroless plating.
Including 4 deposits. Electroless plating of copper is used to deposit sufficient copper to fill the second level via holes 428 and the second level metal line trenches 430 to the top surface 442 of the second insulating layer 424. Therefore, the second barrier / adhesion layer 434, the second seed layer 436, and the second metal conductor 444 or the second core conductor 444 may have a large number of second conductors.
Level vias and a number of second level metal lines are formed on and bonded to a number of first conductive structures, eg, metal conductors 420 or number of first level metal lines 420. It constitutes a conductive structure.

【0068】 当業者であればこの説明を読むと理解できるように、上述の方法の実施例は、
必要な数の金属層が形成されるまで反復できる。
As will be appreciated by one of skill in the art upon reading this description, examples of the above method include:
It can be repeated until the required number of metal layers has been formed.

【0069】 図4Lは、最終的な一連の処理ステップの後の構造を示す。最後のレベルの金
属の形成を完了すると、ポリマーの全体構造、例えば、第1のポリマー層408
及び第2のポリマー層424が酸素プラズマエッチングにより除去される。この
構造を、図4Lに示す。
FIG. 4L shows the structure after the final series of processing steps. Upon completion of forming the last level of metal, the overall structure of the polymer, eg, the first polymer layer 408.
And the second polymer layer 424 is removed by oxygen plasma etching. This structure is shown in FIG. 4L.

【0070】 図5は、本発明に従って形成された集積回路の実施例を示す。図5に示すよう
に、集積回路は、金属層を有する。金属層は、基板500の多数のシリコンデバ
イス501A、501Bに接続された多数の第1レベルのビア507A、507
Bを含む。多数の第1レベルの金属ライン520は、多数の第1レベルのビア5
07A、507Bの上に形成され、それらと接続されている。厚さが5乃至15
0オングストロームの範囲の障壁/接着層518は、多数の第1レベルの金属ラ
イン520上に形成される。厚さが5乃至150オングストロームの種層516
は、少なくとも、障壁/接着層518の一部と、多数の第1レベルの金属ライン
520との間に形成される。上述したように、厚さが5乃至150オングストロ
ームの障壁/接着層518は、チタン、ジルコニウム及びハフニウムより成る群
から選択した障壁/接着層を含む。図5に示す1つの実施例において、基板50
0の多数のシリコンデバイス501A、501Bに接続された多数の第1レベル
のビア507A、507Bは、絶縁層により取り囲まれている。
FIG. 5 shows an embodiment of an integrated circuit formed according to the present invention. As shown in FIG. 5, the integrated circuit has a metal layer. The metal layer includes a number of first level vias 507A, 507 connected to a number of silicon devices 501A, 501B of the substrate 500.
Including B. The number of first level metal lines 520 is equal to the number of first level vias 5.
Formed on and connected to 07A and 507B. 5 to 15 thickness
Barrier / adhesion layers 518 in the 0 angstrom range are formed over a number of first level metal lines 520. Seed layer 516 having a thickness of 5 to 150 angstroms
Are formed at least between a portion of the barrier / adhesion layer 518 and a number of first level metal lines 520. As mentioned above, the barrier / adhesion layer 518 having a thickness of 5-150 Angstroms comprises a barrier / adhesion layer selected from the group consisting of titanium, zirconium and hafnium. In one embodiment shown in FIG. 5, the substrate 50
A number of first level vias 507A, 507B connected to a number of zero silicon devices 501A, 501B are surrounded by an insulating layer.

【0071】 上述したように、多数の第1レベルの金属ライン520は、アルミニウム、銅
、銀及び金より成る群から選択される。1つの実施例において、集積回路503
は、集積メモリー回路503の一部より成る。この実施例において、多数のシリ
コンデバイス501A、501Bは、基板500の1またはそれ以上のトランジ
スタ501A、501Bを含む。
As mentioned above, a number of first level metal lines 520 are selected from the group consisting of aluminum, copper, silver and gold. In one embodiment, integrated circuit 503
Comprises a part of the integrated memory circuit 503. In this example, a number of silicon devices 501A, 501B include one or more transistors 501A, 501B on substrate 500.

【0072】 当業者であればこの説明を読むと理解できるように、図1K、2K、3K及び
/または4Lに示した実施例の任意のものは、本発明による集積回路の一部を構
成することができる。
As one of ordinary skill in the art will appreciate upon reading this description, any of the embodiments shown in FIGS. 1K, 2K, 3K and / or 4L form part of an integrated circuit according to the present invention. be able to.

【0073】 図6は、本願に記載した任意の実施例による集積回路の一部を含むシステム6
00の実施例を示す。当業者であればこの説明を読むと理解できるように、この
システム600は、プロセッサー610と、このプロセッサーに結合された集積
回路または集積メモリー回路630とより成る。プロセッサー610は、当業者
であれば理解できるように、任意適当なバスにより集積メモリー回路630に結
合可能である。その実施例では、プロセッサー610と、集積回路630とは、
単一のウェーハまたはダイ上にある。再び、集積回路630の少なくとも一部は
、本発明の種々の実施例に記載された集積回路603の一部を含む。
FIG. 6 illustrates a system 6 including a portion of an integrated circuit according to any of the embodiments described herein.
Example of No. 00 is shown. As one of ordinary skill in the art will appreciate upon reading this description, the system 600 comprises a processor 610 and an integrated circuit or integrated memory circuit 630 coupled to the processor. Processor 610 can be coupled to integrated memory circuit 630 by any suitable bus, as will be appreciated by those skilled in the art. In that example, processor 610 and integrated circuit 630
It is on a single wafer or die. Again, at least a portion of integrated circuit 630 includes a portion of integrated circuit 603 described in various embodiments of the invention.

【0074】 結 論 かくして、デザインルールの縮小に応じて、集積回路の性能を改善する構造及
び方法を提供した。これらの構造及び方法は、集積回路の拡散障壁及び種層を包
含し、これらは共に低エネルギーイオン注入により形成された後、集積回路の金
属ラインが選択的に付着される。本発明によると、金属ラインを選択的に付着さ
せるため、化学的機械研磨(CMP)ステップを何度も行う必要がなくなる。本
発明の低エネルギーイオン注入は、拡散障壁及び種層の明確な配設を可能にする
。残留するレジストを用いて、ウェーハ表面上の不要な領域から拡散障壁及び種
層を除去することができる。上述の新規なプロセスにより形成される構造は、ア
ルミニウム、銅、金及び銀の金属インターコネクトの形成を可能にする。
CONCLUSION Thus, structures and methods have been provided that improve the performance of integrated circuits in response to shrinking design rules. These structures and methods include diffusion barriers and seed layers for integrated circuits, which are both formed by low energy ion implantation, after which the metal lines of the integrated circuits are selectively deposited. According to the present invention, the metal lines are selectively deposited, eliminating the need for multiple chemical mechanical polishing (CMP) steps. The low energy ion implantation of the present invention allows for the well-defined placement of diffusion barriers and seed layers. The residual resist can be used to remove diffusion barriers and seed layers from unwanted areas on the wafer surface. The structure formed by the novel process described above enables the formation of aluminum, copper, gold and silver metal interconnects.

【0075】 特定の実施例を図示説明したが、当業者は、特定の実施例の代わりに同一目的
を達成する任意の構成を使用できることが分かるであろう。本願は、本発明の任
意の変形例又は設計変更を包含するものと意図されている。本発明の範囲は、上
記構造及び方法を利用する他の任意の用途を包含する。従って、本発明は、特許
請求の範囲及びその均等物によってのみ限定されることが明らかである。
While a particular embodiment has been illustrated and described, those of ordinary skill in the art will appreciate that any configuration that accomplishes the same purpose may be used in place of the particular embodiment. This application is intended to cover any variations or modifications of the present invention. The scope of the present invention encompasses any other application that utilizes the above structures and methods. It is therefore evident that the invention is limited only by the claims and their equivalents.

【図面の簡単な説明】[Brief description of drawings]

【図1A】 図1Aは、本発明に従ってビアと金属ラインを形成する種々の処理ステップの
実施例を示す。
FIG. 1A illustrates an example of various processing steps for forming vias and metal lines in accordance with the present invention.

【図1B】 図1Bは、本発明に従ってビアと金属ラインを形成する種々の処理ステップの
実施例を示す。
FIG. 1B shows an example of various processing steps for forming vias and metal lines in accordance with the present invention.

【図1C】 図1Cは、本発明に従ってビアと金属ラインを形成する種々の処理ステップの
実施例を示す。
FIG. 1C illustrates an example of various processing steps for forming vias and metal lines in accordance with the present invention.

【図1D】 図1Dは、本発明に従ってビアと金属ラインを形成する種々の処理ステップの
実施例を示す。
FIG. 1D illustrates an example of various processing steps for forming vias and metal lines in accordance with the present invention.

【図1E】 図1Eは、本発明に従ってビアと金属ラインを形成する種々の処理ステップの
実施例を示す。
FIG. 1E illustrates an example of various processing steps for forming vias and metal lines in accordance with the present invention.

【図1F】 図1Fは、本発明に従ってビアと金属ラインを形成する種々の処理ステップの
実施例を示す。
1A-1F show examples of various processing steps for forming vias and metal lines in accordance with the present invention.

【図1G】 図1Gは、本発明に従ってビアと金属ラインを形成する種々の処理ステップの
実施例を示す。
FIG. 1G illustrates an example of various processing steps for forming vias and metal lines in accordance with the present invention.

【図1H】 図1Hは、本発明に従ってビアと金属ラインを形成する種々の処理ステップの
実施例を示す。
FIG. 1H illustrates an example of various processing steps for forming vias and metal lines in accordance with the present invention.

【図1I】 図1Iは、本発明に従ってビアと金属ラインを形成する種々の処理ステップの
実施例を示す。
FIG. 1I illustrates an example of various processing steps for forming vias and metal lines in accordance with the present invention.

【図1J】 図1Jは、本発明に従ってビアと金属ラインを形成する種々の処理ステップの
実施例を示す。
FIG. 1J shows an example of various processing steps for forming vias and metal lines in accordance with the present invention.

【図1K】 図1Kは、本発明に従ってビアと金属ラインを形成する種々の処理ステップの
実施例を示す。
FIG. 1K shows an example of various processing steps for forming vias and metal lines in accordance with the present invention.

【図2A】 図2Aは、本発明に従ってビアと金属ラインを形成する種々の処理ステップの
別の実施例を示す。
FIG. 2A illustrates another example of various processing steps for forming vias and metal lines in accordance with the present invention.

【図2B】 図2Bは、本発明に従ってビアと金属ラインを形成する種々の処理ステップの
別の実施例を示す。
FIG. 2B illustrates another embodiment of various processing steps for forming vias and metal lines in accordance with the present invention.

【図2C】 図2Cは、本発明に従ってビアと金属ラインを形成する種々の処理ステップの
別の実施例を示す。
FIG. 2C illustrates another example of various processing steps for forming vias and metal lines in accordance with the present invention.

【図2D】 図2Dは、本発明に従ってビアと金属ラインを形成する種々の処理ステップの
別の実施例を示す。
FIG. 2D illustrates another example of various processing steps for forming vias and metal lines in accordance with the present invention.

【図2E】 図2Eは、本発明に従ってビアと金属ラインを形成する種々の処理ステップの
別の実施例を示す。
FIG. 2E illustrates another embodiment of various processing steps for forming vias and metal lines in accordance with the present invention.

【図2F】 図2Fは、本発明に従ってビアと金属ラインを形成する種々の処理ステップの
別の実施例を示す。
FIG. 2F illustrates another example of various processing steps for forming vias and metal lines in accordance with the present invention.

【図2G】 図2Gは、本発明に従ってビアと金属ラインを形成する種々の処理ステップの
別の実施例を示す。
FIG. 2G illustrates another embodiment of various processing steps for forming vias and metal lines in accordance with the present invention.

【図2H】 図2Hは、本発明に従ってビアと金属ラインを形成する種々の処理ステップの
別の実施例を示す。
FIG. 2H illustrates another example of various processing steps for forming vias and metal lines in accordance with the present invention.

【図2I】 図2Iは、本発明に従ってビアと金属ラインを形成する種々の処理ステップの
別の実施例を示す。
FIG. 2I illustrates another example of various processing steps for forming vias and metal lines in accordance with the present invention.

【図2J】 図2Jは、本発明に従ってビアと金属ラインを形成する種々の処理ステップの
別の実施例を示す。
FIG. 2J illustrates another embodiment of various processing steps for forming vias and metal lines in accordance with the present invention.

【図2K】 図2Kは、本発明に従ってビアと金属ラインを形成する種々の処理ステップの
別の実施例を示す。
FIG. 2K illustrates another example of various processing steps for forming vias and metal lines in accordance with the present invention.

【図3A】 図3Aは、本発明に従ってビアと金属ラインを形成する種々の処理ステップの
さらに別の実施例を示す。
FIG. 3A illustrates yet another embodiment of various processing steps for forming vias and metal lines in accordance with the present invention.

【図3B】 図3Bは、本発明に従ってビアと金属ラインを形成する種々の処理ステップの
さらに別の実施例を示す。
FIG. 3B illustrates yet another embodiment of various processing steps for forming vias and metal lines in accordance with the present invention.

【図3C】 図3Cは、本発明に従ってビアと金属ラインを形成する種々の処理ステップの
さらに別の実施例を示す。
FIG. 3C illustrates yet another embodiment of various processing steps for forming vias and metal lines in accordance with the present invention.

【図3D】 図3Dは、本発明に従ってビアと金属ラインを形成する種々の処理ステップの
さらに別の実施例を示す。
FIG. 3D illustrates yet another embodiment of various processing steps for forming vias and metal lines in accordance with the present invention.

【図3E】 図3Eは、本発明に従ってビアと金属ラインを形成する種々の処理ステップの
さらに別の実施例を示す。
FIG. 3E illustrates yet another embodiment of various processing steps for forming vias and metal lines in accordance with the present invention.

【図3F】 図3Fは、本発明に従ってビアと金属ラインを形成する種々の処理ステップの
さらに別の実施例を示す。
FIG. 3F illustrates yet another embodiment of various processing steps for forming vias and metal lines in accordance with the present invention.

【図3G】 図3Gは、本発明に従ってビアと金属ラインを形成する種々の処理ステップの
さらに別の実施例を示す。
FIG. 3G illustrates yet another embodiment of various processing steps for forming vias and metal lines in accordance with the present invention.

【図3H】 図3Hは、本発明に従ってビアと金属ラインを形成する種々の処理ステップの
さらに別の実施例を示す。
FIG. 3H illustrates yet another embodiment of various processing steps for forming vias and metal lines in accordance with the present invention.

【図3I】 図3Iは、本発明に従ってビアと金属ラインを形成する種々の処理ステップの
さらに別の実施例を示す。
FIG. 3I illustrates yet another example of various processing steps for forming vias and metal lines in accordance with the present invention.

【図3J】 図3Jは、本発明に従ってビアと金属ラインを形成する種々の処理ステップの
さらに別の実施例を示す。
FIG. 3J illustrates yet another example of various processing steps for forming vias and metal lines in accordance with the present invention.

【図3K】 図3Kは、本発明に従ってビアと金属ラインを形成する種々の処理ステップの
さらに別の実施例を示す。
FIG. 3K illustrates yet another embodiment of various processing steps for forming vias and metal lines in accordance with the present invention.

【図4A】 図4Aは、本発明に従ってビアと金属ラインを形成する種々の処理ステップの
さらに別の実施例を示す。
FIG. 4A illustrates yet another embodiment of various processing steps for forming vias and metal lines in accordance with the present invention.

【図4B】 図4Bは、本発明に従ってビアと金属ラインを形成する種々の処理ステップの
さらに別の実施例を示す。
FIG. 4B illustrates yet another embodiment of various processing steps for forming vias and metal lines in accordance with the present invention.

【図4C】 図4Cは、本発明に従ってビアと金属ラインを形成する種々の処理ステップの
さらに別の実施例を示す。
FIG. 4C illustrates yet another embodiment of various processing steps for forming vias and metal lines in accordance with the present invention.

【図4D】 図4Dは、本発明に従ってビアと金属ラインを形成する種々の処理ステップの
さらに別の実施例を示す。
FIG. 4D illustrates yet another embodiment of various processing steps for forming vias and metal lines in accordance with the present invention.

【図4E】 図4Eは、本発明に従ってビアと金属ラインを形成する種々の処理ステップの
さらに別の実施例を示す。
FIG. 4E illustrates yet another embodiment of various processing steps for forming vias and metal lines in accordance with the present invention.

【図4F】 図4Fは、本発明に従ってビアと金属ラインを形成する種々の処理ステップの
さらに別の実施例を示す。
FIG. 4F illustrates yet another embodiment of various processing steps for forming vias and metal lines in accordance with the present invention.

【図4G】 図4Gは、本発明に従ってビアと金属ラインを形成する種々の処理ステップの
さらに別の実施例を示す。
FIG. 4G illustrates yet another embodiment of various processing steps for forming vias and metal lines in accordance with the present invention.

【図4H】 図4Hは、本発明に従ってビアと金属ラインを形成する種々の処理ステップの
さらに別の実施例を示す。
FIG. 4H illustrates yet another example of various processing steps for forming vias and metal lines in accordance with the present invention.

【図4I】 図4Iは、本発明に従ってビアと金属ラインを形成する種々の処理ステップの
さらに別の実施例を示す。
FIG. 4I illustrates yet another embodiment of various processing steps for forming vias and metal lines in accordance with the present invention.

【図4J】 図4Jは、本発明に従ってビアと金属ラインを形成する種々の処理ステップの
さらに別の実施例を示す。
FIG. 4J illustrates yet another embodiment of various processing steps for forming vias and metal lines in accordance with the present invention.

【図4K】 図4Kは、本発明に従ってビアと金属ラインを形成する種々の処理ステップの
さらに別の実施例を示す。
FIG. 4K illustrates yet another embodiment of various processing steps for forming vias and metal lines in accordance with the present invention.

【図4L】 図4Lは、本発明に従ってビアと金属ラインを形成する種々の処理ステップの
さらに別の実施例を示す。
FIG. 4L illustrates yet another example of various processing steps for forming vias and metal lines in accordance with the present invention.

【図5】 図5は、本発明に従って形成された集積回路を示す。[Figure 5]   FIG. 5 shows an integrated circuit formed in accordance with the present invention.

【図6】 図6は、本発明の任意の実施例に従って形成される集積回路の一部を含むシス
テムを示す。
FIG. 6 illustrates a system including a portion of an integrated circuit formed in accordance with any embodiment of the present invention.

───────────────────────────────────────────────────── フロントページの続き (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE,TR),OA(BF ,BJ,CF,CG,CI,CM,GA,GN,GW, ML,MR,NE,SN,TD,TG),AP(GH,G M,KE,LS,MW,MZ,SD,SL,SZ,TZ ,UG,ZW),EA(AM,AZ,BY,KG,KZ, MD,RU,TJ,TM),AE,AG,AL,AM, AT,AU,AZ,BA,BB,BG,BR,BY,B Z,CA,CH,CN,CR,CU,CZ,DE,DK ,DM,DZ,EE,ES,FI,GB,GD,GE, GH,GM,HR,HU,ID,IL,IN,IS,J P,KE,KG,KP,KR,KZ,LC,LK,LR ,LS,LT,LU,LV,MA,MD,MG,MK, MN,MW,MX,MZ,NO,NZ,PL,PT,R O,RU,SD,SE,SG,SI,SK,SL,TJ ,TM,TR,TT,TZ,UA,UG,UZ,VN, YU,ZA,ZW Fターム(参考) 5F033 HH08 HH11 HH13 HH14 HH17 HH18 JJ01 JJ08 JJ11 JJ17 JJ18 JJ19 JJ27 JJ30 KK01 KK08 KK11 KK13 KK14 KK17 KK18 MM01 MM02 MM08 MM12 MM13 NN06 NN07 PP06 PP07 PP28 QQ09 QQ13 QQ48 QQ60 QQ62 RR04 RR06 RR22 ─────────────────────────────────────────────────── ─── Continued front page    (81) Designated countries EP (AT, BE, CH, CY, DE, DK, ES, FI, FR, GB, GR, IE, I T, LU, MC, NL, PT, SE, TR), OA (BF , BJ, CF, CG, CI, CM, GA, GN, GW, ML, MR, NE, SN, TD, TG), AP (GH, G M, KE, LS, MW, MZ, SD, SL, SZ, TZ , UG, ZW), EA (AM, AZ, BY, KG, KZ, MD, RU, TJ, TM), AE, AG, AL, AM, AT, AU, AZ, BA, BB, BG, BR, BY, B Z, CA, CH, CN, CR, CU, CZ, DE, DK , DM, DZ, EE, ES, FI, GB, GD, GE, GH, GM, HR, HU, ID, IL, IN, IS, J P, KE, KG, KP, KR, KZ, LC, LK, LR , LS, LT, LU, LV, MA, MD, MG, MK, MN, MW, MX, MZ, NO, NZ, PL, PT, R O, RU, SD, SE, SG, SI, SK, SL, TJ , TM, TR, TT, TZ, UA, UG, UZ, VN, YU, ZA, ZW F term (reference) 5F033 HH08 HH11 HH13 HH14 HH17                       HH18 JJ01 JJ08 JJ11 JJ17                       JJ18 JJ19 JJ27 JJ30 KK01                       KK08 KK11 KK13 KK14 KK17                       KK18 MM01 MM02 MM08 MM12                       MM13 NN06 NN07 PP06 PP07                       PP28 QQ09 QQ13 QQ48 QQ60                       QQ62 RR04 RR06 RR22

Claims (69)

【特許請求の範囲】[Claims] 【請求項1】 集積回路に拡散障壁及び種層を形成する方法であって、 平坦な表面にある多数の第1レベルのビアに開口する多数の溝を絶縁層に画定
するために絶縁材料をパターン形成し、 低エネルギーイオン注入により多数の溝に障壁/接着層を付着させ、 低エネルギーイオン注入により多数の溝の障壁/接着層上に種層を付着させる
ステップより成る拡散障壁及び種層の形成方法。
1. A method of forming a diffusion barrier and seed layer in an integrated circuit, the method comprising: forming an insulating material to define a number of trenches in the insulating layer that open to a number of first level vias in a planar surface. Patterning, depositing a barrier / adhesion layer on the multiple trenches by low energy ion implantation, and depositing a seed layer on the barrier / adhesive layer on the multiple trenches by low energy ion implantation. Forming method.
【請求項2】 低エネルギーイオン注入によるステップは、100乃至80
0電子ボルト(eV)でイオン注入を行う請求項1の方法。
2. The step of low energy ion implantation is 100-80.
The method of claim 1 wherein the ion implantation is at 0 electron volts (eV).
【請求項3】 絶縁材料をパターン形成するステップは、ポリイミドをパタ
ーン形成する請求項1の方法。
3. The method of claim 1, wherein the step of patterning the insulating material patterns polyimide.
【請求項4】 障壁/接着層を付着させるステップは、チタン、ジルコニウ
ム及びハフニウムより成る群から選択した障壁/接着層を付着させる請求項1の
方法。
4. The method of claim 1, wherein the step of depositing a barrier / adhesion layer deposits a barrier / adhesion layer selected from the group consisting of titanium, zirconium and hafnium.
【請求項5】 障壁/接着層を付着させるステップは、厚さが5乃至100
オングストロームの範囲にある障壁/接着層を付着させる請求項4の方法。
5. The step of applying the barrier / adhesion layer has a thickness of 5 to 100.
The method of claim 4 wherein a barrier / adhesion layer in the Angstrom range is applied.
【請求項6】 アルミニウム、銅、銀及び金より成る群から選択した金属導
体を多数の溝の種層上に付着させるステップをさらに含む請求項1の方法。
6. The method of claim 1 further comprising depositing a metal conductor selected from the group consisting of aluminum, copper, silver and gold on the seed layer of the multiple trenches.
【請求項7】 種層上に金属導体を付着させるステップは、無電解メッキに
より金属導体を付着させる請求項6の方法。
7. The method of claim 6, wherein the step of depositing the metal conductor on the seed layer deposits the metal conductor by electroless plating.
【請求項8】 障壁/接着層上に種層を付着させるステップは、アルミニウ
ム、銅、銀及び金より成る群から選択した種層を付着させる請求項1の方法。
8. The method of claim 1, wherein the step of depositing a seed layer on the barrier / adhesion layer deposits a seed layer selected from the group consisting of aluminum, copper, silver and gold.
【請求項9】 集積回路に銅、銀または金のインターコネクトを作製する方
法であって、 第1レベルの金属インターコネクトにふさわしい厚さの絶縁層を平坦な平面上
に付着させ、 フォトレジストのマスクを用いて絶縁層をエッチングすることにより、平坦な
表面にある多数の第1レベルのビアに開口する多数の溝を絶縁層に画定し、 100乃至700電子ボルトの低エネルギーイオン注入により多数の溝に障壁
/接着層を付着させ、 100乃至700電子ボルトの低エネルギーイオン注入により多数の溝の障壁
/接着層上に種層を付着させ、 種層上に金属導体を付着させるステップより成る集積回路の銅、銀または金の
インターコネクトの作製方法。
9. A method of making a copper, silver or gold interconnect in an integrated circuit, the method comprising depositing an insulating layer of a thickness suitable for a first level metal interconnect on a flat planar surface and applying a photoresist mask. Etching the insulating layer with to define a number of trenches in the insulating layer that open into a number of first level vias on a flat surface, and a low energy ion implant of 100 to 700 eV to produce a number of trenches. Depositing a barrier / adhesion layer, depositing a seed layer on the barrier / adhesion layer of multiple trenches by low energy ion implantation of 100 to 700 eV, and depositing a metal conductor on the seed layer; A method of making a copper, silver or gold interconnect.
【請求項10】 フォトレジストのマスクを用いて絶縁層をエッチングする
ことにより該絶縁層に多数の溝を画定するステップはさらに、 多数の溝の外側の多数の領域の絶縁層上にフォトレジスト層を残し、 金属導体を種層上に付着させる前に、フォトレジストのストリップにより多数
の溝の外側の多数の領域から障壁/接着層と種層とを除去するステップを含む請
求項9の方法。
10. The step of defining multiple trenches in the insulating layer by etching the insulating layer using a photoresist mask further comprises: photoresist layer over the insulating layer in multiple regions outside the multiple trenches. And removing the barrier / adhesion layer and the seed layer from a number of regions outside the plurality of trenches with a strip of photoresist prior to depositing the metal conductor on the seed layer.
【請求項11】 多数の溝に障壁/接着層を付着させるステップは、ジルコ
ニウムの障壁/接着層を、約100電子ボルトの低エネルギーイオン注入により
、注入角度を平坦な表面に鉛直の方向から約15°ずれた方向に変化させて、付
着させるステップを含む請求項9の方法。
11. The step of depositing a barrier / adhesion layer in the plurality of trenches comprises implanting a zirconium barrier / adhesion layer by low energy ion implantation of about 100 eV, with an implantation angle from a direction perpendicular to a flat surface. 10. The method of claim 9 including the step of changing and adhering in a direction offset by 15 °.
【請求項12】 ジルコニウムの障壁/接着層を付着させるステップは、厚
さが約50オングストロームのジルコニウムの障壁/接着層を付着させるステッ
プを含む請求項11の方法。
12. The method of claim 11, wherein depositing a zirconium barrier / adhesion layer comprises depositing a zirconium barrier / adhesion layer having a thickness of about 50 angstroms.
【請求項13】 第1レベルの金属インターコネクトに好適な厚さの絶縁層
を付着させるステップは、厚さが約5000オングストロームのポリイミド層を
付着させる層を付着させる請求項9の方法。
13. The method of claim 9, wherein the step of depositing an insulating layer of a suitable thickness on the first level metal interconnect deposits a layer depositing a polyimide layer having a thickness of about 5000 angstroms.
【請求項14】 多数の溝の障壁/接着層上に種層を付着させるステップは
、平坦な表面に鉛直の方向に、約100電子ボルトの低エネルギーイオン注入に
より、銅層を付着させるステップを含む請求項9の方法。
14. Depositing a seed layer on the barrier / adhesion layer of multiple trenches by depositing a copper layer in a vertical direction on a flat surface by low energy ion implantation of about 100 eV. The method of claim 9 including.
【請求項15】 銅層を付着させるステップは、厚さが約100オングスト
ロームの銅層を付着させる請求項14の方法。
15. The method of claim 14, wherein depositing a copper layer deposits a copper layer having a thickness of about 100 angstroms.
【請求項16】 平坦な表面に鉛直の方向の約100電子ボルトの低エネル
ギーイオン注入により、銅層上に厚さが約50オングストロームのアルミニウム
層を付着させるステップをさらに含む請求項15の方法。
16. The method of claim 15, further comprising depositing an aluminum layer having a thickness of about 50 angstroms on the copper layer by low energy ion implantation of about 100 eV in a vertical direction on the flat surface.
【請求項17】 集積回路に障壁層及び種層を作製する方法であって、 第1レベルの金属インターコネクトにふさわしい厚さの絶縁層を平坦な平面上
に付着させ、 フォトレジストのマスクを用いて絶縁層をエッチングすることにより、平坦な
表面にある多数の第1レベルのビアに開口する多数の溝を絶縁層に画定し、 100乃至700電子ボルトの低エネルギーイオン注入により、厚さが5乃至
150オングストロームの障壁/接着層を多数の溝に付着させ、 100乃至700電子ボルトの低エネルギーイオン注入により、多数の溝の障
壁/接着層上に厚さが5乃至150オングストロームの種層を付着させ、 選択的付着プロセスにより、種層上に金属導体を付着させるステップより成る
集積回路に障壁層及び種層を作製する方法。
17. A method of fabricating a barrier layer and a seed layer in an integrated circuit, the method comprising: depositing an insulating layer of a thickness suitable for a first level metal interconnect on a flat plane and using a photoresist mask. The insulating layer is etched to define a number of trenches in the insulating layer that open into a number of first level vias on the flat surface, and a low energy ion implant of 100 to 700 eV to achieve a thickness of 5 to 5 nm. A 150 Å barrier / adhesion layer is deposited on the multiple trenches, and a low energy ion implant of 100 to 700 eV is used to deposit a seed layer with a thickness of 5 to 150 Å on the multiple trench barrier / adhesive layers. , A method of making a barrier layer and a seed layer in an integrated circuit comprising depositing a metal conductor on the seed layer by a selective deposition process.
【請求項18】 絶縁層を付着させるステップは、酸化物層を付着させる請
求項17の方法。
18. The method of claim 17, wherein depositing an insulating layer deposits an oxide layer.
【請求項19】 酸化物層を付着させるステップは、フッ化けい素酸化物を
付着させる請求項18の方法。
19. The method of claim 18, wherein the step of depositing an oxide layer deposits a silicon fluoride oxide.
【請求項20】 多数の溝に障壁/接着層を付着させるステップは、約10
0電子ボルトの低エネルギーイオン注入により、厚さが約50オングストローム
のチタンまたはジルコニウムの障壁/接着層を付着させるステップを含む請求項
17の方法。
20. The step of depositing a barrier / adhesion layer in a number of grooves is about 10
18. The method of claim 17 including the step of depositing a titanium / zirconium barrier / adhesion layer having a thickness of about 50 angstroms by low energy ion implantation of 0 eV.
【請求項21】 多数の溝の障壁/接着層上に種層を付着させるステップは
、 約100電子ボルトの低エネルギーイオン注入により、厚さが約100オング
ストロームの第1のアルミニウム層を障壁/接着層上に付着させ、 約100電子ボルトの低エネルギーイオン注入により、厚さが約10オングス
トロームの銅層を第1のアルミニウム層上に付着させ、 約100電子ボルトの低エネルギーイオン注入により、厚さが約50オングス
トロームの第2のアルミニウム層を銅層上に付着させるステップを含む請求項1
7の方法。
21. The step of depositing a seed layer on the barrier / adhesion layer of the multiple trenches comprises low energy ion implantation of about 100 eV to barrier / bond the first aluminum layer having a thickness of about 100 angstroms. A copper layer having a thickness of about 10 angstroms is deposited on the first aluminum layer by low-energy ion implantation of about 100 eV and a thickness of about 100 eV by low-energy ion implantation. 2. depositing a second aluminum layer of about 50 angstroms on the copper layer.
Method 7
【請求項22】 選択的付着プロセスにより金属導体を種層上に付着させる
ステップは、絶縁層の頂面まで多数の溝を充填するに十分な金属導体を種層上に
付着させる請求項21の方法。
22. The step of depositing a metal conductor on the seed layer by a selective deposition process deposits enough metal conductor on the seed layer to fill a number of grooves up to the top surface of the insulating layer. Method.
【請求項23】 集積回路に障壁層及び種層を作製する方法であって、 第1レベルの金属インターコネクトにふさわしい厚さの酸化物層を平坦な平面
上に付着させ、 フォトレジストのマスクを用いて絶縁層をエッチングすることにより、平坦な
表面にある多数の第1レベルのビアに開口する多数の溝を酸化物層に画定し、 約100電子ボルトの低エネルギーイオン注入により、厚さが約100オング
ストロームのタンタル層を多数の溝に付着させ、 約700電子ボルトの低エネルギーイオン注入により、タンタル層上に窒素の
層を付着させ、 約100電子ボルトの低エネルギーイオン注入により、多数の溝の窒素の層上
に厚さが約100オングストロームの銅の種層を付着させ、 選択的付着プロセスにより、種層上に金属導体を付着させるステップより成る
集積回路に障壁層及び種層を作製する方法。
23. A method of making a barrier layer and a seed layer in an integrated circuit, comprising depositing an oxide layer of a thickness suitable for a first level metal interconnect on a flat plane and using a photoresist mask. Etching the insulating layer to define a number of trenches in the oxide layer that open into a number of first level vias on the flat surface, and a low energy ion implant of about 100 eV to provide a thickness of about 100 eV. A 100 angstrom tantalum layer is deposited in the multiple trenches, a low energy ion implant of approximately 700 electron volts is used to deposit a layer of nitrogen on the tantalum layer, and a low energy ion implant of approximately 100 electron volts is performed in the multiple trenches. Depositing a copper seed layer having a thickness of about 100 Å on the nitrogen layer and depositing a metal conductor on the seed layer by a selective deposition process. A method of making a barrier layer and a seed layer in an integrated circuit comprising steps.
【請求項24】 約100電子ボルトの低エネルギーイオン注入によりタン
タル層を付着させるステップは、注入角度が平坦な表面に鉛直の方向から15°
ずれた方向に変化するように注入角度を変化させる請求項23の方法。
24. The step of depositing the tantalum layer by low energy ion implantation of about 100 electron volts comprises 15 ° from a vertical direction on a surface having a flat implantation angle.
24. The method of claim 23, wherein the implantation angle is varied to vary in offset directions.
【請求項25】 約700電子ボルトの低エネルギーイオン注入によりタン
タル層上に窒素の層を付着させるステップは、角度が平坦な表面に鉛直の方向か
ら15°ずれた方向に変化するように注入角度を変化させる請求項23の方法。
25. The step of depositing a layer of nitrogen on the tantalum layer by low energy ion implantation of about 700 electron volts comprises implanting angles such that the angles vary 15 degrees from the vertical direction on a flat surface. 24. The method of claim 23, wherein
【請求項26】 約100電子ボルトの低エネルギーイオン注入により多数
の溝の障壁/接着層上に銅の種層を付着させるステップは、平坦な表面に鉛直の
方向に銅の種層を注入する請求項23の方法。
26. Depositing a copper seed layer over the barrier / adhesion layer of multiple trenches by low energy ion implantation of about 100 eV implants the copper seed layer vertically into a planar surface. 24. The method of claim 23.
【請求項27】 フォトレジストのマスクを用いて絶縁層をエッチングする
ことにより該絶縁層に多数の溝を画定するステップはさらに、 多数の溝の外側の多数の領域の絶縁層上にフォトレジスト層を残し、 金属導体を種層上に付着させる前に、フォトレジストのストリップにより多数
の溝の外側の多数の領域からタンタル層、窒素の層及び銅の種層を除去するステ
ップを含む請求項23の方法。
27. The step of defining multiple trenches in the insulating layer by etching the insulating layer using a photoresist mask further comprises: photoresist layer over the insulating layer in multiple regions outside the multiple trenches. And removing the tantalum layer, the nitrogen layer and the copper seed layer from the multiple areas outside the multiple trenches with a strip of photoresist prior to depositing the metal conductor on the seed layer. the method of.
【請求項28】 選択的付着プロセスにより種層上に金属導体を付着させる
ステップは、無電解メッキにより銅層を付着させる請求項23の方法。
28. The method of claim 23, wherein depositing the metal conductor on the seed layer by a selective deposition process deposits the copper layer by electroless plating.
【請求項29】 種層上に金属導体を付着させるステップは、多数の溝を酸
化物層の頂面より約100オングストローム低いレベルに充填する請求項28の
方法。
29. The method of claim 28, wherein depositing a metal conductor on the seed layer fills the plurality of trenches to a level about 100 angstroms below the top surface of the oxide layer.
【請求項30】 多数の溝の金属導体上に厚さが約100オングストローム
の窒化タンタル層を付着させるステップをさらに含む請求項26の方法。
30. The method of claim 26, further comprising depositing a tantalum nitride layer having a thickness of about 100 angstroms on the multi-trench metal conductor.
【請求項31】 集積回路に銅の金属ラインを形成する方法であって、 第1レベルの金属インターコネクトにふさわしい厚さのポリマー層を平坦な平
面上に付着させ、 フォトレジストのマスクを用いてポリマー層をエッチングすることにより、平
坦な表面にある多数の第1レベルのビアに開口する多数の溝をポリマー層に画定
し、 約100電子ボルトの低エネルギーイオン注入により、厚さが約15オングス
トロームのジルコニウム層を多数の溝に付着させ、 約100電子ボルトの低エネルギーイオン注入により、多数の溝のジルコニウ
ム層上に厚さが約50オングストロームの銅の種層を付着させ、 選択的付着プロセスにより、種層上に第1レベルの金属導体を付着させるステ
ップより成る集積回路に銅の金属ラインを形成する方法。
31. A method of forming copper metal lines in an integrated circuit, the method comprising depositing a polymer layer of a thickness suitable for a first level metal interconnect on a planar surface and using a photoresist mask to form the polymer. The layer is etched to define a number of trenches in the polymer layer that open into a number of first level vias on the flat surface, and low energy ion implantation of about 100 eV produces a thickness of about 15 angstroms. A zirconium layer is deposited in the multiple trenches, a low energy ion implant of approximately 100 electron volts is used to deposit a copper seed layer having a thickness of approximately 50 angstroms on the zirconium layer in the multiple trenches, and a selective deposition process is performed. A method of forming a copper metal line in an integrated circuit comprising depositing a first level metal conductor on a seed layer.
【請求項32】 約100電子ボルトの低エネルギーイオン注入により、銅
の種層上に厚さが約50オングストロームのアルミニウム層を付着させるステッ
プをさらに含む請求項31の方法。
32. The method of claim 31, further comprising depositing an aluminum layer having a thickness of about 50 angstroms on the copper seed layer by low energy ion implantation of about 100 electron volts.
【請求項33】 フォトレジストのマスクを用いてポリマー層をエッチング
することにより該ポリマー層に多数の溝を画定するステップはさらに、 多数の溝の外側の多数の領域のポリマー層上にフォトレジスト層を残し、 第1レベルの金属導体を種層上に付着させる前に、フォトレジストのストリッ
プにより多数の溝の外側の多数の領域からジルコニウム層及び銅の種層を除去す
るステップを含む請求項31の方法。
33. Defining a plurality of trenches in the polymer layer by etching the polymer layer using a photoresist mask further comprises: photoresist layer on the polymer layer in a plurality of regions outside the plurality of trenches. And removing the zirconium layer and the copper seed layer from the multiple regions outside the multiple trenches with a strip of photoresist prior to depositing the first level metal conductor on the seed layer. the method of.
【請求項34】 選択的付着プロセスにより種層上に金属導体を付着させる
ステップは、多数の溝をポリマー層の頂面まで充填するために無電解メッキによ
り銅層を付着させる請求項31の方法。
34. The method of claim 31, wherein the step of depositing the metal conductor on the seed layer by a selective deposition process deposits the copper layer by electroless plating to fill the multiple grooves to the top surface of the polymer layer. .
【請求項35】 ポリマー層を付着させるステップは、発泡ポリマー層を付
着させる請求項31の方法。
35. The method of claim 31, wherein depositing a polymer layer deposits a foamed polymer layer.
【請求項36】 第1レベルの金属導体上に多数の第2レベルのビアと第2
レベルの金属インターコネクトとを形成する、厚さが約10,000オングスト
ロームのポリマー層を付着させ、 デュアルダマシンプロセスによりフォトレジストのマスクを用いてポリマー層
をエッチングすることにより、第1レベルの金属導体に開口する多数の第2レベ
ルのビアと多数の第2レベルの金属溝とをポリマー層に画定し、 約100電子ボルトの低エネルギーイオン注入により、厚さが約15オングス
トロームのジルコニウム層を多数の第2レベルのビアと多数の第2レベルの金属
溝とに付着させ、 約100電子ボルトの低エネルギーイオン注入により、多数の第2レベルのビ
ア及び多数の第2レベルの金属溝のジルコニウム層上に厚さが約50オングスト
ロームの銅の種層を付着させ、 選択的付着プロセスにより、多数の第2レベルのビア及び多数の第2レベルの
金属溝の種層上に銅層を付着させるステップより成る請求項35の方法。
36. A number of second level vias and a second level on the first level metal conductor.
Depositing a polymer layer having a thickness of about 10,000 angstroms, which forms a level metal interconnect, and etching the polymer layer using a photoresist mask by a dual damascene process to form a first level metal conductor. A number of open second level vias and a number of second level metal trenches are defined in the polymer layer, and a low energy ion implant of about 100 eV is used to form a number of zirconium layers of about 15 angstroms in a number of layers. A low energy ion implantation of about 100 eV is applied to the zirconium layer of the second level vias and the second level metal grooves to deposit the zirconium layer on the second level vias and the second level metal grooves. A copper seed layer having a thickness of about 50 angstroms is deposited, and a selective deposition process is used to deposit a large number of layers. 36. The method of claim 35, comprising depositing a copper layer on the seed layer of the two level vias and a number of second level metal trenches.
【請求項37】 約100電子ボルトの低エネルギーイオン注入により、銅
の種層上に厚さが約50オングストロームのアルミニウム層を付着させるステッ
プをさらに含む請求項36の方法。
37. The method of claim 36, further comprising depositing an aluminum layer having a thickness of about 50 angstroms on the copper seed layer by low energy ion implantation of about 100 eV.
【請求項38】 種層上に銅層を付着させるステップは、無電解メッキによ
り種層上に銅層を付着させる請求項37の方法。
38. The method of claim 37, wherein the step of depositing a copper layer on the seed layer deposits the copper layer on the seed layer by electroless plating.
【請求項39】 酸素プラズマエッチングにより各ポリマー層を除去するス
テップをさらに含む請求項38の方法。
39. The method of claim 38, further comprising removing each polymer layer by oxygen plasma etching.
【請求項40】 集積回路に多層配線構造を形成する方法であって、 平坦な表面にある多数の第1レベルのビアに開口する多数の第1レベルの金属
ライン溝を第1の絶縁層に画定するために、フォトレジストのマスクにより第1
の絶縁層をパターン形成し、 100乃至700電子ボルトの低エネルギーイオン注入により、厚さが5乃至
150オングストロームの範囲にある第1の障壁/接着層を多数の第1レベルの
金属ライン溝に付着させ、 100乃至700電子ボルトの低エネルギーイオン注入により、厚さが5乃至
150オングストロームの範囲にある第1の種層を多数の第1レベルの金属ライ
ン溝の障壁/接着層上に付着させ、 選択的付着プロセスにより第1の種層上に多数の第1レベルの金属ラインを付
着させ、 多数の第2レベルのビア及び第2レベルの金属インターコネクトが多数の第1
レベルの金属ライン上に形成される厚さが約10、000オングストロームの第
2の絶縁層を付着させ、 デュアルダマシンプロセスによりフォトレジストのマスクを用いて第2の絶縁
層をエッチングすることにより、多数の第1レベルの金属ラインに開口する多数
の第2レベルのビア及び第2レベルの金属ライン溝を第2の絶縁層に画定し、 100乃至700電子ボルトの低エネルギーイオン注入により、厚さが5乃至
150オングストロームの範囲にある第2の障壁/接着層を多数の第2レベルの
ビア及び多数の第2レベルの金属ライン溝に付着させ、 100乃至700電子ボルトの低エネルギーイオン注入により、厚さが5乃至
100オングストロームの範囲にある第2の種層を多数の第2レベルのビア及び
多数の第2レベルの金属ライン溝の障壁/接着層上に付着させ、 選択的付着プロセスにより、多数の第2レベルのビア及び多数の第2レベルの
金属ライン溝の第2の種層上に金属導体を付着させるステップより成る多層配線
構造の形成方法。
40. A method of forming a multi-layer wiring structure in an integrated circuit, the method comprising forming a plurality of first level metal line trenches opening in a plurality of first level vias on a flat surface in a first insulating layer. First by a mask of photoresist to define
The insulating layer and depositing a first barrier / adhesive layer with a thickness in the range of 5-150 Angstroms on a number of first level metal line trenches by low energy ion implantation of 100-700 eV. And depositing a first seed layer having a thickness in the range of 5 to 150 angstroms on the barrier / adhesion layer of a number of first level metal line trenches by low energy ion implantation of 100 to 700 eV, Depositing a number of first level metal lines on the first seed layer by a selective deposition process, a number of second level vias and a number of first level metal interconnects;
By depositing a second insulating layer having a thickness of about 10,000 angstroms formed on the level metal lines and etching the second insulating layer using a photoresist mask by a dual damascene process, A plurality of second level vias and second level metal line trenches opening into the first level metal lines of the second insulating layer, the low energy ion implantation of 100 to 700 eV reduces the thickness. A second barrier / adhesion layer in the range of 5 to 150 angstroms is deposited on the multiple second level vias and multiple second level metal line trenches, and a low energy ion implant of 100 to 700 eV is used to increase the thickness. A second seed layer having a thickness in the range of 5 to 100 angstroms and a number of second level vias and a number of second level metal layers. A metal conductor on the second seed layer of the plurality of second level vias and the plurality of second level metal line grooves by a selective deposition process. Of forming a multi-layered wiring structure.
【請求項41】 第1の絶縁層をパターン形成し、第2の絶縁層を付着させ
るステップは、ポリイミドの第1の絶縁層をパターン形成し、ポリイミドの第2
の絶縁層を付着させる請求項40の方法。
41. The step of patterning a first insulating layer and depositing a second insulating layer comprises patterning a first insulating layer of polyimide and a second polyimide layer.
41. The method of claim 40, wherein the insulating layer is deposited.
【請求項42】 第1及び第2の障壁/接着層を付着させるステップは、窒
化タンタル、チタン、ジルコニウム及びハフニウムより成る群から選択した第1
及び第2の障壁/接着層を付着させる請求項40の方法。
42. The step of depositing the first and second barrier / adhesion layers comprises first selecting from the group consisting of tantalum nitride, titanium, zirconium and hafnium.
41. The method of claim 40, wherein a second barrier / adhesive layer is applied.
【請求項43】 多数の第1レベルの金属ラインを付着させ、第2の種層上
に金属導体を付着させるステップは、アルミニウム、銅、銀及び金より成る群か
ら選択した多数の第1レベルの金属ラインを付着させ、アルミニウム、銅、銀及
び金から成る群から選択した金属導体を第2の種層上に付着させる請求項40の
方法。
43. The step of depositing a number of first level metal lines and depositing a metal conductor on the second seed layer comprises a number of first level selected from the group consisting of aluminum, copper, silver and gold. 41. The method of claim 40, wherein said metal line is deposited and a metal conductor selected from the group consisting of aluminum, copper, silver and gold is deposited on the second seed layer.
【請求項44】 多数の第1レベルの金属ラインを付着させ、第2の種層上
に金属導体を付着させるステップは、無電解メッキにより、多数の第1レベルの
金属ラインを付着させ、第2の種層上に金属導体を付着させる請求項40の方法
44. The step of depositing a number of first level metal lines and depositing a metal conductor on the second seed layer comprises depositing a number of first level metal lines by electroless plating, 41. The method of claim 40, wherein a metal conductor is deposited on the second seed layer.
【請求項45】 第1及び第2の障壁/接着層上に第1及び第2の種層を付
着させるステップは、アルミニウム、銅、銀及び金より成るから選択した第1及
び第2の種層を付着させる請求項40の方法。
45. The step of depositing first and second seed layers on the first and second barrier / adhesion layers comprises first and second seeds selected from the group consisting of aluminum, copper, silver and gold. 41. The method of claim 40, wherein the layers are applied.
【請求項46】 フォトレジストのマスクを用いて第1の絶縁層をパターン
形成し、デュアルダマシンプロセスによりフォトレジストのマスクを用いて第2
の絶縁層をエッチングするステップは、 第1及び第2の絶縁層の頂面上に残留するフォトレジスト層を残し、 多数の第1レベルの金属ラインを付着させ、金属導体を第2の種層上に付着さ
せる前に、フォトレジストのストリップにより第1及び第2の絶縁層の頂面上か
ら第1及び第2の障壁/接着層だけでなく第1及び第2の種層を除去するステッ
プを含む請求項40の方法。
46. The first insulating layer is patterned using a photoresist mask and the second insulating layer is patterned using a photoresist mask by a dual damascene process.
The step of etching the insulating layer of, leaving a photoresist layer remaining on top of the first and second insulating layers, depositing a number of first level metal lines, and depositing a metal conductor on the second seed layer. Removing the first and second seed layers as well as the first and second barrier / adhesion layers from the top surface of the first and second insulating layers by strips of photoresist prior to depositing thereon. 41. The method of claim 40, including.
【請求項47】 集積回路の金属層であって、 基板の多数のシリコンデバイスに接続された多数の第1レベルのビアと、 多数の第1レベルのビアの上に形成され、それらと接続された多数の第1レベ
ルの金属ラインと、 多数の第1レベルの金属ライン上に形成され、厚さが5乃至150オングスト
ロームの範囲にある障壁/接着層と、 少なくとも、障壁/接着層の一部と、多数の第1レベルの金属ラインとの間に
形成され、厚さが5乃至150オングストロームの範囲にある種層とより成る集
積回路の金属層。
47. A metal layer of an integrated circuit comprising: a number of first level vias connected to a number of silicon devices of a substrate; and formed over and connected to a number of first level vias. A plurality of first level metal lines, a barrier / adhesive layer formed on the plurality of first level metal lines and having a thickness in the range of 5 to 150 angstroms, and at least a part of the barrier / adhesive layer And a seed layer having a thickness in the range of 5 to 150 angstroms and formed between a plurality of first level metal lines.
【請求項48】 厚さが5乃至150オングストロームの範囲にある障壁/
接着層は、チタン、ジルコニウム及びハフニウムより成る群から選択した障壁/
接着層を含む請求項47の金属層。
48. A barrier / thickness in the range of 5 to 150 angstroms
The adhesive layer is a barrier / selected from the group consisting of titanium, zirconium and hafnium.
48. The metal layer of claim 47 including an adhesive layer.
【請求項49】 基板の多数のシリコンデバイスに接続した多数の第1レベ
ルのビアは、絶縁層に取り囲まれている請求項47の金属層。
49. The metal layer of claim 47, wherein the plurality of first level vias connected to the plurality of silicon devices of the substrate are surrounded by an insulating layer.
【請求項50】 多数の第1レベルの金属ライン上に形成された障壁/接着
層は、ポリイミドの絶縁層により取り囲まれている請求項47の金属層。
50. The metal layer of claim 47, wherein the barrier / adhesion layer formed on the plurality of first level metal lines is surrounded by an insulating layer of polyimide.
【請求項51】 多数の第1レベルの金属ラインは、アルミニウム、銅、銀
及び金より成る群から選択された多数の第1レベルの金属ラインを含む請求項4
7の金属層。
51. The number of first level metal lines includes a number of first level metal lines selected from the group consisting of aluminum, copper, silver and gold.
7 metal layers.
【請求項52】 集積メモリー回路であって、 1またはそれ以上のトランジスタを含む基板と、 基板の1またはそれ以上のトランジスタに接続された1またはそれ以上の第1
レベルのビアを備え、基板の上層を形成する絶縁層と、 1またはそれ以上の第1レベルのビアの上方に形成されそれらと接続された1
またはそれ以上の導電構造を有し、絶縁層の上層を形成するポリイミド層とより
成り、 1またはそれ以上の導電構造はそれぞれ、 多数の第1レベルの金属ラインと、 多数の第1レベルの金属ライン上に形成され、厚さが5乃至150オングスト
ロームの範囲にある障壁/接着層と、 少なくとも、障壁/接着層の一部と、多数の第1レベルの金属ラインとの間に
形成され、厚さが5乃至150オングストロームの範囲にある種層とより成る集
積メモリー回路。
52. An integrated memory circuit, comprising: a substrate including one or more transistors, and one or more first transistors connected to the one or more transistors of the substrate.
An insulating layer that comprises a level via and forms an upper layer of the substrate; and 1 formed above and connected to one or more first level vias
Or more conductive structures, comprising a polyimide layer forming an upper layer of the insulating layer, wherein each of the one or more conductive structures is a number of first level metal lines and a number of first level metals. A barrier / adhesion layer formed on the line and having a thickness in the range of 5 to 150 angstroms, at least a portion of the barrier / adhesion layer, and a number of first level metal lines; An integrated memory circuit comprising a seed layer having a thickness in the range of 5-150 Angstroms.
【請求項53】 プロセッサーと、 プロセッサーに結合された集積メモリー回路とより成り、 集積メモリー回路はさらに、 1またはそれ以上のトランジスタを備えた基板と、 1またはそれ以上のトランジスタに接続された1またはそれ以上の第1レベル
のビアを備え、基板の上層を形成する絶縁層と、 1またはそれ以上の第1レベルのビアの上方に形成されそれらと接続された1
またはそれ以上の導電構造を有し、絶縁層の上層を形成するポリイミド層とより
成り、 1またはそれ以上の導電構造はそれぞれ、 多数の第1レベルの金属ラインと、 多数の第1レベルの金属ライン上に形成され、厚さが5乃至150オングスト
ロームの範囲にある障壁/接着層と、 少なくとも、障壁/接着層の一部と、多数の第1レベルの金属ラインとの間に
形成され、厚さが5乃至150オングストロームの範囲にある種層とより成るシ
ステム。
53. A processor and an integrated memory circuit coupled to the processor, the integrated memory circuit further comprising: a substrate having one or more transistors, and one or more connected to one or more transistors. An insulating layer having higher first level vias and forming an upper layer of the substrate; and 1 formed above and connected to one or more first level vias
Or more conductive structures, comprising a polyimide layer forming an upper layer of the insulating layer, wherein each of the one or more conductive structures is a number of first level metal lines and a number of first level metals. A barrier / adhesion layer formed on the line and having a thickness in the range of 5 to 150 angstroms, at least a portion of the barrier / adhesion layer, and a number of first level metal lines; A system comprising a seed layer having a range of 5 to 150 Angstroms.
【請求項54】 厚さが5乃至150オングストロームの障壁/接着層は、
チタン、ジルコニウム及びハフニウムより成る群から選択した障壁/接着層を含
む請求項53のシステム。
54. A barrier / adhesive layer having a thickness of 5 to 150 angstroms,
54. The system of claim 53, comprising a barrier / adhesion layer selected from the group consisting of titanium, zirconium and hafnium.
【請求項55】 少なくとも、障壁/接着層の一部と、多数の第1レベルの
金属ラインとの間に形成され、厚さが5乃至150オングストロームの範囲にあ
る種層は銅の種層より成り、多数の第1レベルの金属ラインは多数の銅の金属ラ
インより成る請求項53のシステム。
55. A seed layer formed between at least a portion of the barrier / adhesion layer and a number of first level metal lines and having a thickness in the range of 5 to 150 angstroms is better than a copper seed layer. 54. The system of claim 53, wherein the plurality of first level metal lines comprises a plurality of copper metal lines.
【請求項56】 集積回路の金属層であって、 基板の多数のシリコンデバイスに接続された、第1の絶縁層内の多数の第1レ
ベルのビアと、 第1の絶縁層内の多数の第1レベルのビア上に形成された酸化物層とより成り
、 酸化物層は、該酸化物層の頂面から多数の第1レベルのビアへ接続を行う多数
の導電構造を有し、 各導電構造は、 厚さが約50オングストロームのチタンまたはジルコニウム層と、 チタンまたはジルコニウム層上の、厚さが約50オングストロームの第1のア
ルミニウム層と、 第1のアルミニウム層上の、厚さが約50オングストロームの銅層と、 銅層上の、厚さが約50オングストロームのアルミニウムの第2の層とより成
る集積回路の金属層。
56. A plurality of first level vias in a first insulating layer connected to a plurality of silicon devices of a substrate, and a plurality of first level vias in the first insulating layer, the metal layers of the integrated circuit. Consisting of an oxide layer formed on the first level vias, the oxide layer having a number of conductive structures connecting from the top surface of the oxide layer to a number of the first level vias, The conductive structure comprises a titanium or zirconium layer having a thickness of about 50 Å, a first aluminum layer having a thickness of about 50 Å on the titanium or zirconium layer, and a thickness of about 50 Å on the first aluminum layer. A metal layer of an integrated circuit comprising a 50 angstrom copper layer and a second layer of aluminum having a thickness of about 50 angstroms on the copper layer.
【請求項57】 集積回路の金属インターコネクトであって、 基板の多数のシリコンデバイスに接続された、第1の絶縁層内の多数の第1レ
ベルのビアと、 第1の絶縁層内の多数の第1レベルのビア上に形成された酸化物層とより成り
、 酸化物層は、該酸化物層の頂面から多数の第1レベルのビアへ接続を行う多数
の導電構造を有し、 各導電構造は、 厚さが約100オングストロームのタンタル層と、 タンタル層上の窒素層と、 窒素層上の、厚さが約100オングストロームの種層と、 種層上の銅金属ラインとより成る集積回路の金属インターコネクト。
57. A metal interconnect of an integrated circuit comprising: a plurality of first level vias in a first insulating layer and a plurality of first level vias in a first insulating layer connected to a plurality of silicon devices of a substrate. Consisting of an oxide layer formed on the first level vias, the oxide layer having a number of conductive structures connecting from the top surface of the oxide layer to a number of the first level vias, The conductive structure is composed of a tantalum layer having a thickness of about 100 Å, a nitrogen layer on the tantalum layer, a seed layer having a thickness of about 100 Å on the nitrogen layer, and a copper metal line on the seed layer. Circuit metal interconnect.
【請求項58】 各導電構造は、その頂面が酸化物層の頂面と同一レベルと
なるように形成された窒化タンタル層をさらに含む請求項57の金属層。
58. The metal layer of claim 57, wherein each conductive structure further comprises a tantalum nitride layer formed such that its top surface is flush with the top surface of the oxide layer.
【請求項59】 集積回路の配線構造であって、 基板の多数のシリコンデバイスに接続された、第1の絶縁層内の多数の第1レ
ベルのビアと、 第1の絶縁層の多数の第1レベルのビア上に形成され、それらと接続された第
1の多数の導電構造とより成り、 各導電構造は、 厚さが約15オングストロームのジルコニウム層と、 ジルコニウム層上の厚さが約50オングストロームの銅の種層と、 銅の種層上に形成された銅の金属ラインとより成る集積回路の配線構造。
59. An integrated circuit wiring structure comprising: a plurality of first level vias in a first insulating layer and a plurality of first insulating layers connected to a plurality of silicon devices of a substrate. A first number of conductive structures formed on and connected to the vias of one level, each conductive structure having a zirconium layer having a thickness of about 15 Å and a thickness of about 50 on the zirconium layer. An integrated circuit wiring structure consisting of an Angstrom copper seed layer and copper metal lines formed on the copper seed layer.
【請求項60】 各導電構造はさらに、銅の種層と、銅の金属ラインとの間
に形成された厚さが約50オングストロームのアルミニウム層を含む請求項59
の配線構造。
60. Each conductive structure further comprises a copper seed layer and an aluminum layer having a thickness of about 50 angstroms formed between the copper metal lines.
Wiring structure.
【請求項61】 多数の導電構造を取り囲むポリマー層をさらに含む請求項
59の配線構造。
61. The wiring structure of claim 59, further comprising a polymer layer surrounding a number of conductive structures.
【請求項62】 ポリマー層は発泡ポリマー層を含む請求項61の配線構造
62. The wiring structure of claim 61, wherein the polymer layer comprises a foamed polymer layer.
【請求項63】 第1の多数の導電構造上に形成されそれらと接続された多
数の第2レベルのビア及び多数の第2レベルの金属ラインを含む第2の多数の導
電構造をさらに有し、 第2の多数の導電構造はそれぞれ、 厚さが約15オングストロームのジルコニウム層と、 ジルコニウム層の少なくとも一部の上の厚さが約50オングストロームの銅の
種層と、 種層上及びジルコニウム層内の銅のコア導体とより成る請求項59の配線構造
63. A second plurality of conductive structures further comprising a plurality of second level vias formed on and connected to the first plurality of conductive structures and a plurality of second level metal lines. A second plurality of conductive structures each comprising a zirconium layer having a thickness of about 15 Å, a copper seed layer having a thickness of about 50 Å on at least a portion of the zirconium layer, and the seed layer and the zirconium layer. 60. The wiring structure of claim 59 comprising an inner copper core conductor.
【請求項64】 集積回路の多層配線構造であって、 基板の多数のシリコンデバイスに接続された、第1の絶縁層内の多数の第1レ
ベルのビアと、 第1の絶縁層内の多数の第1レベルのビア上に形成され、それらと接続された
第1の多数の導電構造と、 第1の多数の導電構造上に形成されそれらと接続された、多数の第2レベルの
ビア及び多数の第2レベルの金属ラインを含む第2の多数の導電構造とより成り
、 第1の導電構造はそれぞれ、 厚さが5乃至150オングストロームの範囲にある第1の障壁/接着層と、 第1の障壁/接着層ジルコニウム層の少なくとも一部の上に形成され、厚さが
5乃至150オングストロームの範囲にある第1の種層と、 第1の種層上及び第1の障壁/接着層内に形成された第1のコア導体とより成
り、 第2の導電構造はそれぞれ、 厚さが5乃至150オングストロームの範囲にある第2の障壁/接着層と、 第2の障壁/接着層ジルコニウム層の少なくとも一部の上に形成され、厚さが
5乃至150オングストロームの範囲にある第2の種層と、 第2の種層上及び第2の障壁/接着層内に形成された第2のコア導体とより成
る集積回路の多層配線構造。
64. A multilayer wiring structure for an integrated circuit, comprising: a plurality of first level vias in a first insulating layer and a plurality of first level insulating layers connected to a plurality of silicon devices of a substrate. A plurality of first level conductive structures formed over and connected to the first level vias of, and a plurality of second level vias formed over the first number of conductive structures and connected thereto. A second plurality of conductive structures including a plurality of second level metal lines, each first conductive structure having a first barrier / adhesive layer having a thickness in the range of 5 to 150 angstroms; First barrier / adhesion layer A first seed layer formed on at least a portion of the zirconium layer and having a thickness in the range of 5 to 150 angstroms; and on the first seed layer and the first barrier / adhesion layer. Consisting of a first core conductor formed within, Each of the two conductive structures is formed on a second barrier / adhesive layer having a thickness in the range of 5 to 150 angstroms and at least a portion of the second barrier / adhesive zirconium layer, and has a thickness of 5 A multi-layer wiring structure of an integrated circuit comprising a second seed layer in the range of 150 to 150 angstroms and a second core conductor formed on the second seed layer and in the second barrier / adhesion layer.
【請求項65】 第1及び第2の多数の導電構造はポリイミド層により取り
囲まれている請求項64の多層配線構造。
65. The multilayer wiring structure of claim 64, wherein the first and second multiple conductive structures are surrounded by a polyimide layer.
【請求項66】 ポリイミド層は発泡ポリイミド層を含む請求項64の多層
配線構造。
66. The multilayer wiring structure of claim 64, wherein the polyimide layer includes a foamed polyimide layer.
【請求項67】 第1及び第2の障壁/接着層は、窒化タンタル、チタン、
ジルコニウム及びハフニウムより成る群から選択した第1及び第2の障壁/接着
層を含む請求項64の多層配線構造。
67. The first and second barrier / adhesion layers are tantalum nitride, titanium,
65. The multi-layer wiring structure of claim 64 including first and second barrier / adhesion layers selected from the group consisting of zirconium and hafnium.
【請求項68】 第1及び第2のコア導体は、アルミニウム、銅、銀及び金
より成る群から選択した金属導体を含む請求項64の多層配線構造。
68. The multilayer wiring structure of claim 64, wherein the first and second core conductors include a metal conductor selected from the group consisting of aluminum, copper, silver and gold.
【請求項69】 第1及び第2の種層は、アルミニウム、銅、銀及び金より
成る群から選択した第1及び第2の種層を含む請求項64の多層配線構造。
69. The multilayer wiring structure of claim 64, wherein the first and second seed layers include first and second seed layers selected from the group consisting of aluminum, copper, silver and gold.
JP2001553582A 2000-01-18 2001-01-18 Process for providing seed layers of aluminum, copper, gold and silver Pending JP2003520450A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/484,002 2000-01-18
US09/484,002 US6376370B1 (en) 2000-01-18 2000-01-18 Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
PCT/US2001/001634 WO2001054192A1 (en) 2000-01-18 2001-01-18 Process for providing seed layers for aluminium, copper, gold and silver metallurgy

Publications (2)

Publication Number Publication Date
JP2003520450A true JP2003520450A (en) 2003-07-02
JP2003520450A5 JP2003520450A5 (en) 2007-12-06

Family

ID=23922330

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001553582A Pending JP2003520450A (en) 2000-01-18 2001-01-18 Process for providing seed layers of aluminum, copper, gold and silver

Country Status (6)

Country Link
US (4) US6376370B1 (en)
JP (1) JP2003520450A (en)
KR (1) KR100491068B1 (en)
AU (1) AU2001229584A1 (en)
DE (1) DE10194958B4 (en)
WO (1) WO2001054192A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012064953A (en) * 2003-03-20 2012-03-29 Toshiba Mobile Display Co Ltd Method of forming interconnection and method of forming display device having the interconnection

Families Citing this family (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6429120B1 (en) * 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US6541858B1 (en) * 1998-12-17 2003-04-01 Micron Technology, Inc. Interconnect alloys and methods and apparatus using same
US20020127845A1 (en) * 1999-03-01 2002-09-12 Paul A. Farrar Conductive structures in integrated circuits
US7211512B1 (en) * 2000-01-18 2007-05-01 Micron Technology, Inc. Selective electroless-plated copper metallization
US7262130B1 (en) * 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US6376370B1 (en) * 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US6420262B1 (en) * 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
JP3548488B2 (en) * 2000-03-13 2004-07-28 沖電気工業株式会社 Method for manufacturing semiconductor device using ferroelectric substance
US6423629B1 (en) * 2000-05-31 2002-07-23 Kie Y. Ahn Multilevel copper interconnects with low-k dielectrics and air gaps
US6674167B1 (en) * 2000-05-31 2004-01-06 Micron Technology, Inc. Multilevel copper interconnect with double passivation
US6710452B1 (en) * 2000-07-19 2004-03-23 Advanced Micro Devices, Inc. Coherent diffusion barriers for integrated circuit interconnects
US7224063B2 (en) * 2001-06-01 2007-05-29 International Business Machines Corporation Dual-damascene metallization interconnection
US6900119B2 (en) 2001-06-28 2005-05-31 Micron Technology, Inc. Agglomeration control using early transition metal alloys
JP2003100757A (en) * 2001-09-27 2003-04-04 Toshiba Corp Semiconductor device and method for manufacturing the same
US6727177B1 (en) * 2001-10-18 2004-04-27 Lsi Logic Corporation Multi-step process for forming a barrier film for use in copper layer formation
US6703307B2 (en) 2001-11-26 2004-03-09 Advanced Micro Devices, Inc. Method of implantation after copper seed deposition
US6703308B1 (en) 2001-11-26 2004-03-09 Advanced Micro Devices, Inc. Method of inserting alloy elements to reduce copper diffusion and bulk diffusion
US6835655B1 (en) 2001-11-26 2004-12-28 Advanced Micro Devices, Inc. Method of implanting copper barrier material to improve electrical performance
US7696092B2 (en) * 2001-11-26 2010-04-13 Globalfoundries Inc. Method of using ternary copper alloy to obtain a low resistance and large grain size interconnect
US6861349B1 (en) * 2002-05-15 2005-03-01 Advanced Micro Devices, Inc. Method of forming an adhesion layer with an element reactive with a barrier layer
US6727175B2 (en) * 2002-08-02 2004-04-27 Micron Technology, Inc. Method of controlling metal formation processes using ion implantation, and system for performing same
US7494894B2 (en) * 2002-08-29 2009-02-24 Micron Technology, Inc. Protection in integrated circuits
US6770559B1 (en) * 2002-10-29 2004-08-03 Advanced Micro Devices, Inc. Method of forming wiring by implantation of seed layer material
US6852627B2 (en) * 2003-03-05 2005-02-08 Micron Technology, Inc. Conductive through wafer vias
US7022579B2 (en) * 2003-03-14 2006-04-04 Micron Technology, Inc. Method for filling via with metal
US6740392B1 (en) 2003-04-15 2004-05-25 Micron Technology, Inc. Surface barriers for copper and silver interconnects produced by a damascene process
US20050006770A1 (en) * 2003-07-08 2005-01-13 Valeriy Sukharev Copper-low-K dual damascene interconnect with improved reliability
US7220665B2 (en) * 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
JP3954998B2 (en) * 2003-08-11 2007-08-08 ローム株式会社 Semiconductor device and manufacturing method thereof
US7169706B2 (en) * 2003-10-16 2007-01-30 Advanced Micro Devices, Inc. Method of using an adhesion precursor layer for chemical vapor deposition (CVD) copper deposition
US7989956B1 (en) 2004-09-03 2011-08-02 Advanced Micro Devices, Inc. Interconnects with improved electromigration reliability
TWI267946B (en) * 2005-08-22 2006-12-01 Univ Nat Chiao Tung Interconnection of group III-V semiconductor device and fabrication method for making the same
JP4548280B2 (en) * 2005-08-31 2010-09-22 ソニー株式会社 Manufacturing method of semiconductor device
US7396750B2 (en) * 2005-09-28 2008-07-08 Northern Lights Semiconductor Corp. Method and structure for contacting two adjacent GMR memory bit
US7968394B2 (en) 2005-12-16 2011-06-28 Freescale Semiconductor, Inc. Transistor with immersed contacts and methods of forming thereof
KR100770541B1 (en) * 2005-12-29 2007-10-25 동부일렉트로닉스 주식회사 Semiconductor device and manufacturing method thereof
WO2007111518A1 (en) * 2006-03-27 2007-10-04 Nano Cluster Devices Limited Filling of nanoscale and microscale structures
US20080157911A1 (en) * 2006-12-29 2008-07-03 Fajardo Arnel M Soft magnetic layer for on-die inductively coupled wires with high electrical resistance
JP5010939B2 (en) * 2007-02-19 2012-08-29 株式会社東芝 Manufacturing method of semiconductor device
KR100847985B1 (en) * 2007-06-25 2008-07-22 삼성전자주식회사 Method of preparing metal line
DE102007031958A1 (en) * 2007-07-10 2009-01-15 Deutsche Cell Gmbh Contact structure for a semiconductor device and method for producing the same
JP4836092B2 (en) * 2008-03-19 2011-12-14 国立大学法人東北大学 Method for forming semiconductor device
US8871619B2 (en) * 2008-06-11 2014-10-28 Intevac, Inc. Application specific implant system and method for use in solar cell fabrications
JP4441658B1 (en) * 2008-12-19 2010-03-31 国立大学法人東北大学 Copper wiring forming method, copper wiring, and semiconductor device
US8749053B2 (en) 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
US8227708B2 (en) * 2009-12-14 2012-07-24 Qualcomm Incorporated Via structure integrated in electronic substrate
CN102543671B (en) * 2010-12-08 2015-02-11 中国科学院微电子研究所 Manufacture method for semiconductor wafer
US20120161319A1 (en) * 2010-12-23 2012-06-28 Stmicroelectronics Pte Ltd. Ball grid array method and structure
US8962443B2 (en) * 2011-01-31 2015-02-24 Avago Technologies General Ip (Singapore) Pte. Ltd. Semiconductor device having an airbridge and method of fabricating the same
US8525339B2 (en) 2011-07-27 2013-09-03 International Business Machines Corporation Hybrid copper interconnect structure and method of fabricating same
US8564132B2 (en) * 2011-08-17 2013-10-22 International Business Machines Corporation Tungsten metallization: structure and fabrication of same
TWI506719B (en) 2011-11-08 2015-11-01 Intevac Inc Substrate processing system and method
US8791014B2 (en) 2012-03-16 2014-07-29 Globalfoundries Inc. Methods of forming copper-based conductive structures on semiconductor devices
TWI570745B (en) 2012-12-19 2017-02-11 因特瓦克公司 Grid for plasma ion implant
US9312203B2 (en) 2013-01-02 2016-04-12 Globalfoundries Inc. Dual damascene structure with liner
US9269668B2 (en) 2014-07-17 2016-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect having air gaps and polymer wrapped conductive lines
US9666514B2 (en) * 2015-04-14 2017-05-30 Invensas Corporation High performance compliant substrate
US9704804B1 (en) * 2015-12-18 2017-07-11 Texas Instruments Incorporated Oxidation resistant barrier metal process for semiconductor devices
CN108122820B (en) * 2016-11-29 2020-06-02 中芯国际集成电路制造(上海)有限公司 Interconnect structure and method of making the same
US10685869B2 (en) * 2018-10-19 2020-06-16 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method of forming the same
KR102202032B1 (en) * 2019-03-19 2021-01-13 하이엔드테크놀로지(주) Method for manufacturing semiconductor memory device
USD961897S1 (en) 2021-08-17 2022-08-30 Nike, Inc. Shoe
USD961898S1 (en) 2021-08-17 2022-08-30 Nike, Inc. Shoe
USD961895S1 (en) 2021-08-17 2022-08-30 Nike, Inc. Shoe
USD961899S1 (en) 2021-08-17 2022-08-30 Nike, Inc. Shoe

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS583221A (en) * 1981-06-29 1983-01-10 Fujitsu Ltd Ion beam accumulation
JPH03278528A (en) * 1990-03-28 1991-12-10 Shimadzu Corp Manufacture of mes field-effect transistor
JPH0613375A (en) * 1989-12-13 1994-01-21 Intel Corp Method for forming interconnection level of very-large-scale integrated device
JPH077078A (en) * 1993-03-23 1995-01-10 Sharp Corp Nucleation seed implantation for selective metallization and its product
JPH0778869A (en) * 1993-06-30 1995-03-20 Kawasaki Steel Corp Semiconductor device and manufacture thereof
US5670420A (en) * 1994-12-05 1997-09-23 Hyundai Electronics Industries Co., Ltd. Method of forming metal interconnection layer of semiconductor device
US5824599A (en) * 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
JPH1187276A (en) * 1997-09-12 1999-03-30 Ebara Corp Plating to substrate
JPH11312655A (en) * 1998-04-30 1999-11-09 Sony Corp Formation of copper-alloy film and manufacture of semiconductor device
JP2000068264A (en) * 1998-08-26 2000-03-03 Sony Corp Method for surface treating porous insulating film and manufacture of electronic device using the same
JP2000150510A (en) * 1998-11-05 2000-05-30 Sony Corp Composite porous insulating film and formation method therefor, and, electronic device and manufacture thereof

Family Cites Families (215)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US158986A (en) * 1875-01-19 Improvement in toilet-stand bedsteads
US2842438A (en) 1956-08-02 1958-07-08 American Metal Climax Inc Copper-zirconium alloys
US3515663A (en) * 1968-02-01 1970-06-02 Hewlett Packard Co Triode sputtering apparatus using an electron emitter
US3954570A (en) 1974-11-11 1976-05-04 Amp Incorporated Sensitized polyimides and circuit elements thereof
US4213818A (en) 1979-01-04 1980-07-22 Signetics Corporation Selective plasma vapor etching process
US4423547A (en) 1981-06-01 1984-01-03 International Business Machines Corporation Method for forming dense multilevel interconnection metallurgy for semiconductor devices
US4394223A (en) 1981-10-06 1983-07-19 The United States Of America As Represented By The Secretary Of The Air Force Tin and gold plating process
US4386116A (en) 1981-12-24 1983-05-31 International Business Machines Corporation Process for making multilayer integrated circuit substrate
US4565157A (en) * 1983-03-29 1986-01-21 Genus, Inc. Method and apparatus for deposition of tungsten silicides
DE3585901D1 (en) 1984-02-13 1992-05-27 Iii Jerome J Schmitt METHOD AND DEVICE FOR GAS RAY DEPOSITION OF CONDUCTIVE AND DIELECTRIC THICKEN FILMS AND PRODUCTS MADE THEREOF.
US4574095A (en) 1984-11-19 1986-03-04 International Business Machines Corporation Selective deposition of copper
US4996584A (en) 1985-01-31 1991-02-26 Gould, Inc. Thin-film electrical connections for integrated circuits
US4762728A (en) 1985-04-09 1988-08-09 Fairchild Semiconductor Corporation Low temperature plasma nitridation process and applications of nitride films formed thereby
DE3724617A1 (en) * 1986-07-25 1988-01-28 Fuji Photo Film Co Ltd Recording medium and method of carrying out recording/reproduction using the recording medium
JPH0777211B2 (en) 1987-08-19 1995-08-16 富士通株式会社 Ashing method
JP2544396B2 (en) 1987-08-25 1996-10-16 株式会社日立製作所 Method for manufacturing semiconductor integrated circuit device
GB2214709A (en) 1988-01-20 1989-09-06 Philips Nv A method of enabling connection to a substructure forming part of an electronic device
US5019531A (en) 1988-05-23 1991-05-28 Nippon Telegraph And Telephone Corporation Process for selectively growing thin metallic film of copper or gold
US4847111A (en) 1988-06-30 1989-07-11 Hughes Aircraft Company Plasma-nitridated self-aligned tungsten system for VLSI interconnections
JPH02220464A (en) 1989-02-22 1990-09-03 Toshiba Corp Semiconductor device and manufacture thereof
US4962058A (en) 1989-04-14 1990-10-09 International Business Machines Corporation Process for fabricating multi-level integrated circuit wiring structure from a single metal deposit
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4984459A (en) * 1989-09-21 1991-01-15 Shannon E Paul Rate of turn indicator
JP2839579B2 (en) * 1989-10-02 1998-12-16 株式会社東芝 Semiconductor device and manufacturing method thereof
US5100499A (en) 1989-12-20 1992-03-31 Texas Instruments Incorporated Copper dry etch process using organic and amine radicals
US5256205A (en) 1990-05-09 1993-10-26 Jet Process Corporation Microwave plasma assisted supersonic gas jet deposition of thin film materials
US5173442A (en) 1990-07-23 1992-12-22 Microelectronics And Computer Technology Corporation Methods of forming channels and vias in insulating layers
US5243222A (en) 1991-04-05 1993-09-07 International Business Machines Corporation Copper alloy metallurgies for VLSI interconnection structures
US5130274A (en) 1991-04-05 1992-07-14 International Business Machines Corporation Copper alloy metallurgies for VLSI interconnection structures
US5158986A (en) 1991-04-05 1992-10-27 Massachusetts Institute Of Technology Microcellular thermoplastic foamed with supercritical fluid
US5240878A (en) * 1991-04-26 1993-08-31 International Business Machines Corporation Method for forming patterned films on a substrate
US5219793A (en) 1991-06-03 1993-06-15 Motorola Inc. Method for forming pitch independent contacts and a semiconductor device having the same
JP2868167B2 (en) 1991-08-05 1999-03-10 インターナショナル・ビジネス・マシーンズ・コーポレイション Multi-level high density interconnect structures and high density interconnect structures
US5442237A (en) 1991-10-21 1995-08-15 Motorola Inc. Semiconductor device having a low permittivity dielectric
US5413687A (en) * 1991-11-27 1995-05-09 Rogers Corporation Method for metallizing fluoropolymer substrates
US5171712A (en) 1991-12-20 1992-12-15 Vlsi Technology, Inc. Method of constructing termination electrodes on yielded semiconductor die by visibly aligning the die pads through a transparent substrate
US5231056A (en) 1992-01-15 1993-07-27 Micron Technology, Inc. Tungsten silicide (WSix) deposition process for semiconductor manufacture
US5401680A (en) * 1992-02-18 1995-03-28 National Semiconductor Corporation Method for forming a ceramic oxide capacitor having barrier layers
US5300813A (en) 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5371042A (en) * 1992-06-16 1994-12-06 Applied Materials, Inc. Method of filling contacts in semiconductor devices
US5739579A (en) 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
US5651855A (en) 1992-07-28 1997-07-29 Micron Technology, Inc. Method of making self aligned contacts to silicon substrates during the manufacture of integrated circuits
CA2082771C (en) 1992-11-12 1998-02-10 Vu Quoc Ho Method for forming interconnect structures for integrated circuits
US5681441A (en) 1992-12-22 1997-10-28 Elf Technologies, Inc. Method for electroplating a substrate containing an electroplateable pattern
DE4400200C2 (en) 1993-01-05 1997-09-04 Toshiba Kawasaki Kk Semiconductor device with improved wiring structure and method of manufacturing the same
JP3326698B2 (en) 1993-03-19 2002-09-24 富士通株式会社 Manufacturing method of integrated circuit device
US5506449A (en) 1993-03-24 1996-04-09 Kawasaki Steel Corporation Interconnection structure for semiconductor integrated circuit and manufacture of the same
US5470801A (en) 1993-06-28 1995-11-28 Lsi Logic Corporation Low dielectric constant insulation layer for integrated circuit structure and method of making same
JPH0778815A (en) 1993-06-30 1995-03-20 Kawasaki Steel Corp Semiconductor device and its manufacture
US5539060A (en) 1993-07-30 1996-07-23 Nippon Zeon Co., Ltd. Method for hydrogenation of metathesis polymers
US5384284A (en) * 1993-10-01 1995-01-24 Micron Semiconductor, Inc. Method to form a low resistant bond pad interconnect
US5461243A (en) 1993-10-29 1995-10-24 International Business Machines Corporation Substrate for tensilely strained semiconductor
KR0179677B1 (en) 1993-12-28 1999-04-15 사토 후미오 Semiconductor device wiring or electrode
US5609721A (en) 1994-03-11 1997-03-11 Fujitsu Limited Semiconductor device manufacturing apparatus and its cleaning method
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US5366911A (en) 1994-05-11 1994-11-22 United Microelectronics Corporation VLSI process with global planarization
FR2723254B1 (en) 1994-07-26 1996-10-11 Pixel Int Sa FLAT DISPLAY ANODE
DE69529775T2 (en) 1994-08-05 2003-10-16 Ibm Process for producing a damascus structure with a WGe polishing stop layer
US5635253A (en) 1994-08-30 1997-06-03 International Business Machines Corporation Method of replenishing electroless gold plating baths
US5635423A (en) 1994-10-11 1997-06-03 Advanced Micro Devices, Inc. Simplified dual damascene process for multi-level metallization and interconnection structure
US5550405A (en) 1994-12-21 1996-08-27 Advanced Micro Devices, Incorporated Processing techniques for achieving production-worthy, low dielectric, low interconnect resistance and high performance ICS
US6285082B1 (en) 1995-01-03 2001-09-04 International Business Machines Corporation Soft metal conductor
US5625233A (en) * 1995-01-13 1997-04-29 Ibm Corporation Thin film multi-layer oxygen diffusion barrier consisting of refractory metal, refractory metal aluminide, and aluminum oxide
KR100413890B1 (en) 1995-03-02 2004-03-19 동경 엘렉트론 주식회사 Manufacturing method and manufacturing apparatus of semiconductor device
JP2728025B2 (en) * 1995-04-13 1998-03-18 日本電気株式会社 Method for manufacturing semiconductor device
EP0746027A3 (en) * 1995-05-03 1998-04-01 Applied Materials, Inc. Polysilicon/tungsten silicide multilayer composite formed on an integrated circuit structure, and improved method of making same
US5962923A (en) * 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
JPH09102541A (en) 1995-10-05 1997-04-15 Mitsubishi Electric Corp Semiconductor device and its manufacture
JPH09129727A (en) 1995-10-30 1997-05-16 Nec Corp Semiconductor device and manufacturing method thereof
US6065424A (en) * 1995-12-19 2000-05-23 Cornell Research Foundation, Inc. Electroless deposition of metal films with spray processor
US6224690B1 (en) * 1995-12-22 2001-05-01 International Business Machines Corporation Flip-Chip interconnections using lead-free solders
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
JP2924771B2 (en) 1996-02-26 1999-07-26 日本電気株式会社 Method of forming storage capacitor section
US5789264A (en) * 1996-03-27 1998-08-04 Daewoo Electronics Co., Ltd. Method for manufacturing a thin film actuated mirror having a flat light reflecting surface
US6008117A (en) 1996-03-29 1999-12-28 Texas Instruments Incorporated Method of forming diffusion barriers encapsulating copper
US5780358A (en) 1996-04-08 1998-07-14 Chartered Semiconductor Manufacturing Ltd. Method for chemical-mechanical polish (CMP) planarizing of cooper containing conductor layers
US5891804A (en) 1996-04-18 1999-04-06 Texas Instruments Incorporated Process for conductors with selective deposition
US5814557A (en) 1996-05-20 1998-09-29 Motorola, Inc. Method of forming an interconnect structure
US5925930A (en) * 1996-05-21 1999-07-20 Micron Technology, Inc. IC contacts with palladium layer and flexible conductive epoxy bumps
EP0808915A3 (en) 1996-05-23 1998-08-05 Applied Materials, Inc. Chemical vapor deposition and sputtering method and apparatus
US5633200A (en) * 1996-05-24 1997-05-27 Micron Technology, Inc. Process for manufacturing a large grain tungsten nitride film and process for manufacturing a lightly nitrided titanium salicide diffusion barrier with a large grain tungsten nitride cover layer
US6313035B1 (en) 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US5719089A (en) 1996-06-21 1998-02-17 Vanguard International Semiconductor Corporation Method for etching polymer-assisted reduced small contacts for ultra large scale integration semiconductor devices
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US6051858A (en) * 1996-07-26 2000-04-18 Symetrix Corporation Ferroelectric/high dielectric constant integrated circuit and method of fabricating same
US5801098A (en) 1996-09-03 1998-09-01 Motorola, Inc. Method of decreasing resistivity in an electrically conductive layer
US5792522A (en) 1996-09-18 1998-08-11 Intel Corporation High density plasma physical vapor deposition
US5895740A (en) 1996-11-13 1999-04-20 Vanguard International Semiconductor Corp. Method of forming contact holes of reduced dimensions by using in-situ formed polymeric sidewall spacers
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
TW347570B (en) * 1996-12-24 1998-12-11 Toshiba Co Ltd Semiconductor device and method for manufacturing the same
JPH10199881A (en) 1997-01-13 1998-07-31 Nec Corp Manufacture of semiconductor device
US6294420B1 (en) * 1997-01-31 2001-09-25 Texas Instruments Incorporated Integrated circuit capacitor
US6143645A (en) 1997-02-03 2000-11-07 Texas Instruments Incorporated Reduced temperature contact/via filling
US5911113A (en) 1997-03-18 1999-06-08 Applied Materials, Inc. Silicon-doped titanium wetting layer for aluminum plug
JP4355036B2 (en) * 1997-03-18 2009-10-28 キヤノンアネルバ株式会社 Ionization sputtering equipment
US5930669A (en) 1997-04-03 1999-07-27 International Business Machines Corporation Continuous highly conductive metal wiring structures and method for fabricating the same
US6194317B1 (en) * 1998-04-30 2001-02-27 3M Innovative Properties Company Method of planarizing the upper surface of a semiconductor wafer
US5985762A (en) 1997-05-19 1999-11-16 International Business Machines Corporation Method of forming a self-aligned copper diffusion barrier in vias
US6139699A (en) * 1997-05-27 2000-10-31 Applied Materials, Inc. Sputtering methods for depositing stress tunable tantalum and tantalum nitride films
US6130161A (en) 1997-05-30 2000-10-10 International Business Machines Corporation Method of forming copper interconnections with enhanced electromigration resistance and reduced defect sensitivity
US6069068A (en) 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
US6143646A (en) 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
US6037248A (en) * 1997-06-13 2000-03-14 Micron Technology, Inc. Method of fabricating integrated circuit wiring with low RC time delay
US6090697A (en) 1997-06-30 2000-07-18 Texas Instruments Incorporated Etchstop for integrated circuits
US5932928A (en) 1997-07-03 1999-08-03 Micron Technology, Inc. Semiconductor circuit interconnections and methods of making such interconnections
US6184121B1 (en) * 1997-07-10 2001-02-06 International Business Machines Corporation Chip interconnect wiring structure with low dielectric constant insulator and methods for fabricating the same
US5821168A (en) * 1997-07-16 1998-10-13 Motorola, Inc. Process for forming a semiconductor device
US6020243A (en) * 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
US5972804A (en) 1997-08-05 1999-10-26 Motorola, Inc. Process for forming a semiconductor device
KR100256110B1 (en) 1997-08-16 2000-05-01 윤종용 Interconnect for a semiconductor device and method of fabricating the same
US5989623A (en) 1997-08-19 1999-11-23 Applied Materials, Inc. Dual damascene metallization
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US6333255B1 (en) 1997-08-21 2001-12-25 Matsushita Electronics Corporation Method for making semiconductor device containing low carbon film for interconnect structures
US6054173A (en) * 1997-08-22 2000-04-25 Micron Technology, Inc. Copper electroless deposition on a titanium-containing surface
US5972179A (en) 1997-09-30 1999-10-26 Lucent Technologies Inc. Silicon IC contacts using composite TiN barrier layer
US6030877A (en) 1997-10-06 2000-02-29 Industrial Technology Research Institute Electroless gold plating method for forming inductor structures
JPH11233451A (en) * 1997-10-07 1999-08-27 Texas Instr Inc <Ti> Cvd based process for manufacturing polymetal gate electrode with stable low resistance
US5891797A (en) 1997-10-20 1999-04-06 Micron Technology, Inc. Method of forming a support structure for air bridge wiring of an integrated circuit
US6140228A (en) 1997-11-13 2000-10-31 Cypress Semiconductor Corporation Low temperature metallization process
JPH11162829A (en) * 1997-11-21 1999-06-18 Nec Corp Method for manufacturing semiconductor device
US6358849B1 (en) * 1997-12-23 2002-03-19 Texas Instruments Incorporated Integrated circuit interconnect and method
US6215186B1 (en) * 1998-01-12 2001-04-10 Texas Instruments Incorporated System and method of forming a tungstein plug
US6140234A (en) 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
WO1999040615A1 (en) * 1998-02-04 1999-08-12 Semitool, Inc. Method and apparatus for low-temperature annealing of metallization micro-structures in the production of a microelectronic device
US6197688B1 (en) * 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US5985759A (en) 1998-02-24 1999-11-16 Applied Materials, Inc. Oxygen enhancement of ion metal plasma (IMP) sputter deposited barrier layers
US6143655A (en) * 1998-02-25 2000-11-07 Micron Technology, Inc. Methods and structures for silver interconnections in integrated circuits
US6171661B1 (en) 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
US6211073B1 (en) * 1998-02-27 2001-04-03 Micron Technology, Inc. Methods for making copper and other metal interconnections in integrated circuits
US6103320A (en) * 1998-03-05 2000-08-15 Shincron Co., Ltd. Method for forming a thin film of a metal compound by vacuum deposition
US6331490B1 (en) * 1998-03-13 2001-12-18 Semitool, Inc. Process for etching thin-film layers of a workpiece used to form microelectric circuits or components
US6197181B1 (en) * 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6565729B2 (en) * 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6162583A (en) 1998-03-20 2000-12-19 Industrial Technology Research Institute Method for making intermetal dielectrics (IMD) on semiconductor integrated circuits using low dielectric constant spin-on polymers
TW593731B (en) * 1998-03-20 2004-06-21 Semitool Inc Apparatus for applying a metal structure to a workpiece
US6015465A (en) * 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
US5937320A (en) * 1998-04-08 1999-08-10 International Business Machines Corporation Barrier layers for electroplated SnPb eutectic solder joints
US6177350B1 (en) * 1998-04-14 2001-01-23 Applied Materials, Inc. Method for forming a multilayered aluminum-comprising structure on a substrate
US6016000A (en) * 1998-04-22 2000-01-18 Cvc, Inc. Ultra high-speed chip semiconductor integrated circuit interconnect structure and fabrication method using free-space dielectrics
US6181012B1 (en) * 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
US6120641A (en) * 1998-05-12 2000-09-19 Semitool, Inc. Process architecture and manufacturing tool sets employing hard mask patterning for use in the manufacture of one or more metallization levels on a workpiece
US6268289B1 (en) 1998-05-18 2001-07-31 Motorola Inc. Method for protecting the edge exclusion of a semiconductor wafer from copper plating through use of an edge exclusion masking layer
US6232219B1 (en) * 1998-05-20 2001-05-15 Micron Technology, Inc. Self-limiting method of reducing contamination in a contact opening, method of making contacts and semiconductor devices therewith, and resulting structures
US5981350A (en) 1998-05-29 1999-11-09 Micron Technology, Inc. Method for forming high capacitance memory cells
KR100279297B1 (en) * 1998-06-20 2001-02-01 윤종용 Semiconductor device and manufacturing method thereof
EP1112125B1 (en) * 1998-06-30 2006-01-25 Semitool, Inc. Metallization structures for microelectronic applications and process for forming the structures
US6492266B1 (en) * 1998-07-09 2002-12-10 Advanced Micro Devices, Inc. Method of forming reliable capped copper interconnects
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6245662B1 (en) * 1998-07-23 2001-06-12 Applied Materials, Inc. Method of producing an interconnect structure for an integrated circuit
US5948467A (en) 1998-07-24 1999-09-07 Sharp Laboratories Of America, Inc. Enhanced CVD copper adhesion by two-step deposition process
US6284656B1 (en) * 1998-08-04 2001-09-04 Micron Technology, Inc. Copper metallurgy in integrated circuits
TW444238B (en) 1998-08-11 2001-07-01 Toshiba Corp A method of making thin film
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6174800B1 (en) * 1998-09-08 2001-01-16 Taiwan Semiconductor Manufacturing Company Via formation in a poly(arylene ether) inter metal dielectric layer
US6288442B1 (en) * 1998-09-10 2001-09-11 Micron Technology, Inc. Integrated circuit with oxidation-resistant polymeric layer
US6239017B1 (en) * 1998-09-18 2001-05-29 Industrial Technology Research Institute Dual damascene CMP process with BPSG reflowed contact hole
US6169024B1 (en) * 1998-09-30 2001-01-02 Intel Corporation Process to manufacture continuous metal interconnects
KR100270964B1 (en) * 1998-10-17 2000-11-01 윤종용 Capacitor of semiconductor integrated circuit and method for fabricating the same
US6183564B1 (en) * 1998-11-12 2001-02-06 Tokyo Electron Limited Buffer chamber for integrating physical and chemical vapor deposition chambers together in a processing system
US6187248B1 (en) 1998-11-19 2001-02-13 Air Products And Chemicals, Inc. Nanoporous polymer films for extreme low and interlayer dielectrics
KR100385042B1 (en) 1998-12-03 2003-06-18 인터내셔널 비지네스 머신즈 코포레이션 Method for forming electromigration-resistant structures by doping
JP3708732B2 (en) * 1998-12-25 2005-10-19 Necエレクトロニクス株式会社 Manufacturing method of semiconductor device
US6359328B1 (en) * 1998-12-31 2002-03-19 Intel Corporation Methods for making interconnects and diffusion barriers in integrated circuits
US6417094B1 (en) * 1998-12-31 2002-07-09 Newport Fab, Llc Dual-damascene interconnect structures and methods of fabricating same
US6255217B1 (en) * 1999-01-04 2001-07-03 International Business Machines Corporation Plasma treatment to enhance inorganic dielectric adhesion to copper
US6261946B1 (en) 1999-01-05 2001-07-17 Advanced Micro Devices, Inc. Method for forming semiconductor seed layers by high bias deposition
US6232230B1 (en) * 1999-01-05 2001-05-15 Advanced Micro Devices, Inc. Semiconductor interconnect interface processing by high temperature deposition
US6228754B1 (en) * 1999-01-05 2001-05-08 Advanced Micro Devices, Inc. Method for forming semiconductor seed layers by inert gas sputter etching
US6258707B1 (en) * 1999-01-07 2001-07-10 International Business Machines Corporation Triple damascence tungsten-copper interconnect structure
US6143650A (en) 1999-01-13 2000-11-07 Advanced Micro Devices, Inc. Semiconductor interconnect interface processing by pulse laser anneal
JP2000216264A (en) * 1999-01-22 2000-08-04 Mitsubishi Electric Corp Cmos logic circuit element, semiconductor device and its manufacture, and method for designing semiconductor circuit used in the manufacture
IL128200A (en) 1999-01-24 2003-11-23 Amitec Advanced Multilayer Int Chip carrier substrate
US6207553B1 (en) * 1999-01-26 2001-03-27 Advanced Micro Devices, Inc. Method of forming multiple levels of patterned metallization
US6107186A (en) 1999-01-27 2000-08-22 Advanced Micro Devices, Inc. High planarity high-density in-laid metallization patterns by damascene-CMP processing
TW400619B (en) * 1999-03-05 2000-08-01 United Microelectronics Corp The manufacture method of dual damascene structure
US6350687B1 (en) * 1999-03-18 2002-02-26 Advanced Micro Devices, Inc. Method of fabricating improved copper metallization including forming and removing passivation layer before forming capping film
US6022802A (en) * 1999-03-18 2000-02-08 Taiwan Semiconductor Manufacturing Company Low dielectric constant intermetal dielectric (IMD) by formation of air gap between metal lines
US6204096B1 (en) * 1999-03-19 2001-03-20 United Microelectronics Corp. Method for reducing critical dimension of dual damascene process using spin-on-glass process
US6368965B1 (en) * 1999-03-26 2002-04-09 Advanced Micro Devices, Inc. Method for low stress plating of semiconductor vias and channels
US6221763B1 (en) * 1999-04-05 2001-04-24 Micron Technology, Inc. Method of forming a metal seed layer for subsequent plating
US6117781A (en) 1999-04-22 2000-09-12 Advanced Micro Devices, Inc. Optimized trench/via profile for damascene processing
US6211071B1 (en) * 1999-04-22 2001-04-03 Advanced Micro Devices, Inc. Optimized trench/via profile for damascene filling
US6117782A (en) 1999-04-22 2000-09-12 Advanced Micro Devices, Inc. Optimized trench/via profile for damascene filling
US6121149A (en) 1999-04-22 2000-09-19 Advanced Micro Devices, Inc. Optimized trench/via profile for damascene filling
US6121150A (en) 1999-04-22 2000-09-19 Advanced Micro Devices, Inc. Sputter-resistant hardmask for damascene trench/via formation
US6265311B1 (en) 1999-04-27 2001-07-24 Tokyo Electron Limited PECVD of TaN films from tantalum halide precursors
US6054398A (en) * 1999-05-14 2000-04-25 Advanced Micro Devices, Inc. Semiconductor interconnect barrier for fluorinated dielectrics
US6150261A (en) * 1999-05-25 2000-11-21 United Microelectronics Corp. Method of fabricating semiconductor device for preventing antenna effect
US6365511B1 (en) * 1999-06-03 2002-04-02 Agere Systems Guardian Corp. Tungsten silicide nitride as a barrier for high temperature anneals to improve hot carrier reliability
US6143604A (en) 1999-06-04 2000-11-07 Taiwan Semiconductor Manufacturing Company Method for fabricating small-size two-step contacts for word-line strapping on dynamic random access memory (DRAM)
US6130157A (en) * 1999-07-16 2000-10-10 Taiwan Semiconductor Manufacturing Company Method to form an encapsulation layer over copper interconnects
KR100360396B1 (en) * 1999-08-05 2002-11-13 삼성전자 주식회사 Method for forming contact structure of semiconductor device
US6251781B1 (en) * 1999-08-16 2001-06-26 Chartered Semiconductor Manufacturing Ltd. Method to deposit a platinum seed layer for use in selective copper plating
US6319834B1 (en) 1999-08-18 2001-11-20 Advanced Micro Devices, Inc. Method and apparatus for improved planarity metallization by electroplating and CMP
US6518173B1 (en) * 1999-08-18 2003-02-11 Advanced Micro Devices, Inc. Method for avoiding fluorine contamination of copper interconnects
US6410442B1 (en) 1999-08-18 2002-06-25 Advanced Micro Devices, Inc. Mask-less differential etching and planarization of copper films
US6410418B1 (en) 1999-08-18 2002-06-25 Advanced Micro Devices, Inc. Recess metallization via selective insulator formation on nucleation/seed layer
US6303498B1 (en) * 1999-08-20 2001-10-16 Taiwan Semiconductor Manufacturing Company Method for preventing seed layer oxidation for high aspect gap fill
US6710447B1 (en) * 1999-09-17 2004-03-23 Advanced Micro Devices, Inc. Integrated circuit chip with high-aspect ratio vias
US6350678B1 (en) * 1999-09-17 2002-02-26 Advanced Micro Devices, Inc. Chemical-mechanical polishing of semiconductors
US6207558B1 (en) * 1999-10-21 2001-03-27 Applied Materials, Inc. Barrier applications for aluminum planarization
US6372622B1 (en) * 1999-10-26 2002-04-16 Motorola, Inc. Fine pitch bumping with improved device standoff and bump volume
US6399489B1 (en) * 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
US7211512B1 (en) * 2000-01-18 2007-05-01 Micron Technology, Inc. Selective electroless-plated copper metallization
US7262130B1 (en) 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US6376370B1 (en) * 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US6420262B1 (en) 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US6426289B1 (en) * 2000-03-24 2002-07-30 Micron Technology, Inc. Method of fabricating a barrier layer associated with a conductor layer in damascene structures
US6387542B1 (en) * 2000-07-06 2002-05-14 Honeywell International Inc. Electroless silver plating
US6428673B1 (en) 2000-07-08 2002-08-06 Semitool, Inc. Apparatus and method for electrochemical processing of a microelectronic workpiece, capable of modifying processing based on metrology
US6562416B2 (en) * 2001-05-02 2003-05-13 Advanced Micro Devices, Inc. Method of forming low resistance vias
US20020167089A1 (en) 2001-05-14 2002-11-14 Micron Technology, Inc. Copper dual damascene interconnect technology
US6686270B1 (en) * 2002-08-05 2004-02-03 Advanced Micro Devices, Inc. Dual damascene trench depth monitoring
US6740392B1 (en) * 2003-04-15 2004-05-25 Micron Technology, Inc. Surface barriers for copper and silver interconnects produced by a damascene process
US7220665B2 (en) * 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS583221A (en) * 1981-06-29 1983-01-10 Fujitsu Ltd Ion beam accumulation
JPH0613375A (en) * 1989-12-13 1994-01-21 Intel Corp Method for forming interconnection level of very-large-scale integrated device
JPH03278528A (en) * 1990-03-28 1991-12-10 Shimadzu Corp Manufacture of mes field-effect transistor
JPH077078A (en) * 1993-03-23 1995-01-10 Sharp Corp Nucleation seed implantation for selective metallization and its product
JPH0778869A (en) * 1993-06-30 1995-03-20 Kawasaki Steel Corp Semiconductor device and manufacture thereof
US5670420A (en) * 1994-12-05 1997-09-23 Hyundai Electronics Industries Co., Ltd. Method of forming metal interconnection layer of semiconductor device
US5824599A (en) * 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
JPH1187276A (en) * 1997-09-12 1999-03-30 Ebara Corp Plating to substrate
JPH11312655A (en) * 1998-04-30 1999-11-09 Sony Corp Formation of copper-alloy film and manufacture of semiconductor device
JP2000068264A (en) * 1998-08-26 2000-03-03 Sony Corp Method for surface treating porous insulating film and manufacture of electronic device using the same
JP2000150510A (en) * 1998-11-05 2000-05-30 Sony Corp Composite porous insulating film and formation method therefor, and, electronic device and manufacture thereof

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012064953A (en) * 2003-03-20 2012-03-29 Toshiba Mobile Display Co Ltd Method of forming interconnection and method of forming display device having the interconnection

Also Published As

Publication number Publication date
US7745934B2 (en) 2010-06-29
DE10194958T1 (en) 2002-12-05
US20040169213A1 (en) 2004-09-02
US7105914B2 (en) 2006-09-12
DE10194958B4 (en) 2006-08-17
US7394157B2 (en) 2008-07-01
KR20020074202A (en) 2002-09-28
WO2001054192A1 (en) 2001-07-26
US20020109233A1 (en) 2002-08-15
US20090001586A1 (en) 2009-01-01
KR100491068B1 (en) 2005-05-24
US6376370B1 (en) 2002-04-23
AU2001229584A1 (en) 2001-07-31

Similar Documents

Publication Publication Date Title
JP2003520450A (en) Process for providing seed layers of aluminum, copper, gold and silver
US7629268B2 (en) Method for an improved air gap interconnect structure
JP2999991B2 (en) Manufacturing method of continuous high conductivity metal wiring
US4954214A (en) Method for making interconnect structures for VLSI devices
US6933230B2 (en) Method for making interconnects and diffusion barriers in integrated circuits
US6573606B2 (en) Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect
US6208016B1 (en) Forming submicron integrated-circuit wiring from gold, silver, copper and other metals
US6586334B2 (en) Reducing copper line resistivity by smoothing trench and via sidewalls
US8034710B2 (en) Bilayer metal capping layer for interconnect applications
US6028000A (en) Method of forming contact plugs in semiconductor device having different sized contact holes
US20030008243A1 (en) Copper electroless deposition technology for ULSI metalization
US8119519B2 (en) Semiconductor device manufacturing method
KR20080059278A (en) Technique for forming a copper-based metallization layer including a conductive capping layer
US20020167089A1 (en) Copper dual damascene interconnect technology
US6682999B1 (en) Semiconductor device having multilevel interconnections and method of manufacture thereof
US6204096B1 (en) Method for reducing critical dimension of dual damascene process using spin-on-glass process
US20020127849A1 (en) Method of manufacturing dual damascene structure
JP3269490B2 (en) Semiconductor integrated circuit device and method of manufacturing the same
US20030173651A1 (en) Method of making a semiconductor device using a damascene interconnect with a laminated dielectric
CA1287188C (en) Vlsi devices having interconnect structure formed on layer of seed material
JP2003031656A (en) Semiconductor device and method of manufacturing the same
JP2000260861A (en) Semiconductor device and manufacture of the semiconductor device
JP2000100818A (en) Semiconductor device and manufacturing method therefor

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071016

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20071016

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100727

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110629

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110929

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111006

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111026

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111102

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111128

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111205

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111227

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120306

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120706