JP2003173756A - Electron beam device and manufacturing method of device using the same - Google Patents

Electron beam device and manufacturing method of device using the same

Info

Publication number
JP2003173756A
JP2003173756A JP2001371201A JP2001371201A JP2003173756A JP 2003173756 A JP2003173756 A JP 2003173756A JP 2001371201 A JP2001371201 A JP 2001371201A JP 2001371201 A JP2001371201 A JP 2001371201A JP 2003173756 A JP2003173756 A JP 2003173756A
Authority
JP
Japan
Prior art keywords
electron beam
electron
sample
wafer
beam apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2001371201A
Other languages
Japanese (ja)
Inventor
Mamoru Nakasuji
護 中筋
Shinji Nomichi
伸治 野路
Toru Satake
徹 佐竹
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ebara Corp
Original Assignee
Ebara Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ebara Corp filed Critical Ebara Corp
Priority to JP2001371201A priority Critical patent/JP2003173756A/en
Publication of JP2003173756A publication Critical patent/JP2003173756A/en
Withdrawn legal-status Critical Current

Links

Abstract

<P>PROBLEM TO BE SOLVED: To provide an electron beam device in which high precision evaluation of the sample can be made taking into consideration the intensity reduction of the primary electron beam separated from the optical axis and the reduced detection ratio of the secondary electrons emitted from the position of the sample separated from the optical axis. <P>SOLUTION: The electron beam device comprises an electron-optical system. The electron-optical system has an objective lens, an E×B separator, and a plurality of secondary electron detectors. The E×B separator separates the secondary electrons that are emitted from the sample from the primary beam by irradiating and scanning a plurality of electron beams around the optical axis. The separated secondary electrons are detected by the plural secondary electron detectors. The electron beam device comprises a working chamber 31 that can be controlled in a vacuum and a stage 50 that is capable of relatively moving the sample to the electron beam. The image of the sample face is formed by the detected secondary electron signal. <P>COPYRIGHT: (C)2003,JPO

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【発明の属する技術分野】本発明は、複数の電子ビーム
を用いて評価対象の表面に形成されたパターンの欠陥を
検査する検査装置等に関し、詳しくは、半導体製造工程
におけるウェーハの欠陥を検出する場合のように、電子
ビームを評価対象に照射してその表面の性状に応じて変
化する二次電子を捕捉して画像データを形成し、その画
像データに基づいて評価対象の表面に形成されたパター
ン等を高いスループットで評価する評価装置、並びにそ
のような評価装置を用いて歩留まり良くデバイスを製造
するデバイス製造方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to an inspection apparatus and the like for inspecting a defect of a pattern formed on a surface to be evaluated by using a plurality of electron beams, and more specifically, detecting a defect of a wafer in a semiconductor manufacturing process. As in the case, the image data is formed by irradiating the evaluation target with an electron beam to capture secondary electrons that change according to the properties of the surface, and is formed on the surface of the evaluation target based on the image data. The present invention relates to an evaluation apparatus for evaluating a pattern or the like with high throughput, and a device manufacturing method for manufacturing devices with high yield using such an evaluation apparatus.

【0002】本発明は、電子線を試料に照射し、試料の
照射点から発生する二次電子を検出する電子線装置及び
それを用いてデバイスの欠陥検査等を行うデバイス製造
方法に関する。
The present invention relates to an electron beam apparatus for irradiating a sample with an electron beam to detect secondary electrons generated from an irradiation point of the sample, and a device manufacturing method for inspecting a defect of a device using the electron beam apparatus.

【0003】本発明は、XYステージ上に載置された試
料に荷電ビームを照射する装置及びその装置を利用した
欠陥検査装置又は露光装置に関し、更にはそれらの装置
を使用した半導体の製造方法に関する。
The present invention relates to an apparatus for irradiating a sample placed on an XY stage with a charged beam, a defect inspection apparatus or an exposure apparatus using the apparatus, and a semiconductor manufacturing method using the apparatus. .

【0004】本発明は、半導体ウェーハ等の試料の画像
を予め用意された基準画像と比較することにより該試料
の欠陥を検査するための欠陥検査装置及び方法、並び
に、このような欠陥検査装置を用いて半導体デバイスを
製造する方法に関する。
The present invention provides a defect inspection apparatus and method for inspecting a defect of a sample such as a semiconductor wafer by comparing it with a reference image prepared in advance, and such a defect inspection apparatus. A method of manufacturing a semiconductor device using the same.

【0005】本発明は、電子線を試料に照射して、その
照射点からの二次電子線を測定することにより当該試料
の種々の検査を行うための電子線装置に関し、特に、半
導体ウェーハに形成される、最小線幅0.1μm以下の
集積回路のパターンを高スループットで欠陥検査、CD
(クリティカルディメンジョン)測定、合せ精度測定、電
位測定等を行うための電子線装置に関する。
The present invention relates to an electron beam apparatus for irradiating a sample with an electron beam and measuring a secondary electron beam from the irradiation point to perform various inspections on the sample, and particularly to a semiconductor wafer. Defect inspection and CD of the formed integrated circuit pattern with a minimum line width of 0.1 μm or less with high throughput
The present invention relates to an electron beam apparatus for performing (critical dimension) measurement, alignment accuracy measurement, potential measurement, and the like.

【0006】本発明は、電子銃から放出された電子線を
複数の開口を有する開口板に照射して得られる複数の開
口像を試料に入射させ、該試料から放出される二次電子
を一次光学系から分離して検出器に集める電子線装置、
および該電子線装置を用いて製造プロセス途中のウェー
ハの評価を行うことを特徴とするデバイス製造方法に関
する。
According to the present invention, a plurality of aperture images obtained by irradiating an aperture plate having a plurality of apertures with an electron beam emitted from an electron gun are incident on a sample, and secondary electrons emitted from the sample are primary electrons. An electron beam device that separates from the optical system and collects it on the detector,
Also, the present invention relates to a device manufacturing method characterized in that a wafer during a manufacturing process is evaluated using the electron beam apparatus.

【0007】本発明は、XYステージ上に載置された試
料に荷電ビームを照射する装置に関し、更に詳しくは、
XYステージに差動排気機構を設けずに鏡筒周りに差動
排気機構を設けた荷電ビーム装置及びその装置を利用し
た欠陥検査装置又は露光装置に関し、更にはそれらの装
置を使用した半導体の製造方法に関する。
The present invention relates to an apparatus for irradiating a sample placed on an XY stage with a charged beam, and more specifically,
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a charged beam apparatus in which a differential pumping mechanism is not provided in an XY stage, but a differential pumping mechanism is provided around a lens barrel, a defect inspection apparatus or an exposure apparatus using the apparatus, and manufacturing of a semiconductor using the apparatus. Regarding the method.

【0008】半導体プロセスにおいて、デザインルール
は100nmの時代を迎えようとしており、また生産形
態はDRAMに代表される少品種大量生産からSOC
(Silicon on chip)のように多品種少
量生産へ移行しつつある。それに伴い、製造工程数が増
加し、各工程毎の歩留まり向上は必須となり、プロセス
起因の欠陥検査が重要になる。本発明は半導体プロセス
における各工程後のウェーハの検査に用いられる装置に
関し、電子ビームを用いた検査方法及び装置またはそれ
を用いたデバイス製造方法に関する。
In the semiconductor process, the design rule is approaching the age of 100 nm, and the production form is from small-scale mass production represented by DRAM to SOC.
(Silicon on chip) is being shifted to high-mix low-volume production. Along with this, the number of manufacturing processes increases, and it is essential to improve the yield in each process, and defect inspection due to the process becomes important. The present invention relates to an apparatus used for inspecting a wafer after each step in a semiconductor process, and relates to an inspection method and an apparatus using an electron beam or a device manufacturing method using the same.

【0009】[0009]

【従来技術と発明が解決しようとする課題】本発明に関
連する検査装置の従来技術については、走査電子顕微鏡
(SEM)を用いた装置が既に市販されている。この装
置は細く絞った電子線を非常に間隔の小さいラスタ幅で
ラスタ走査を行い、走査に伴って検査対象から放出され
る二次電子を二次電子検出器で検出してSEM画像を形
成し、そのSEM画像を異なるダイの同じ場所同志を比
較して欠陥を抽出するものである。
2. Description of the Related Art Regarding the prior art of the inspection apparatus related to the present invention, an apparatus using a scanning electron microscope (SEM) is already on the market. This device performs a raster scan with a narrowed electron beam with a raster width having a very small interval, and detects secondary electrons emitted from the inspection object by the scanning with a secondary electron detector to form an SEM image. , The defect is extracted by comparing the SEM images of the same place on different dies.

【0010】半導体デバイス製造用のマスクパターン、
あるいは半導体ウェーハに形成されたパターンの欠陥検
出には、走査型電子顕微鏡が使用されている。走査型電
子顕微鏡は、細く絞った1本の電子線で試料表面を走査
し、その試料から放出する二次電子を検出するため、試
料全体の検査には長い時間を必要とした。このような問
題点を解決するため、複数の電子源からの電子を減速電
界レンズを通して試料面上に結像させ、かつ走査し、試
料面から放出される二次電子をウィーンフィルタで偏向
させ、複数の検出器に導くようにしたアイディアが提案
されている(Japanese Journal of Applied Physics, V
ol.28, No.10, October, 1989, pp.2058-2064 参照)。
A mask pattern for manufacturing a semiconductor device,
Alternatively, a scanning electron microscope is used to detect defects in a pattern formed on a semiconductor wafer. Since the scanning electron microscope scans the surface of a sample with one electron beam that is narrowed down and detects secondary electrons emitted from the sample, it takes a long time to inspect the entire sample. In order to solve such a problem, electrons from a plurality of electron sources are imaged on a sample surface through a deceleration electric field lens, and scanned, and secondary electrons emitted from the sample surface are deflected by a Wien filter, Ideas have been proposed to guide multiple detectors (Japanese Journal of Applied Physics, V
ol.28, No.10, October, 1989, pp.2058-2064).

【0011】半導体ウェーハ等の試料表面等に電子ビー
ム等の荷電ビームを照射することによって、その試料表
面上を半導体回路等のパターンで露光し若しくは試料表
面上に形成されたパターンを検査する装置、或いは荷電
ビームを照射することによって試料に対して超精密加工
を施す装置においては、試料を真空中で精度良く位置決
めするステージが使用される。
An apparatus for irradiating a sample surface such as a semiconductor wafer with a charged beam such as an electron beam to expose the sample surface with a pattern such as a semiconductor circuit or to inspect a pattern formed on the sample surface, Alternatively, in an apparatus that performs ultra-precision processing on a sample by irradiating it with a charged beam, a stage that accurately positions the sample in a vacuum is used.

【0012】かかるステージに対して非常に高精度な位
置決めが要求される場合には、ステージを静圧軸受けに
よって非接触支持する構造が採用される。この場合、静
圧軸受けから供給される高圧ガスが直接真空チャンバに
排気されないように、高圧ガスを排気する差動排気機構
を静圧軸受けの範囲に形成することによって、真空チャ
ンバの真空度が維持される。
When extremely high-precision positioning is required for such a stage, a structure in which the stage is supported in a non-contact manner by a hydrostatic bearing is adopted. In this case, the vacuum degree of the vacuum chamber is maintained by forming a differential exhaust mechanism for exhausting the high pressure gas in the range of the static pressure bearing so that the high pressure gas supplied from the static pressure bearing is not directly exhausted to the vacuum chamber. To be done.

【0013】従来技術のステージの一例を図18ABに
示す。図18ABにおいて、真空チャンバCを構成する
ハウジング2008に、荷電ビームを発生し試料に照射
する荷電ビーム装置の鏡筒2001の先端部、即ち荷電
ビーム照射部2002が取り付けられる。鏡筒内部は真
空配管2010によって真空排気され、チャンバCは真
空配管2011によって真空排気される。荷電ビームは
鏡筒2001の先端部2002から、その下に置かれた
ウェーハ等の試料Sに対して照射される。
An example of a prior art stage is shown in FIG. 18AB. In FIG. 18AB, a tip end of a barrel 2001 of a charged beam apparatus for generating a charged beam and irradiating a sample, that is, a charged beam irradiation section 2002 is attached to a housing 2008 constituting a vacuum chamber C. The interior of the lens barrel is evacuated by a vacuum pipe 2010, and the chamber C is evacuated by a vacuum pipe 2011. The charged beam is radiated from the tip portion 2002 of the lens barrel 2001 to the sample S such as a wafer placed under the tip portion 2002.

【0014】試料Sは試料台2004に取り外し可能に
保持される。試料台2004はXYステージ(以下単に
ステージ)2003のY方向可動部2005の上面に取
り付けられる。Y方向可動部2005はX方向可動部2
006上に摺動可能に配置され、X方向可動部2006
はステージ台2007上に摺動可能に配置される。
The sample S is detachably held on the sample table 2004. The sample table 2004 is attached to the upper surface of the Y-direction movable portion 2005 of the XY stage (hereinafter simply referred to as the stage) 2003. The Y-direction movable unit 2005 is the X-direction movable unit 2.
006 is slidably arranged and is movable in the X direction 2006
Is slidably arranged on the stage table 2007.

【0015】Y方向可動部2005には、X方向可動部
2006のガイド面6aと向かい合う面(図18Aにお
いて左右両面及び下面)に静圧軸受け2009aが複数
取り付けられ、静圧軸受け2009aの作用によりガイ
ド面2006aとの間に微小隙間を維持しながらY方向
(図18Bで左右方向)に移動できる。同様にX方向可
動部2006に静圧軸受け2009bが複数取り付けら
れ、静圧軸受け2009bとガイド面2007aとの間
に微小隙間を維持しながらX方向(図18Aで左右方
向)に移動できる。
A plurality of static pressure bearings 2009a are attached to the Y-direction movable portion 2005 on the surfaces (the left and right sides and the lower surface in FIG. 18A) facing the guide surface 6a of the X-direction movable portion 2006, and the static pressure bearings 2009a act to guide them. It is possible to move in the Y direction (left and right direction in FIG. 18B) while maintaining a minute gap with the surface 2006a. Similarly, a plurality of static pressure bearings 2009b are attached to the X-direction movable portion 2006, and the static pressure bearings 2009b can be moved in the X direction (horizontal direction in FIG. 18A) while maintaining a minute gap between the static pressure bearing 2009b and the guide surface 2007a.

【0016】更に静圧軸受けの周りには、静圧軸受けに
供給される高圧ガスが真空チャンバCの内部にリークし
ないように差動排気機構が設けられている。この様子を
図19に示す。静圧軸受け2009の周囲に二重に溝2
018と2017が構成されており、これらの溝は図示
されていない真空配管と真空ポンプにより常時真空排気
される。このような構造により、Y方向可動部2005
は真空中を非接触状態で支持されY方向に自在に移動す
ることができる。これらの二重の溝2018と2017
は可動部2005の静圧軸受け2009が設けられる面
にその静圧軸受けを囲むようにして形成される。なお、
静圧軸受けの構造は公知のもので良いので、その詳細な
説明は省略する。
Further, a differential evacuation mechanism is provided around the static pressure bearing so that the high pressure gas supplied to the static pressure bearing does not leak into the vacuum chamber C. This state is shown in FIG. Double groove 2 around the hydrostatic bearing 2009
018 and 2017 are configured, and these grooves are constantly evacuated by a vacuum pipe and a vacuum pump (not shown). With such a structure, the Y-direction movable unit 2005
Is supported in a vacuum in a non-contact state and can move freely in the Y direction. These double grooves 2018 and 2017
Is formed on the surface of the movable portion 2005 on which the hydrostatic bearing 2009 is provided so as to surround the hydrostatic bearing. In addition,
Since the structure of the hydrostatic bearing may be a known structure, detailed description thereof will be omitted.

【0017】Y方向可動部2005を搭載するX方向可
動部2006は、図18ABから明らかなように、上方
に開口している凹形の形状を有し、Y方向可動部200
5と同様の静圧軸受け及び溝を備え、ステージ台200
7に対して非接触で支持され、X方向に自在に移動する
ことができる。Y方向可動部2005とX方向可動部2
006の移動を組み合わせによって、試料Sを鏡筒の先
端部すなわち荷電ビーム照射部2002に関して水平方
向任意の位置に移動させ、試料の所望の位置に荷電ビー
ムを照射することができる。
The X-direction movable section 2006, on which the Y-direction movable section 2005 is mounted, has a concave shape that opens upward, as is clear from FIG. 18AB.
The stage table 200 is provided with the same hydrostatic bearing and groove as those of No. 5.
It is supported in a non-contact manner with respect to 7, and can freely move in the X direction. Y-direction movable unit 2005 and X-direction movable unit 2
By combining the movements of 006, the sample S can be moved to an arbitrary position in the horizontal direction with respect to the tip portion of the lens barrel, that is, the charged beam irradiation unit 2002, and the charged beam can be irradiated to a desired position of the sample.

【0018】従来、半導体ウェーハ等の試料に一次電子
を照射することにより発生した二次電子を検出すること
によって当該試料の欠陥を検査するための欠陥検査装置
が、半導体製造プロセス等で利用されている。このよう
な欠陥検査装置には、画像認識技術を応用して欠陥検査
の自動化及び効率化を図った技術がある。この技術で
は、二次電子を検出することによって取得した試料表面
の被検査領域のパターン画像データと、予め記憶した試
料表面の基準画像データとをコンピュータによってマッ
チング演算し、その演算結果に基づいて、試料の欠陥の
有無を自動的に判定する。
Conventionally, a defect inspection apparatus for inspecting defects in a sample such as a semiconductor wafer by detecting secondary electrons generated by irradiating the sample with primary electrons has been used in a semiconductor manufacturing process or the like. There is. For such a defect inspection device, there is a technique in which an image recognition technique is applied to automate defect inspection and improve efficiency. In this technique, the pattern image data of the inspected region of the sample surface acquired by detecting the secondary electrons, and the reference image data of the sample surface of the sample surface stored in advance by the computer to perform a matching operation, based on the operation result, Automatically determine the presence or absence of sample defects.

【0019】昨今では、特に半導体製造分野において
は、パターンの高精細化が進み、微細な欠陥を検出する
必要が高まってきている。このような状況下では、上記
のような画像認識技術を応用した欠陥検査装置において
も、認識精度の更なる向上が求められている。
In recent years, especially in the field of semiconductor manufacturing, the finer pattern has been developed, and the necessity of detecting fine defects has been increasing. Under such circumstances, further improvement in recognition accuracy is required even in the defect inspection device to which the above image recognition technique is applied.

【0020】従来、試料台を連続移動させ、この移動方
向と直角方向に電子線を走査する方法は公知である(特
開平10−134757)。また1次電子線を試料面に
対して斜め方向から、試料面上に二次元ではあるが、一
軸方向への投影は、等間隔であるような配置で照射し、
走査する方法は、公知である。また複数の電子銃の各電
子銃からの電子を複数に分け、各ビームを一方向に走査
させ、それと直角方向に試料台を連続移動させて検査等
を行うことが公知である。
Conventionally, a method is known in which a sample stage is continuously moved and an electron beam is scanned in a direction perpendicular to the moving direction (Japanese Patent Laid-Open No. 10-134757). In addition, the primary electron beam is obliquely applied to the sample surface, and the projection in the uniaxial direction is two-dimensionally projected on the sample surface, and the primary electron beam is radiated at an equal interval.
Scanning methods are known. Further, it is known that the electrons from each electron gun of a plurality of electron guns are divided into a plurality of beams, each beam is scanned in one direction, and the sample stage is continuously moved in the direction perpendicular to that to perform an inspection or the like.

【0021】半導体デバイス製造用のマスクパターン、
あるいは半導体ウェーハに形成されたパターンの欠陥検
査に使用する電子線装置として、単一電子銃より放出さ
れた電子線を複数の開口を有する開口板に照射して得ら
れる複数の開口像を試料に入射させ、該試料から放出さ
れる二次電子を二次光学系を用いて検出器面に写像投影
して試料上のパターンの欠陥を検査する電子線装置は公
知である。
A mask pattern for manufacturing a semiconductor device,
Alternatively, as an electron beam apparatus used for defect inspection of a pattern formed on a semiconductor wafer, a sample is provided with a plurality of aperture images obtained by irradiating an aperture plate having a plurality of apertures with an electron beam emitted from a single electron gun. An electron beam apparatus for inspecting a defect in a pattern on a sample by projecting the secondary electron emitted from the sample on a detector surface by using a secondary optical system is known.

【0022】しかしながら、上記従来のものは電子銃か
ら放出される電子線の角度依存性を考慮しておらず、電
子線の強度を照射角度に拘わらず一様であるものとして
取り扱っている。すなわち、電子銃から放出される電子
線は光軸方向には高輝度の電子線が放出されるが、光軸
から離れるに従って電子線の輝度(強度)が次第に減少
する問題を考慮していない。
However, the above-mentioned prior art does not consider the angle dependence of the electron beam emitted from the electron gun, and treats the intensity of the electron beam as being uniform regardless of the irradiation angle. That is, the electron beam emitted from the electron gun is a high-intensity electron beam emitted in the optical axis direction, but no consideration is given to the problem that the luminance (intensity) of the electron beam gradually decreases as the electron beam moves away from the optical axis.

【0023】また、試料から放出される二次電子の検出
率は、光軸付近から放出された二次電子の検出率は高い
が、光軸から離れた位置から放出された二次電子の検出
率が低いといった問題点があったが、上記従来の電子線
装置は、かかる問題点をも考慮していなかった。
As for the detection rate of the secondary electrons emitted from the sample, although the detection rate of the secondary electrons emitted from the vicinity of the optical axis is high, the detection rate of the secondary electrons emitted from the position away from the optical axis is high. Although there is a problem that the rate is low, the above-mentioned conventional electron beam apparatus does not consider such a problem.

【0024】超LSI回路のような微細な回路パターン
を有する回路における欠陥検査や線幅測定を行うため
に、複数の電子ビームを用いる電子線装置は公知であ
る。こうしたマルチビームを利用する電子線装置は、微
細回路パターンの作成や検査に1本の電子ビームを使用
すると、多大な時間を必要とし、満足なスループットを
与えなかった従来の欠点を解決するために提案された。
An electron beam apparatus using a plurality of electron beams for performing defect inspection and line width measurement in a circuit having a fine circuit pattern such as a VLSI circuit is known. The electron beam apparatus using such a multi-beam requires a great amount of time when one electron beam is used for creating and inspecting a fine circuit pattern, and thus solves a conventional defect that does not provide a satisfactory throughput. was suggested.

【0025】こうしたマルチビームの電子線装置に関連
して、例えば、多数の電子エミッタをマトリクス状に配
列した電子線装置においては、反射電子又は二次電子の
検出器の間隔が極めて狭いため、隣接する照射領域から
反射電子又は二次電子が飛び込みやすく、検出精度を高
めることができないという欠点を解決するため、試料面
と検知面との間に穴開きマスクを配置することが知られ
ている。
In relation to such a multi-beam electron beam apparatus, for example, in an electron beam apparatus in which a large number of electron emitters are arranged in a matrix, the distance between the detectors of backscattered electrons or secondary electrons is extremely small, and therefore, the adjacent electron detectors are adjacent to each other. It is known to dispose a perforated mask between the sample surface and the detection surface in order to solve the drawback that reflected electrons or secondary electrons easily jump from the irradiation area and the detection accuracy cannot be improved.

【0026】また、1本の電子線で試料上のパターンを
走査してパターンの0.1ミクロン程度の欠陥を検査す
る場合、走査に長時間を要するのでスループットが低下
するという欠点を解決するため、単一の電子銃から放出
された電子線で複数の開口を持つマスクを照射すること
により、複数の電子線を形成するようにした電子線装置
も知られている。
Further, in the case of scanning a pattern on a sample with a single electron beam to inspect a defect of about 0.1 micron in the pattern, it takes a long time to scan, so that the throughput is lowered. Also known is an electron beam apparatus in which a plurality of electron beams are formed by irradiating a mask having a plurality of openings with an electron beam emitted from a single electron gun.

【0027】最小線幅が0.1μm以下のデバイスパタ
ーンを有する試料の欠陥検査等を行う場合、光方式では
光の回折により解像度から見て限界にきており、そのた
め、電子線を利用した検査・評価装置が提案されてい
る。電子線を用いると解像度は向上するがスループット
が極端に小さくなるため生産性の観点から問題がある。
生産性を向上させるべくマルチビームを用いた電子線装
置、即ち、単一の電子銃から放出した電子線を複数の開
口に照射し、それらの開口を通過した電子ビームで試料
の表面(以下試料面と呼ぶ)を走査し、各像からでた二
次電子を複数の検出器に導いて試料を検査する電子線装
置は既に公知である。
In the case of performing a defect inspection of a sample having a device pattern having a minimum line width of 0.1 μm or less, the optical system has reached a limit in terms of resolution due to diffraction of light. Therefore, an inspection using an electron beam is performed. -Evaluation equipment has been proposed. When electron beams are used, the resolution is improved, but the throughput is extremely reduced, which is problematic from the viewpoint of productivity.
An electron beam device using a multi-beam to improve productivity, that is, an electron beam emitted from a single electron gun is applied to a plurality of apertures, and the electron beam passing through these apertures causes the surface of the sample (hereinafter referred to as sample An electron beam apparatus for scanning a surface (referred to as a surface) and guiding secondary electrons emitted from each image to a plurality of detectors to inspect a sample is already known.

【0028】半導体ウェーハ等の試料の表面に形成され
たパターンの評価を、電子線による走査の結果を用いて
高精度で行う場合、試料の高さの変化を考慮することが
必要である。これは、試料の高さにより、該試料の表面
上のパターンと該パターンに電子線を集束させる対物レ
ンズとの間の距離が変化して、合焦条件はずれにより解
像度が低下してしまい、正確な評価ができないためであ
る。
When the pattern formed on the surface of a sample such as a semiconductor wafer is evaluated with high accuracy using the result of scanning with an electron beam, it is necessary to consider the change in the height of the sample. This is because the distance between the pattern on the surface of the sample and the objective lens that focuses the electron beam on the pattern changes depending on the height of the sample, and the focusing condition is deviated to lower the resolution. This is because it cannot be evaluated.

【0029】これを解消するため、試料面に対して斜め
に光を入射させ、その反射光を利用して試料の高さを測
定し、その測定結果を、電子線を試料に集束させるため
の電子光学系に帰還させて、電子光学系の構成要素に供
給する電流や電圧を制御することにより、電子光学系の
焦点合わせを行う電子線装置はすでに提案されている。
In order to solve this, light is obliquely incident on the sample surface, the reflected light is used to measure the height of the sample, and the measurement result is used for focusing the electron beam on the sample. An electron beam apparatus has already been proposed for performing focusing of the electron optical system by returning the current to the electron optical system and controlling the current and voltage supplied to the components of the electron optical system.

【0030】しかしながら、試料に対して斜めに光を入
射させる方式においては、試料面と電子光学系の下面と
の間のスペースに、入射光を反射させるための、絶縁物
を主体とする光学部品を配置しなければならない。この
ためには、試料面と電子光学系の下面との間の間隔を必
要以上に大きく取る必要があり、一方、間隔を大きくす
ると、電子光学系の収差等の問題が無視し得なくなる。
したがって、電子光学系の焦点合わせと電子光学系の収
差等の問題の解消とを同時に行うことが必要であるが、
このような手法が未だ提案されていない。
However, in the method in which the light is obliquely incident on the sample, an optical component mainly made of an insulator for reflecting the incident light in the space between the sample surface and the lower surface of the electron optical system. Must be placed. For this purpose, it is necessary to make the gap between the sample surface and the lower surface of the electron optical system larger than necessary. On the other hand, if the gap is increased, problems such as aberration of the electron optical system cannot be ignored.
Therefore, it is necessary to simultaneously focus the electron optical system and solve problems such as the aberration of the electron optical system.
Such a method has not been proposed yet.

【0031】また、電子光学系の焦点合わせは、試料面
と電子光学系の下面との間の距離ばかりでなく、試料面
上の帯電状態や、電子線の空間電荷効果をも考慮して行
う必要があるので、電子光学系の焦点合わせに関係する
パラメータを電子光学的に測定しないならば、誤差が発
生する可能性がある。
Focusing of the electron optical system is performed by considering not only the distance between the sample surface and the lower surface of the electron optical system, but also the charged state on the sample surface and the space charge effect of the electron beam. Because of the need, errors may occur unless the parameters related to the focusing of the electron optics are measured electronically.

【0032】更に、電子光学系に含まれる磁気レンズの
励磁電流を調整して焦点合わせを行う場合、この励磁電
流を所定値に設定してから電子光学系の焦点距離が安定
的に定まるまでの時間、即ち整定時間を長く取ることが
必要であるため、高速で焦点合わせを行うことが困難で
あるという問題もあった。また、静電レンズの励起電圧
を変えて電子光学系の焦点合わせを行う場合、静電レン
ズに印加された高電圧を変化させなければならないの
で、同様に、整定時間が長くかかるという問題があっ
た。さらにまた、電子線による評価は、スループットが
低いという問題点もあった。
Further, in the case of adjusting the exciting current of the magnetic lens included in the electron optical system to perform focusing, from setting the exciting current to a predetermined value until the focal length of the electron optical system is stably determined. Since it is necessary to take a long time, that is, a settling time, it is difficult to perform focusing at high speed. In addition, when focusing the electron optical system by changing the excitation voltage of the electrostatic lens, the high voltage applied to the electrostatic lens must be changed, and similarly, there is a problem that the settling time is long. It was Furthermore, the evaluation by electron beam has a problem that the throughput is low.

【0033】本発明は、上記した種々の問題点を解決す
るために提案されたものであり、その目的は、電子光学
的かつ短時間で電子光学系の焦点合わせを行うことがで
きる電子線装置、及び該装置を用いた半導体デバイス製
造方法を提供することである。
The present invention has been proposed in order to solve the above-mentioned various problems, and an object thereof is an electron beam apparatus capable of performing electron-optical focusing in a short time. And a semiconductor device manufacturing method using the apparatus.

【0034】最小線幅が0.1μm以下のデバイスパタ
ーンを有する試料の欠陥検査等を行う場合、光方式では
光の回折により解像度から見て限界にきており、そのた
め、電子線を利用した検査・評価装置が提案されてい
る。電子線を用いると解像度は向上するがスループット
が極端に小さくなるため生産性の観点から問題がある。
生産性を向上させるべくマルチビームを用いた電子線装
置、即ち、単一の電子銃から放出した電子線を複数の開
口に照射し、それらの開口を通過した電子ビームで試料
を走査し、各像からでた二次電子を相互にクロストーク
なしに複数の検出器に導いて試料を検査する電子線装置
については出願されている。
When a sample having a device pattern having a minimum line width of 0.1 μm or less is to be inspected for defects, the optical system is at the limit in terms of resolution due to diffraction of light. Therefore, inspection using an electron beam is required. -Evaluation equipment has been proposed. When electron beams are used, the resolution is improved, but the throughput is extremely reduced, which is problematic from the viewpoint of productivity.
In order to improve productivity, an electron beam apparatus using a multi-beam, that is, an electron beam emitted from a single electron gun is applied to a plurality of apertures, and the sample is scanned with the electron beams passing through these apertures. An application has been filed for an electron beam apparatus for inspecting a sample by guiding secondary electrons emitted from an image to a plurality of detectors without mutual crosstalk.

【0035】絶縁材料を含む試料を観察、評価する装置
には種々の技術が報告されている。このような技術の中
で、走査電子顕微鏡について言えば、一次ビームのビー
ム電流、試料への吸収電流、照射装置からの反射電子
量、二次電子放出量等を測定してチャージアップ状態を
評価するチャージアップ検知機能を有する装置が公知で
ある。
Various techniques have been reported for an apparatus for observing and evaluating a sample containing an insulating material. Among such technologies, speaking of the scanning electron microscope, the charge-up state is evaluated by measuring the beam current of the primary beam, the absorption current to the sample, the amount of backscattered electrons from the irradiation device, the amount of secondary electron emission, etc. A device having a charge-up detecting function is known.

【0036】半導体ウェーハ等の試料表面等に電子ビー
ム等の荷電ビームを照射することによって、その試料表
面上を半導体回路等のパターンで露光し若しくは試料表
面上に形成されたパターンを検査する装置、或いは荷電
ビームを照射することによって試料に対して超精密加工
を施す装置においては、試料を真空中で精度良く位置決
めするステージが使用されている。
An apparatus for irradiating a sample surface such as a semiconductor wafer with a charged beam such as an electron beam to expose the sample surface with a pattern such as a semiconductor circuit or to inspect a pattern formed on the sample surface, Alternatively, in an apparatus that performs ultra-precision processing on a sample by irradiating it with a charged beam, a stage that accurately positions the sample in a vacuum is used.

【0037】かかるステージに対して非常に高精度な位
置決めが要求される場合には、ステージを静圧軸受けに
よって非接触支持する構造が採用されている。この場
合、静圧軸受けから供給される高圧ガスが直接真空チャ
ンバに排気されないように、高圧ガスを排気する差動排
気機構を静圧軸受けの範囲に形成することによって、真
空チャンバの真空度を維持している。
When very high precision positioning is required for such a stage, a structure in which the stage is supported in a non-contact manner by a hydrostatic bearing is adopted. In this case, the vacuum degree of the vacuum chamber is maintained by forming a differential exhaust mechanism for exhausting the high pressure gas in the range of the static pressure bearing so that the high pressure gas supplied from the static pressure bearing is not directly exhausted to the vacuum chamber. is doing.

【0038】かかる従来技術によるステージの一例が図
18ABに示される。同図のステージにおいて、真空チ
ャンバCを構成するハウジング2008に、荷電ビーム
を発生し試料に照射する荷電ビーム装置の鏡筒2001
の先端部、即ち荷電ビーム照射部2002が取り付けら
れる。試料Sは試料台2004に取り外し可能に保持さ
れる。図18ABのステージのその他の構造は、後述さ
れる。
An example of such a prior art stage is shown in FIG. 18AB. In the stage shown in the figure, a lens barrel 2001 of a charged beam apparatus for generating a charged beam and irradiating a sample on a housing 2008 constituting a vacuum chamber C.
, The charged beam irradiation unit 2002 is attached. The sample S is detachably held on the sample table 2004. Other structures of the stage of FIG. 18AB will be described later.

【0039】静圧軸受け2009bの周りには、静圧軸
受けに供給される高圧ガスが真空チャンバCの内部にリ
ークしないように差動排気機構が設けられる。この様子
を図19に示す。静圧軸受け2009bの周囲に二重に
溝2017と2018が構成されており、これらの溝は
図示されていない真空配管と真空ポンプにより常時真空
排気される。このような構造により、Y方向可動部20
05は真空中を非接触状態で支持されY方向に自在に移
動することができる。これらの二重の溝2017と20
18は可動部2005の静圧軸受け2009bが設けら
れる面にその静圧軸受けを囲むようにして形成される。
これらのY方向可動部2005とX方向可動部2006
の移動を組み合わせることによって、試料Sを鏡筒の先
端部すなわち荷電ビーム照射部2002に関して水平方
向任意の位置に移動させ、試料の所望の位置に荷電ビー
ムを照射することができる。
A differential exhaust mechanism is provided around the static pressure bearing 2009b so that the high-pressure gas supplied to the static pressure bearing does not leak into the vacuum chamber C. This state is shown in FIG. Double grooves 2017 and 2018 are formed around the static pressure bearing 2009b, and these grooves are constantly evacuated by a vacuum pipe and a vacuum pump (not shown). With such a structure, the Y-direction movable portion 20
05 is supported in a vacuum in a non-contact state and can move freely in the Y direction. These double grooves 2017 and 20
18 is formed on the surface of the movable portion 2005 where the static pressure bearing 2009b is provided so as to surround the static pressure bearing.
These Y-direction movable section 2005 and X-direction movable section 2006
By combining the above movements, the sample S can be moved to an arbitrary position in the horizontal direction with respect to the distal end portion of the lens barrel, that is, the charged beam irradiation unit 2002, and the desired position of the sample can be irradiated with the charged beam.

【0040】しかしながら、上記の静圧軸受けと差動排
気機構を組み合わせたステージでは、差動排気機構を設
けたため、大気中で使用される静圧軸受け式ステージに
比べて構造が複雑で大型になり、ステージとしての信頼
性が低く、高コストになるという問題があった。
However, since the stage in which the static pressure bearing and the differential pumping mechanism are combined is provided with the differential pumping mechanism, the structure is complicated and large as compared with the static pressure bearing stage used in the atmosphere. However, there was a problem that the reliability as a stage was low and the cost was high.

【0041】電子光学系での倍率色収差と回転色収差を
補正する方法は、対称磁気ダブレットレンズを用いる方
法が知られている。静電レンズ系では回転色収差は発生
しないので、倍率色収差を対称ダブレットレンズを用い
て補正することが行われる。
As a method of correcting lateral chromatic aberration and rotational chromatic aberration in the electron optical system, a method using a symmetrical magnetic doublet lens is known. Since rotational chromatic aberration does not occur in the electrostatic lens system, lateral chromatic aberration is corrected by using a symmetrical doublet lens.

【0042】半導体デバイスの高集積化、パターンの微
細化に伴い、高分解能、高スループットの検査装置が要
求されている。100nmデザインルールのウェーハ基
板の欠陥を調べるためには、100nm以下の分解能が
必要であり、デバイスの高集積化による製造工程の増加
により、検査量が増大するため、高スループットが要求
されている。また、デバイスの多層化が進むにつれて、
層間の配線をつなぐビアのコンタクト不良(電気的欠
陥)を検出する機能も、検査装置に要求されている。現
在は主に光方式の欠陥検査装置が使用されているが、分
解能及びコンタクト不良検査の点では、光方式の欠陥検
査装置に代わって電子ビームを用いる欠陥検査装置が、
今後検査装置の主流になると予想される。但し電子ビー
ム方式欠陥検査装置にも弱点があり、それはスループッ
トの点で光方式に劣ることである。
Along with higher integration of semiconductor devices and miniaturization of patterns, inspection devices with high resolution and high throughput are required. A resolution of 100 nm or less is required to investigate a defect of a wafer substrate having a 100 nm design rule, and an inspection amount is increased due to an increase in manufacturing steps due to high integration of devices, and thus high throughput is required. Also, as the number of devices increases,
The inspection device is also required to have a function of detecting a contact failure (electrical defect) of a via connecting interconnects between layers. Currently, an optical defect inspection apparatus is mainly used, but in terms of resolution and contact failure inspection, a defect inspection apparatus that uses an electron beam instead of the optical defect inspection apparatus,
It is expected that the inspection equipment will become the mainstream in the future. However, the electron beam type defect inspection apparatus also has a weak point that it is inferior to the optical type in terms of throughput.

【0043】このため高分解能、高スループット、且つ
電気的欠陥検出が可能な検査装置の開発が要求されてい
る。光方式での分解能は使用する光の波長の1/2が限
界と言われており、実用化されている可視光の例では
0.2μm程度である。
Therefore, there is a demand for the development of an inspection apparatus capable of detecting electrical defects with high resolution and high throughput. It is said that the limit of the resolution in the optical system is 1/2 of the wavelength of light used, and it is about 0.2 μm in the example of visible light which has been put into practical use.

【0044】一方電子ビームを使用する方式では、通常
走査型電子ビーム方式(SEM方式)が実用化され、分
解能は0.1μm、検査時間は8時間/枚(20cmウ
ェーハ)である。電子ビーム方式は、電気的欠陥(配線
の断線、導通不良、ビアの導通不良等)も検査可能であ
ることが大きな特徴である。しかし検査時間は非常に遅
いため、検査速度の速い欠陥検査装置の開発が期待され
ている。
On the other hand, in the method using an electron beam, a scanning electron beam method (SEM method) is usually put to practical use, the resolution is 0.1 μm, and the inspection time is 8 hours / wafer (20 cm wafer). The electron beam method has a major feature that it can also inspect for electrical defects (breakage of wiring, defective conduction, defective conduction of vias, etc.). However, since the inspection time is very slow, it is expected that a defect inspection apparatus with a high inspection speed will be developed.

【0045】一般に検査装置は高価でありまたスループ
ットも他のプロセス装置に比べて低いために、現状では
重要な工程の後、例えばエッチング、成膜、又はCMP
(化学機械研磨)平坦化処理後等に使用されている。
In general, the inspection apparatus is expensive and the throughput is lower than that of other process apparatuses. Therefore, at the present time, after an important step, for example, etching, film formation, or CMP is performed.
(Chemical mechanical polishing) Used after flattening.

【0046】電子ビームを用いた走査(SEM)方式の
検査装置について説明する。SEM方式の検査装置は電
子ビームを細く絞って(このビーム径が分解能に相当す
る)これを走査してライン状に試料を照射する。一方、
ステージを電子ビームの走査方向に直角の方向に移動さ
せることにより、平面状に観察領域を電子ビームで照射
する。電子ビームの走査幅は一般に数100μmであ
る。前記細く絞られた電子ビーム(一次電子線と呼ぶ)
照射により発生した試料からの二次電子を検出器(シン
チレータ+フォトマルチプライヤー(光電子増倍管)又
は半導体方式の検出器(PINダイオード型)等)で検
出する。
A scanning (SEM) type inspection apparatus using an electron beam will be described. The SEM type inspection apparatus narrows the electron beam (the beam diameter corresponds to the resolution), scans the electron beam, and irradiates the sample linearly. on the other hand,
By moving the stage in a direction perpendicular to the scanning direction of the electron beam, the observation area is irradiated with the electron beam in a plane. The scanning width of the electron beam is generally several 100 μm. The narrowed electron beam (called a primary electron beam)
Secondary electrons generated from the sample by irradiation are detected by a detector (scintillator + photomultiplier (photomultiplier tube) or semiconductor-type detector (PIN diode type)).

【0047】照射位置の座標と二次電子の量(信号強
度)を合成して画像化し、記憶装置に記憶し、あるいは
CRT(ブラウン管)上に画像を出力する。以上はSE
M(走査型電子顕微鏡)の原理であり、この方式で得ら
れた画像から工程途中の半導体(通常はSi)ウェーハ
の欠陥を検出する。検査速度(スループットに相当す
る)は一次電子線の量(電流値)、ビーム径、検出器の
応答速度で決まる。ビーム径0.1μm(分解能と同じ
と考えてよい)電流値100nA、検出器の応答速度1
00MHzが現在の最高値で、この場合で検査速度は2
0cm径のウェーハ一枚あたり約8時間と言われてい
る。この場合で検査速度は20cm径のエウハ1枚当り
約8時間と言われている。この検査速度が光に比べて極
めて遅い(1/20以下)ことが大きな問題(欠点)と
なっている。
The coordinates of the irradiation position and the amount of secondary electrons (signal intensity) are combined to form an image, which is stored in a storage device or output on a CRT (CRT). The above is SE
This is the principle of M (scanning electron microscope), and a defect of a semiconductor (usually Si) wafer in the process is detected from an image obtained by this method. The inspection speed (corresponding to the throughput) is determined by the amount (current value) of the primary electron beam, the beam diameter, and the response speed of the detector. Beam diameter 0.1 μm (may be considered the same as resolution) Current value 100 nA, detector response speed 1
00MHz is the current maximum value, and in this case the inspection speed is 2
It is said that each wafer having a diameter of 0 cm takes about 8 hours. In this case, the inspection speed is said to be about 8 hours for each EU wafer having a diameter of 20 cm. This inspection speed is extremely slow (1/20 or less) as compared with light, which is a big problem (defect).

【0048】一方、SEM方式の欠点である検査速度を
向上する方法として、複数の電子線を用いたSEM(マ
ルチビームSEM)が知られる。この方法は、複数の電
子線の本数分だけ検査速度を向上できるが、複数の電子
線を斜め入射し、試料からの複数の二次電子線を斜め方
向へ取出すため、試料からの二次電子も斜め方向へ放出
されたもののみ検出器が拾うことになり、また画像に影
ができること、更に複数の電子線からのそれぞれの二次
電子を分離することが困難であり、二次電子信号が互い
に混入する問題が生じている。
On the other hand, an SEM (multi-beam SEM) using a plurality of electron beams is known as a method for improving the inspection speed, which is a drawback of the SEM method. This method can improve the inspection speed by the number of multiple electron beams, but since multiple electron beams are obliquely incident and multiple secondary electron beams from the sample are extracted in the diagonal direction, secondary electrons from the sample Also, the detector picks up only those emitted in the oblique direction, the image has a shadow, and it is difficult to separate each secondary electron from a plurality of electron beams. There is a problem of mixing with each other.

【0049】[0049]

【課題を解決するための手段】本発明によれば、対物レ
ンズを有し、光軸の回りに複数個の電子線を照射、走査
し、試料から放出される二次電子をE×B分離器で一次
ビームから分離した後に複数の二次電子検出器で検出す
る電子光学系を備えた電子線装置であって、真空雰囲気
に制御可能なワーキングチャンバと、試料を電子線に対
して相対移動可能なステージとを有し、検出した二次電
子信号から試料面の画像を形成するようになされている
ことを特徴とする1番目の電子線装置が提供される。
According to the present invention, a secondary electron emitted from a sample is E × B separated by having an objective lens, irradiating and scanning a plurality of electron beams around an optical axis. Electron beam device equipped with an electron optical system that detects multiple secondary electron detectors after separating it from the primary beam with a vacuum chamber, a working chamber that can be controlled in a vacuum atmosphere, and a sample that moves relative to the electron beam. A first electron beam apparatus is provided which has a possible stage and is configured to form an image of a sample surface from the detected secondary electron signal.

【0050】また、本発明よれば、ワーキングチャンバ
を有し、光軸から一定距離以上離れた領域を電磁偏向器
を通過する電子線ビームで走査し、ステージ上の試料か
ら放出された二次電子を対物レンズで加速し、且つ、光
軸から離れた位置に設けた二次電子検出器で検出し、検
出した二次電子信号から試料面の画像を形成するように
なされていることを特徴とする2番目の電子線装置も提
供される。
Further, according to the present invention, the secondary electron emitted from the sample on the stage has a working chamber and scans a region apart from the optical axis by a certain distance or more with an electron beam passing through an electromagnetic deflector. Is accelerated by an objective lens and detected by a secondary electron detector provided at a position away from the optical axis, and an image of the sample surface is formed from the detected secondary electron signal. A second electron beam device is also provided.

【0051】2番目の電子線装置において、上記走査領
域を複数領域とし、上記二次電子検出器も複数個設ける
ことができる。1番目または2番目の電子線装置におい
て、電子線照射は上記対物レンズを通して行われるもの
とし、上記対物レンズの回りに差動排気構造を有するよ
うにしてもよい。
In the second electron beam apparatus, the scanning area may be a plurality of areas and a plurality of secondary electron detectors may be provided. In the first or second electron beam apparatus, electron beam irradiation is performed through the objective lens, and a differential pumping structure may be provided around the objective lens.

【0052】1番目または2番目の電子線装置におい
て、上記ステージが静圧軸受けを有し、上記ワーキング
チャンバは低真空の真空に保持されるようにすることが
できる。
In the first or second electron beam apparatus, the stage may have a hydrostatic bearing, and the working chamber may be kept in a low vacuum.

【0053】2番目の電子線装置において、上記対物レ
ンズが、レンズギャップが試料側に設けられた電磁レン
ズであり、上記電磁レンズと試料間に電圧を印加可能な
円板状電極を設けるようにすることができる。
In the second electron beam apparatus, the objective lens is an electromagnetic lens having a lens gap on the sample side, and a disc-shaped electrode capable of applying a voltage is provided between the electromagnetic lens and the sample. can do.

【0054】1番目または2番目の電子線装置におい
て、試料への合焦条件を求める時、少なくとも3つの対
物レンズ励起条件で、パターンエッジに直角にビームを
走査した時の信号の立上りをそれぞれ測定し、それらの
3点の測定結果から二次曲線を求める工程を有するもの
とすることができる。
In the first or second electron beam apparatus, when the focusing condition to the sample is obtained, the rising edge of the signal when the beam is scanned at right angles to the pattern edge is measured under at least three objective lens excitation conditions. However, the method may include a step of obtaining a quadratic curve from the measurement results of those three points.

【0055】1番目または2番目の電子線装置におい
て、試料上のパターン密度が大きく変化する境界付近の
画像形成を行い、その画像の歪みあるいはコントラスト
の劣化から試料の帯電を評価するようにすることができ
る。
In the first or second electron beam apparatus, an image is formed in the vicinity of the boundary where the pattern density on the sample greatly changes, and the charge of the sample is evaluated from the distortion of the image or the deterioration of the contrast. You can

【0056】2番目の電子線装置であって、上記走査領
域を複数領域とし、上記二次電子検出器も複数個設けら
れる場合において、上記複数の走査領域の中心を一軸方
向へ投影したときの該中心どうしの相隣る距離を等距離
とすることができる。
In the second electron beam apparatus, wherein the scanning regions are plural regions and the secondary electron detectors are plural, the center of the plural scanning regions is projected in the uniaxial direction. The adjacent distances between the centers can be made equal.

【0057】1番目または2番目の電子線装置におい
て、上記対物レンズの電子銃側に2段の偏向器を設け、
試料上を走査する時に偏向色収差が最小になるよう偏向
中心を決定することができる。
In the first or second electron beam apparatus, a two-stage deflector is provided on the electron gun side of the objective lens,
The deflection center can be determined so that the deflection chromatic aberration is minimized when scanning over the sample.

【0058】1番目または2番目の電子線装置におい
て、上記対物レンズの電子銃側にコンデンサレンズを設
け、上記コンデンサレンズによる光源像のZ方向位置
を、コマ収差と非点収差との合計が最小になる条件にて
決定することができる。
In the first or second electron beam apparatus, a condenser lens is provided on the electron gun side of the objective lens, and the Z direction position of the light source image by the condenser lens has a minimum sum of coma and astigmatism. Can be determined under the following conditions.

【0059】1番目または2番目の電子線装置におい
て、上記試料に最も近い電極に与える電圧を、試料面で
放電が生じない電圧か、あるいは、軸上色収差が必要な
値以下となる電圧に切り替え可能とすることができる。
In the first or second electron beam apparatus, the voltage applied to the electrode closest to the sample is switched to a voltage at which discharge does not occur on the sample surface or a voltage at which axial chromatic aberration is a required value or less. It can be possible.

【0060】1番目の電子線装置または2番目の電子線
装置であって上記走査領域を複数領域とし、上記二次電
子検出器も複数個設けられる場合において、それぞれの
ビーム又は走査領域の中心が円周上にあり、該ビーム又
は中心を一軸方向へ投影したときに相隣るものどうしの
距離が等しく、且つ、それぞれのビーム間距離又は走査
領域の中心間距離を絶対値でみたときにおいて、該距離
の最大値が4ヶ所であるようにすることができる。
In the case of the first electron beam apparatus or the second electron beam apparatus in which the scanning region is a plurality of regions and a plurality of the secondary electron detectors are also provided, the center of each beam or scanning region is On the circumference, when the beam or the center is projected in the uniaxial direction, the distances between adjacent ones are equal to each other, and when the respective beam distances or the center distances of the scanning regions are viewed as absolute values, The maximum value of the distance may be four.

【0061】1番目または2番目の電子線装置におい
て、二次電子を収集する構造が、円周上あるいは楕円周
上に設けた、円形あるいは長円形の二次電子通過穴をビ
ーム数だけ有するものとすることができる。
In the first or second electron beam apparatus, the structure for collecting the secondary electrons has a circular or elliptical secondary electron passage hole provided on the circumference or ellipse as many as the number of beams. Can be

【0062】1番目または2番目の電子線装置におい
て、一つのビームの走査幅が、ビームあるいは走査領域
の中心間距離の1/整数であるようにすることができ
る。さらに本発明によれば、上記のいずれかに示された
電子線装置を用いてプロセス途中のウェーハの評価を行
なうことを特徴とするデバイス製造方法も提供される。
In the first or second electron beam apparatus, the scanning width of one beam may be 1 / integer of the distance between the centers of the beams or scanning regions. Further, according to the present invention, there is also provided a device manufacturing method characterized in that the wafer under process is evaluated using the electron beam apparatus shown in any of the above.

【0063】[0063]

【発明の実施の形態】図1及び図2を参照して、本発明
の実施の形態1について、検査対象として表面にパター
ンが形成された基板即ちウェーハを検査する半導体検査
装置として説明する。図1及び図2において、半導体検
査装置1の主要構成要素が立面及び平面で示されてい
る。
BEST MODE FOR CARRYING OUT THE INVENTION With reference to FIGS. 1 and 2, a first embodiment of the present invention will be described as a semiconductor inspection apparatus for inspecting a substrate having a pattern formed on its surface, that is, a wafer as an inspection target. 1 and 2, main components of the semiconductor inspection device 1 are shown in an elevation and a plane.

【0064】実施の形態1の半導体検査装置1は、複数
枚のウェーハを収納したカセットを保持するカセットホ
ルダ10と、ミニエンバイロメント装置20と、ワーキ
ングチャンバを画成する主ハウジング30と、ミニエン
バイロメント装置20と主ハウジング30との間に配置
されていて、二つのローディングチャンバを画成するロ
ーダハウジング40と、ウェーハをカセットホルダ10
から主ハウジング30内に配置されたステージ装置50
上に装填するローダー60と、真空ハウジングに取り付
けられた電子光学装置70と、を備え、それらは図1及
び図2に示されるような位置関係で配置されている。半
導体検査装置1は、更に、真空の主ハウジング30内に
配置されたプレチャージユニット81と、ウェーハに電
位を印加する電位印加機構83(図10に図示)と、電
子ビームキャリブレーション機構85(図11に図示)
と、ステージ装置上でのウェーハの位置決めを行うため
のアライメント制御装置87を構成する光学顕微鏡87
1とを備えている。
The semiconductor inspection apparatus 1 of the first embodiment includes a cassette holder 10 for holding a cassette containing a plurality of wafers, a mini-environment device 20, a main housing 30 defining a working chamber, and a mini-environment. A loader housing 40 that is disposed between the mounting device 20 and the main housing 30 and defines two loading chambers, and a wafer cassette holder 10.
Device 50 disposed in the main housing 30 from
It comprises a loader 60 to be loaded on top and an electro-optical device 70 mounted in a vacuum housing, which are arranged in a positional relationship as shown in FIGS. The semiconductor inspection apparatus 1 further includes a precharge unit 81 arranged in the vacuum main housing 30, a potential application mechanism 83 (shown in FIG. 10) for applying a potential to the wafer, and an electron beam calibration mechanism 85 (FIG. (Illustrated in 11)
And an optical microscope 87 that constitutes an alignment control device 87 for positioning the wafer on the stage device.
1 and.

【0065】カセットホルダ10は、複数枚(例えば2
5枚)のウェーハが上下方向に平行に並べられた状態で
収納されたカセットc(例えば、アシスト社製のSMI
F、FOUPのようなクローズドカセット)を複数個
(この実施形態では2個)保持するようになっている。
このカセットホルダとしては、カセットをロボット等に
より搬送してきて自動的にカセットホルダ10に装填す
る場合にはそれに適した構造のものを、また人手により
装填する場合にはそれに適したオープンカセット構造の
ものをそれぞれ任意に選択して設置できるようになって
いる。カセットホルダ10は、この実施形態では、自動
的にカセットcが装填される形式であり、例えば昇降テ
ーブル11と、その昇降テール11を上下移動させる昇
降機構12とを備え、カセットcは昇降テーブル上に図
2で鎖線図示の状態で自動的にセット可能になってい
て、セット後、図2で実線図示の状態に自動的に回転さ
れてミニエンバイロメント装置内の第1の搬送ユニット
の回動軸線に向けられる。また、昇降テーブル11は図
1で鎖線図示の状態に降下される。このように、自動的
に装填する場合に使用するカセットホルダ、或いは人手
により装填する場合に使用するカセットホルダはいずれ
も公知の構造のものを適宜使用すれば良いので、その構
造及び機能の詳細な説明は省略する。
A plurality of cassette holders 10 (for example, 2
A cassette c (for example, SMI manufactured by Assist, Inc.) in which five wafers are arranged in parallel in the vertical direction
A plurality of (closed cassettes such as F and FOUP) (two in this embodiment) are held.
The cassette holder has a structure suitable for automatically loading the cassette in the cassette holder 10 by a robot or the like, and an open cassette structure suitable for manually loading the cassette holder 10. Each can be arbitrarily selected and installed. In this embodiment, the cassette holder 10 is of a type in which the cassette c is automatically loaded. For example, the cassette holder 10 includes a lifting table 11 and a lifting mechanism 12 that moves the lifting tail 11 up and down. 2 can be automatically set in the state shown by the chain line in FIG. 2, and after being set, it is automatically rotated to the state shown in the solid line in FIG. 2 to rotate the first transport unit in the mini-environment device. Oriented to the axis. Further, the lifting table 11 is lowered to the state shown by the chain line in FIG. As described above, as the cassette holder used when automatically loading or the cassette holder used when manually loading, any of well-known structures may be appropriately used. The description is omitted.

【0066】図4に示す別の実施の形態では、複数の3
00mmφ基板Wを、箱本体501に固定した溝型ポケ
ット(図示しない)に収納し、搬送及び保管する。この
基板搬送箱24は、角筒状の箱本体501と基板搬出ド
ア自動開閉装置に連絡されて箱本体501の側面の開口
部を開閉可能な基板搬出入ドア502と、開口部に対し
て反対側に位置されるフィルタ類、及びファンモータの
着脱を行うための開閉部を覆う蓋体503と、基板Wを
保持するための溝型ポケット(図示しない)と、ULP
Aフィルタ505、ケミカルフィルタ506、ファンモ
ータ507により構成される。この事例では、基板W
は、ローダー60のロボット式の第1搬送ユニット61
2により出し入れされる。
In another embodiment shown in FIG. 4, a plurality of three
The 00 mmφ substrate W is stored in a groove-shaped pocket (not shown) fixed to the box body 501, and is transported and stored. This board transfer box 24 is opposite to the opening / closing door 502 which is opened and closed by opening the side opening of the box body 501 by being connected to the rectangular tube-shaped box body 501 and the board loading / unloading door automatic opening / closing device. A lid 503 for covering the filters located on the side and an opening / closing part for attaching / detaching the fan motor, a groove type pocket (not shown) for holding the substrate W, and an ULP.
It is composed of an A filter 505, a chemical filter 506, and a fan motor 507. In this case, the substrate W
Is the robot type first transfer unit 61 of the loader 60.
It is taken in and out by 2.

【0067】カセットc内に収納される基板即ちウェー
ハは、検査を受けるウェーハであり、そのような検査
は、半導体製造工程中でウェーハを処理するプロセスの
後、若しくはプロセスの途中で行われる。具体的には、
成膜工程、CMP、イオン注入等を受けた基板即ちウェ
ーハ、表面に配線パターンが形成されたウェーハ、又は
配線パターンが未だに形成されていないウェーハが、カ
セット内に収納される。カセットc内に収容されるウェ
ーハは多数枚上下方向に隔ててかつ平行に並べて配置さ
れているため、任意の位置のウェーハと後述する第1の
搬送ユニットで保持できるように、第1の搬送ユニット
のアームを上下移動できるようになっている。
The substrate or wafer contained in the cassette c is a wafer to be inspected, and such an inspection is performed after or during the process of processing the wafer in the semiconductor manufacturing process. In particular,
A substrate, ie, a wafer that has been subjected to a film forming process, CMP, ion implantation, a wafer having a wiring pattern formed on the surface thereof, or a wafer having no wiring pattern formed therein is stored in a cassette. Since a large number of wafers accommodated in the cassette c are arranged side by side in parallel in the vertical direction, the first transfer unit can be held by the first transfer unit, which will be described later, with the wafer at an arbitrary position. The arm can be moved up and down.

【0068】図1乃至図4において、ミニエンバイロメ
ント装置20は、雰囲気制御されるようになっているミ
ニエンバイロメント空間21を画成するハウジング22
と、ミニエンバイロメント空間21内で清浄空気のよう
な気体を循環して雰囲気制御するための気体循環装置2
3と、ミニエンバイロメント空間21内に供給された空
気の一部を回収して排出する排出装置24と、ミニエン
バイロメント空間21内に配設されていて検査対象とし
ての基板即ちウェーハを粗位置決めするプリアライナー
25とを備えている。
1 to 4, a mini-environment device 20 includes a housing 22 which defines a mini-environment space 21 which is adapted to atmosphere control.
And a gas circulation device 2 for controlling the atmosphere by circulating a gas such as clean air in the mini-environment space 21.
3, an exhaust device 24 for collecting and exhausting a part of the air supplied to the mini-environment space 21, and a rough positioning of a substrate or a wafer as an inspection target, which is provided in the mini-environment space 21. The pre-aligner 25 is provided.

【0069】ハウジング22は、頂壁221、底壁22
2及び四周を囲む周壁223を有していてい、ミニエン
バイロメント空間21を外部から遮断する構造になって
いる。ミニエンバイロメント空間を雰囲気制御するため
に、気体循環装置23は、図3に示されるように、ミニ
エンバイロメント空間21内において、頂壁221に取
り付けられていて、気体(この実施形態では空気)を清
浄にして一つ又はそれ以上の気体吹き出し口(図示せ
ず)を通して清浄空気を真下に向かって層流状に流す気
体供給ユニット231と、ミニエンバイロメント空間内
において底壁222の上に配置されていて、底に向かっ
て流れ下った空気を回収する回収ダクト232と、回収
ダクト232と気体供給ユニット231とを接続して回
収された空気を気体供給ユニット231に戻す導管23
3とを備えている。
The housing 22 includes a top wall 221 and a bottom wall 22.
It has a peripheral wall 223 surrounding two and four circumferences, and has a structure that shields the mini-environment space 21 from the outside. In order to control the atmosphere in the mini-environment space, the gas circulation device 23 is attached to the top wall 221 in the mini-environment space 21 as shown in FIG. Disposed on the bottom wall 222 in the mini-environment space, and a gas supply unit 231 that cleans the air and flows clean air through one or more gas outlets (not shown) in a laminar flow direction right below. The recovery duct 232 for recovering the air flowing down toward the bottom and the conduit 23 for connecting the recovery duct 232 and the gas supply unit 231 to return the recovered air to the gas supply unit 231.
3 and 3.

【0070】この実施形態では、気体供給ユニット23
1は供給する空気の約20%をハウジング22の外部か
ら取り入れて清浄にするようになっているが、この外部
から取り入れられる気体の割合は任意に選択可能であ
る。気体供給ユニット231は、清浄空気をつくりだす
ための公知の構造のHEPA若しくはULPAフィルタ
を備えている。清浄空気の層流状の下方向の流れ即ちダ
ウンフローは、主に、ミニエンバイロメント空間21内
に配置された後述する第1の搬送ユニットによる搬送面
を通して流れるように供給され、搬送ユニットにより発
生する虞のある塵埃がウェーハに付着するのを防止する
ようになっている。
In this embodiment, the gas supply unit 23
Although No. 1 takes in about 20% of the supplied air from the outside of the housing 22 for cleaning, the proportion of the gas taken in from the outside can be arbitrarily selected. The gas supply unit 231 includes a HEPA or ULPA filter of known structure for producing clean air. The laminar downward flow of clean air, that is, the downflow, is mainly supplied so as to flow through a transfer surface of a first transfer unit, which will be described later, arranged in the mini-environment space 21, and is generated by the transfer unit. It is designed to prevent dust, which may be generated, from adhering to the wafer.

【0071】従って、ダウンフローの噴出口は必ずしも
図示のように頂壁に近い位置である必要はなく、搬送ユ
ニットによる搬送面より上側にあればよい。また、ミニ
エンバイロメント空間全面に亘って流す必要もない。な
お、場合によっては、清浄空気としてイオン風を使用す
ることによって清浄度を確保することができる。また、
ミニエンバイロメント空間内には清浄度を観察するため
のセンサを設け、清浄度が悪化したときに装置をシャッ
トダウンすることもできる。ハウジング22の周壁22
3のうちカセットホルダ10に隣接する部分には出入り
口225が形成されている。出入り口225近傍には公
知の構造のシャッタ装置を設けて出入り口225をミニ
エンバイロメント装置側から閉じるようにしてもよい。
ウェーハ近傍でつくる層流のダウンフローは、例えば
0.3乃至0.4m/secの流速でよい。気体供給ユ
ニットはミニエンバイロメント空間内でなくその外側に
設けてもよい。
Therefore, the downflow ejection port does not necessarily have to be at a position close to the top wall as shown in the drawing, but may be located above the carrying surface of the carrying unit. Also, it is not necessary to flow over the entire mini-environment space. In some cases, the cleanliness can be secured by using ionic wind as the clean air. Also,
A sensor for observing the cleanliness may be provided in the mini-environment space, and the device may be shut down when the cleanliness deteriorates. The peripheral wall 22 of the housing 22
An inlet / outlet 225 is formed in a portion of 3 adjacent to the cassette holder 10. A shutter device having a known structure may be provided near the doorway 225 to close the doorway 225 from the mini-environment device side.
The laminar downflow generated in the vicinity of the wafer may have a flow velocity of 0.3 to 0.4 m / sec, for example. The gas supply unit may be provided outside the mini-environment space instead of inside the mini-environment space.

【0072】排出装置24は、前記搬送ユニットのウェ
ーハ搬送面より下側の位置で搬送ユニットの下部に配置
された吸入ダクト241と、ハウジング22の外側に配
置されたブロワー242と、吸入ダクト241とブロワ
ー242とを接続する導管243と、を備えている。こ
の排出装置24は、搬送ユニットの周囲を流れ下り搬送
ユニットにより発生する可能性のある塵埃を含んだ気体
を、吸入ダクト241により吸引し、導管243、24
4及びブロワー242を介してハウジング22の外側に
排出する。この場合、ハウジング22の近くに引かれた
排気管(図示せず)内に排出してもよい。
The discharging device 24 includes a suction duct 241 disposed below the wafer transport surface of the transport unit, disposed below the transport unit, a blower 242 disposed outside the housing 22, and a suction duct 241. And a conduit 243 connecting the blower 242. The discharge device 24 sucks a gas containing dust that may flow down around the transport unit and may be generated by the transport unit by the suction duct 241, and then the conduits 243, 24.
4 and the blower 242, and then discharged to the outside of the housing 22. In this case, the gas may be discharged into an exhaust pipe (not shown) drawn near the housing 22.

【0073】ミニエンバイロメント空間21内に配置さ
れたアライナー25は、ウェーハに形成されたオリエン
テーションフラット(円形のウェーハの外周に形成され
た平坦部分を言い、以下においてオリフラと呼ぶ)や、
ウェーハの外周縁に形成された一つ又はそれ以上のV型
の切欠き即ちノッチを光学的に或いは機械的に検出して
ウェーハの軸線O1−O1の周りの回転方向の位置を約±
1度の精度で予め位置決めしておくようになっている。
プリアライナーは請求項に記載された発明の検査対象の
座標を決める機構の一部を構成し、検査対象の粗位置決
めを担当する。このプリアライナー自体は公知の構造の
ものでよいので、その構造、動作の説明は省略する。
The aligner 25 arranged in the mini-environment space 21 is an orientation flat formed on the wafer (referred to as a flat portion formed on the outer periphery of a circular wafer and hereinafter referred to as an orientation flat),
Optically or mechanically detecting one or more V-shaped notches or notches formed in the outer peripheral edge of the wafer to determine the rotational position of the wafer about the axis O 1 -O 1.
Positioning is performed in advance with one-time accuracy.
The pre-liner constitutes a part of the mechanism for determining the coordinates of the inspection object of the invention described in the claims, and is in charge of rough positioning of the inspection object. Since this pre-aligner itself may have a known structure, description of its structure and operation will be omitted.

【0074】なお、図示しないが、プリアライナーの下
部にも排出装置用の回収ダクトを設けて、プリアライナ
ーから排出された塵埃を含んだ空気を外部に排出するよ
うにしてもよい。
Although not shown, a collecting duct for the discharging device may be provided below the pre-aligner to discharge the dust-containing air discharged from the pre-aligner to the outside.

【0075】図1及び図2において、ワーキングチャン
バ31を画成する主ハウジング30は、ハウジング本体
32を備え、そのハウジング本体32は、台フレーム3
6上に配置された振動遮断装置即ち防振装置37の上に
載せられたハウジング支持装置33によって支持されて
いる。ハウジング支持装置33は矩形に組まれたフレー
ム構造体331を備えている。ハウジング本体32はフ
レーム構造体331上に配設固定されていて、フレーム
構造体上に載せられた底壁321と、頂壁322と、底
壁321及び頂壁322に接続されて四周を囲む周壁3
23とを備えていてワーキングチャンバ31を外部から
隔離している。底壁321は、この実施形態では、上に
載置されるステージ装置等の機器による加重で歪みの発
生しないように比較的肉厚の厚い鋼板で構成されている
が、その他の構造にしてもよい。
In FIGS. 1 and 2, the main housing 30 defining the working chamber 31 includes a housing body 32, which is a base frame 3.
6 is supported by a housing support device 33 mounted on a vibration isolation device or vibration isolation device 37. The housing support device 33 includes a frame structure 331 assembled in a rectangular shape. The housing main body 32 is disposed and fixed on the frame structure 331, and has a bottom wall 321 mounted on the frame structure, a top wall 322, and a peripheral wall connected to the bottom wall 321 and the top wall 322 and surrounding four circumferences. Three
23 to isolate the working chamber 31 from the outside. In this embodiment, the bottom wall 321 is made of a relatively thick steel plate so as not to be distorted due to the weight applied by a device such as a stage device mounted on the bottom wall 321. Good.

【0076】この実施形態において、ハウジング本体及
びハウジング支持装置33は、剛構造に組み立てられて
いて、台フレーム36が設置されている床からの振動が
この剛構造に伝達されるのを防振装置37で阻止するよ
うになっている。ハウジング本体32の周壁323のう
ち後述するローダハウジングに隣接する周壁にはウェー
ハ出し入れ用の出入り口325が形成されている。
In this embodiment, the housing body and the housing support device 33 are assembled into a rigid structure, and the vibration isolation device prevents vibrations from the floor on which the base frame 36 is installed from being transmitted to this rigid structure. It is designed to block at 37. A wafer inlet / outlet port 325 is formed on a peripheral wall 323 of the housing body 32 adjacent to a loader housing, which will be described later.

【0077】防振装置は、空気バネ、磁気軸受け等を有
するアクティブ式のものでも、或いはこれらを有するパ
ッシブ式のもよい。いずれも公知の構造のものでよいの
で、それ自体の構造及び機能の説明は省略する。ワーキ
ングチャンバ31は公知の構造の真空装置(図示せず)
により真空雰囲気に保たれるようになっている。台フレ
ーム36の下には装置全体の動作を制御する制御装置2
が配置されている。
The vibration isolator may be an active type having an air spring, a magnetic bearing or the like, or a passive type having these. Since any of them may have a known structure, description of the structure and function of itself will be omitted. The working chamber 31 is a vacuum device (not shown) having a known structure.
Is maintained in a vacuum atmosphere. Below the base frame 36 is a control device 2 for controlling the operation of the entire device.
Are arranged.

【0078】図1、図2及び図5において、ローダハウ
ジング40は、第1のローディングチャンバ41と第2
のローディングチャンバ42とを画成するハウジング本
体43を備えている。ハウジング本体43は底壁431
と、頂壁432と、四周を囲む周壁433と、第1のロ
ーディングチャンバ41と第2のローディングチャンバ
42とを仕切る仕切壁434とを有していて、両ローデ
ィングチャンバを外部から隔離できるようになってい
る。仕切壁434には両ローディングチャンバ間でウェ
ーハのやり取りを行うための開口即ち出入り口435が
形成されている。また、周壁433のミニエンバイロメ
ント装置及び主ハウジングに隣接した部分には出入り口
436及び437が形成されている。
In FIGS. 1, 2 and 5, the loader housing 40 includes a first loading chamber 41 and a second loading chamber 41.
And a housing body 43 that defines the loading chamber 42 of FIG. The housing body 43 has a bottom wall 431.
A top wall 432, a peripheral wall 433 surrounding the four circumferences, and a partition wall 434 for partitioning the first loading chamber 41 and the second loading chamber 42, so that both loading chambers can be isolated from the outside. Has become. The partition wall 434 is formed with an opening or an entrance / exit 435 for exchanging wafers between both loading chambers. Further, entrances and exits 436 and 437 are formed in a portion of the peripheral wall 433 adjacent to the mini-environment device and the main housing.

【0079】このローダハウジング40のハウジング本
体43は、ハウジング支持装置33のフレーム構造体3
31上に載置されてそれによって支持されている。従っ
て、このローダハウジング40にも床の振動が伝達され
ないようになっている。ローダハウジング40の出入り
口436とミニエンバイロメント装置のハウジング22
の出入り口226とは整合されていて、そこにはミニエ
ンバイロメント空間21と第1のローディングチャンバ
41との連通を選択的に阻止するシャッタ装置27が設
けられている。シャッタ装置27は、出入り口226及
び436の周囲を囲んで側壁433と密に接触して固定
されたシール材271、シール材271と協働して出入
り口を介しての空気の流通を阻止する扉272と、その
扉を動かす駆動装置273とを有している。
The housing body 43 of the loader housing 40 is the frame structure 3 of the housing supporting device 33.
Mounted on and supported by 31. Therefore, floor vibration is not transmitted to the loader housing 40. Doorway 436 of loader housing 40 and housing 22 of mini-environment device
The doorway 226 is aligned with a shutter device 27 that selectively blocks communication between the mini-environment space 21 and the first loading chamber 41. The shutter device 27 surrounds the entrances and exits 226 and 436 and closes the side wall 433 in close contact with the sealing material 271. The door 272 cooperates with the sealing material 271 to prevent air from flowing through the entrance and exit. And a drive device 273 for moving the door.

【0080】また、ローダハウジング40の出入り口4
37とハウジング本体32の出入り口325とは整合さ
れていて、そこには第2のローディングチャンバ42と
ワーキンググチャンバ31との連通を選択的に密封阻止
するシャッタ装置45が設けられている。シャッタ装置
45は、出入り口437及び325の周囲を囲んで側壁
433及び323と密に接触してそれらに固定されたシ
ール材451、シール材451と協働して出入り口を介
しての空気の流通を阻止する扉452と、その扉を動か
す駆動装置453とを有している。
Further, the doorway 4 of the loader housing 40
37 and the inlet / outlet 325 of the housing main body 32 are aligned with each other, and a shutter device 45 for selectively blocking the communication between the second loading chamber 42 and the working chamber 31 is provided therein. The shutter device 45 surrounds the entrances and exits 437 and 325 and is in close contact with the side walls 433 and 323, and the sealing material 451 fixed to them and the sealing material 451 cooperate with the flow of air through the entrance and exit. It has a door 452 for blocking and a drive device 453 for moving the door.

【0081】更に、仕切壁434に形成された開口に
は、扉461によりそれを閉じて第1及び第2のローデ
ィングチャンバ間の連通を選択的に密封阻止するゲート
バルブ46が設けられている。これらのゲートバルブ2
7、45及び46は、閉じ状態にあるとき各チャンバを
気密シールできるようになっている。これらのゲートバ
ルブは公知のものでよいので、その構造及び動作の詳細
な説明は省略する。なお、ミニエンバイロメント装置2
0のハウジング22の支持方法とローダハウジングの支
持方法が異なり、ミニエンバイロメント装置を介して床
からの振動がローダハウジング40、主ハウジング30
に伝達されるのを防止するために、ハウジング22とロ
ーダハウジング40との間には出入り口の周囲を気密に
囲むように防振用のクッション材を配置しておけば良
い。
Further, the opening formed in the partition wall 434 is provided with a gate valve 46 which is closed by a door 461 to selectively block the communication between the first and second loading chambers. These gate valves 2
7, 45 and 46 are adapted to hermetically seal each chamber when in the closed condition. Since these gate valves may be known ones, detailed description of their structure and operation will be omitted. The mini-environment device 2
0 is different from the support method of the housing 22 and the support method of the loader housing, and vibration from the floor is transmitted via the mini-environment device to the loader housing 40 and the main housing 30.
In order to prevent the vibrations from being transmitted to the housing 22, a cushioning material for vibration isolation may be arranged between the housing 22 and the loader housing 40 so as to airtightly surround the entrance and exit.

【0082】第1のローディングチャンバ41内には、
複数(この実施形態では2枚)のウェーハを上下に隔て
て水平の状態で支持するウェーハラック47が配設され
ている。ウェーハラック47は、図6に示されるよう
に、矩形の基板471の四隅に互いに隔てて直立状態で
固定された支柱472を備え、各支柱472にはそれぞ
れ2段の支持部473及び474が形成され、その支持
部の上にウェーハWの周縁の載せて保持するようになっ
ている。そして後述する第1及び第2の搬送ユニットの
アームの先端を隣接する支柱間からウェーハに接近させ
てアームによりウェーハを把持するようになっている。
In the first loading chamber 41,
A wafer rack 47 that supports a plurality (two in this embodiment) of wafers in a horizontal state by vertically separating the wafers is provided. As shown in FIG. 6, the wafer rack 47 includes columns 472 that are fixed to each other at four corners of a rectangular substrate 471 so as to be separated from each other in an upright state. Each column 472 has two stages of support portions 473 and 474. The peripheral edge of the wafer W is placed and held on the supporting portion. Then, the tips of the arms of the first and second transfer units, which will be described later, are brought close to the wafer from between the adjacent columns, and the wafer is gripped by the arms.

【0083】ローディングチャンバ41及び42は、図
示しない真空ポンプを含む公知の構造の真空排気装置
(図示せず)によって高真空状態(真空度としては10
-5〜10-6Pa)に雰囲気制御され得るようになってい
る。この場合、第1のローディングチャンバ41を低真
空チャンバとして低真空雰囲気に保ち、第2のローディ
ングチャンバ42を高真空チャンバとして高真空雰囲気
に保ち、ウェーハの汚染防止を効果的に行うこともでき
る。このような構造を採用することによってローディン
グチャンバ内に収容されていて次に欠陥検査されるウェ
ーハをワーキングチャンバ内に遅滞なく搬送することが
できる。このようなローディングチャンバを採用するこ
とによって、後述するマルチビーム型電子装置原理と共
に、欠陥検査のスループットを向上させ、更に保管状態
が高真空状態であることを要求される電子源周辺の真空
度を可能な限り高真空度状態にすることができる。
The loading chambers 41 and 42 are in a high vacuum state (degree of vacuum is 10 by a vacuum exhaust device (not shown) of a known structure including a vacuum pump (not shown).
The atmosphere can be controlled to -5 to 10 -6 Pa). In this case, the first loading chamber 41 can be kept in a low vacuum atmosphere as a low vacuum chamber, and the second loading chamber 42 can be kept in a high vacuum atmosphere as a high vacuum chamber to effectively prevent wafer contamination. By adopting such a structure, the wafer which is accommodated in the loading chamber and is subsequently inspected for defects can be transferred into the working chamber without delay. By adopting such a loading chamber, the defect inspection throughput is improved together with the principle of a multi-beam type electronic device which will be described later, and the degree of vacuum around the electron source required to be stored in a high vacuum state is improved. The highest degree of vacuum can be achieved.

【0084】第1及び第2のローディングチャンバ41
及び42は、それぞれ真空排気配管と不活性ガス(例え
ば乾燥純窒素)用のベント配管(それぞれ図示せず)が
接続されている。これによって、各ローディングチャン
バ内の大気圧状態は不活性ガベント(不活性ガスを注入
して不活性ガス以外の酸素ガス等が表面に付着するのを
防止する)によって達成される。このような不活性ガス
ベントを行う装置自体は公知の構造のものでよいので、
その詳細な説明は省略する。
First and second loading chambers 41
And 42 are connected to a vacuum exhaust pipe and a vent pipe (not shown) for an inert gas (for example, dry pure nitrogen). Thereby, the atmospheric pressure condition in each loading chamber is achieved by the inert gas vent (inert gas is injected to prevent oxygen gas other than the inert gas from adhering to the surface). Since the device itself for performing such an inert gas vent may have a known structure,
Detailed description thereof will be omitted.

【0085】電子線を使用する本発明の検査装置におい
て、後述する電子光学系の電子源として使用される代表
的な六硼化ランタン(LaB6)等は一度熱電子を放出
する程度まで高温状態に加熱された場合には、酸素等に
可能な限り接触させないことがその寿命を縮めないため
に肝要であるが、電子光学系が配置されているワーキン
グチャンバにウェーハを搬入する前段階で上記のような
雰囲気制御を行うことにより、より確実に実行できる。
In the inspection apparatus of the present invention using an electron beam, a typical lanthanum hexaboride (LaB 6 ) used as an electron source of the electron optical system described later is in a high temperature state until it emits thermoelectrons once. When heated to 0 ° C, it is important not to contact oxygen as much as possible so as not to shorten its life.However, the above-mentioned step is carried out before the wafer is loaded into the working chamber where the electron optical system is arranged. By performing such atmosphere control, it can be executed more reliably.

【0086】ステージ装置50は、主ハウジング30の
底壁301上に配置された固定テーブル51と、固定テ
ーブル上でY方向(図1において紙面に垂直の方向)に
移動するYテーブル52と、Yテーブル上でX方向(図
1において左右方向)に移動するXテーブル53と、X
テーブル上で回転可能な回転テーブル54と、回転テー
ブル54上に配置されたホルダ55とを備えている。そ
のホルダ55のウェーハ載置面551上にウェーハを解
放可能に保持する。ホルダは、ウェーハを機械的に或い
は静電チャック方式で解放可能に把持できる公知の構造
のものでよい。
The stage device 50 includes a fixed table 51 arranged on the bottom wall 301 of the main housing 30, a Y table 52 moving on the fixed table in the Y direction (direction perpendicular to the paper surface in FIG. 1), and a Y table 52. An X table 53 that moves in the X direction (left and right direction in FIG. 1) on the table;
The rotary table 54 rotatable on the table and the holder 55 arranged on the rotary table 54 are provided. The wafer is releasably held on the wafer mounting surface 551 of the holder 55. The holder may have a known structure capable of releasably holding the wafer mechanically or by an electrostatic chuck method.

【0087】ステージ装置50は、サーボモータ、エン
コーダ及び各種のセンサ(図示せず)を用いて、上記の
ような複数のテーブルを動作させることにより、載置面
551上でホルダに保持されたウェーハを電子光学装置
から照射される電子ビームに対してX方向、Y方向及び
Z方向(図1において上下方向)に、更にウェーハの支
持面に鉛直な軸線の回り方向(θ方向)に高い精度で位
置決めできるようになっている。なお、Z方向の位置決
めは、例えばホルダ上の載置面の位置をZ方向に微調整
可能にしておけばよい。この場合、載置面の基準位置を
微細径レーザによる位置測定装置(干渉計の原理を使用
したレーザ干渉測距装置)によって検知し、その位置を
図示しないフィードバック回路によって制御したり、そ
れと共に或いはそれに代えてウェーハのノッチ或いはオ
リフラの位置を測定してウェーハの電子ビームに対する
平面位置、回転位置を検知し、回転テーブルを微小角度
制御可能なステッピングモータなどにより回転させて制
御する。
The stage device 50 uses a servo motor, an encoder, and various sensors (not shown) to operate the plurality of tables as described above, and thus the wafer held by the holder on the mounting surface 551. With high accuracy in the X-direction, Y-direction and Z-direction (vertical direction in FIG. 1) with respect to the electron beam emitted from the electron optical device, and in the direction around the axis vertical to the wafer support surface (θ-direction). It can be positioned. The positioning in the Z direction may be performed by finely adjusting the position of the mounting surface on the holder in the Z direction. In this case, the reference position of the mounting surface is detected by a position measuring device (laser interference distance measuring device using the principle of an interferometer) using a fine diameter laser, and the position is controlled by a feedback circuit (not shown), or together with it. Instead of this, the position of the notch or orientation flat of the wafer is measured to detect the plane position and the rotational position of the wafer with respect to the electron beam, and the rotary table is rotated and controlled by a stepping motor or the like capable of controlling a minute angle.

【0088】ワーキングチャンバ内での塵埃の発生を極
力防止するために、ステージ装置用のサーボモータ52
1、531及びエンコーダ522、532は、主ハウジ
ング30の外側に配置されている。なお、ステージ装置
50は、例えばステッパー等で使用されている公知の構
造のもので良いので、その構造及び動作の詳細な説明は
省略する。また、上記レーザ干渉測距装置も公知の構造
のものでよいので、その構造、動作の詳細な説明は省略
する。
In order to prevent the generation of dust in the working chamber as much as possible, the servo motor 52 for the stage device is used.
1, 531 and encoders 522, 532 are arranged outside the main housing 30. Since the stage device 50 may have a known structure used in, for example, a stepper, detailed description of its structure and operation will be omitted. The laser interference distance measuring device may also have a known structure, and thus detailed description of its structure and operation will be omitted.

【0089】電子ビームに対するウェーハの回転位置
や、X、Y位置を予め後述する信号検出系或いは画像処
理系に入力することで得られる信号の基準化を図ること
もできる。更に、このホルダに設けられたウェーハチャ
ック機構は、ウェーハをチャックするための電圧を静電
チャックの電極に与えられるようになっていて、ウェー
ハの外周部の3点(好ましくは周方向に等隔に隔てられ
た)を押さえて位置決めするようになっている。ウェー
ハチャック機構は、二つの固定位置決めピンと、一つの
押圧式クランクピンとを備えている。クランプピンは、
自動チャック及び自動リリースを実現できるようになっ
ており、かつ電圧印加の導通箇所を構成している。
It is also possible to standardize the signal obtained by previously inputting the rotational position of the wafer with respect to the electron beam and the X and Y positions to a signal detection system or an image processing system described later. Further, the wafer chuck mechanism provided in this holder is adapted to apply a voltage for chucking the wafer to the electrodes of the electrostatic chuck, so that the wafer chuck mechanism is provided at three points (preferably at equal intervals in the circumferential direction) on the outer peripheral portion of the wafer. It is designed to be pressed and positioned. The wafer chuck mechanism includes two fixed positioning pins and one pressing crank pin. The clamp pin is
An automatic chuck and an automatic release can be realized, and a conduction part for voltage application is configured.

【0090】この実施形態では図2で左右方向に移動す
るテーブルをXテーブルとし、上下方向に移動するテー
ブルをYテーブルとしたが、同図で左右方向に移動する
テーブルをYテーブルとし、上下方向に移動するテーブ
ルをXテーブルとしてもよい。
In this embodiment, the table that moves in the left-right direction is the X table and the table that moves in the up-down direction is the Y table in FIG. 2, but the table that moves in the left-right direction is the Y table in the figure. The table to be moved to may be the X table.

【0091】ローダー60は、ミニエンバイロメント装
置20のハウジング22内に配置されたロボット式の第
1の搬送ユニット61と、第2のローディングチャンバ
42内に配置されたロボット式の第2の搬送ユニット6
3とを備えている。第1の搬送ユニット61は、駆動部
611に関して軸線O1−O1の回りで回転可能になって
いる多節のアーム612を有している。多節のアームと
しては任意の構造のものを使用できるが、この実施形態
では、互いに回動可能に取り付けられた三つの部分を有
している。第1の搬送ユニット61のアーム612の一
つの部分即ち最も駆動部611側の第1の部分は、駆動
部611内に設けられた公知の構造の駆動機構(図示せ
ず)により回転可能な軸613に取り付けられている。
The loader 60 includes a robot type first transfer unit 61 arranged in the housing 22 of the mini-environment device 20 and a robot type second transfer unit arranged in the second loading chamber 42. 6
3 and 3. The first transport unit 61 has a multi-joint arm 612 that is rotatable about an axis O 1 -O 1 with respect to the drive unit 611. Any structure can be used as the multi-joint arm, but in this embodiment, it has three parts pivotally attached to each other. One part of the arm 612 of the first transport unit 61, that is, the first part closest to the drive part 611, is a shaft rotatable by a drive mechanism (not shown) of a known structure provided in the drive part 611. 613 is attached.

【0092】アーム612は、軸613により軸線O1
−O1の回りで回動できると共に、部分間の相対回転に
より全体として軸線O1−O1に関して半径方向に伸縮可
能になっている。アーム612の軸613から最も離れ
た第3の部分の先端には公知の構造の機械式チャック又
は静電チャック等のウェーハを把持する把持装置616
が設けられている。駆動部611は、公知の構造の昇降
機構615により上下方向に移動可能になっている。
The arm 612 is connected to the axis O 1 by the axis 613.
It is possible around at rotation of -O 1, it has become telescopic radially with respect to the axis O 1 -O 1 as a whole by relative rotation among parts. A gripping device 616 for gripping a wafer, such as a mechanical chuck or an electrostatic chuck having a known structure, is provided at a tip of a third portion farthest from the shaft 613 of the arm 612.
Is provided. The drive unit 611 is vertically movable by an elevating mechanism 615 having a known structure.

【0093】この第1の搬送ユニット61は、アーム6
12がカセットホルダに保持された二つのカセットcの
内いずれか一方の方向M1又はM2に向かってアームが
伸び、カセットc内に収容されたウェーハを1枚アーム
の上に載せ或いはアームの先端に取り付けたチャック
(図示せず)により把持して取り出す。その後アームが
縮み(図2に示すような状態)、アームがプリアライナ
ー25の方向M3に向かって伸長できる位置まで回転し
てその位置で停止する。するとアームが再び伸びてアー
ムに保持されたウェーハをプリアライナー25に載せ
る。プリアライナーから前記と逆にしてウェーハを受け
取った後はアームは更に回転し第2のローディングチャ
ンバ41に向かって伸長できる位置(向きM3)で停止
し、第2のローディングチャンバ41内のウェーハ受け
47にウェーハを受け渡す。
The first transfer unit 61 includes the arm 6
The arm 12 extends in either direction M1 or M2 of the two cassettes c held by the cassette holder, and one wafer accommodated in the cassette c is placed on the arm or at the tip of the arm. It is grasped by a chuck (not shown) attached and taken out. After that, the arm contracts (a state as shown in FIG. 2), and the arm rotates to a position where it can extend in the direction M3 of the pre-aligner 25 and stops there. Then, the arm extends again and the wafer held by the arm is placed on the pre-aligner 25. After receiving the wafer from the pre-liner in the reverse manner, the arm further rotates and stops at a position (direction M3) where it can extend toward the second loading chamber 41, and the wafer receiver 47 in the second loading chamber 41. Hand the wafer to.

【0094】機械的にウェーハを把持する場合は、ウェ
ーハの周縁部(周縁から約5mmの範囲)又は裏面を把
持する。これはウェーハには周縁部を除いて全面にデバ
イス(回路配線)が形成されており、この部分を把持す
るとデバイスの破壊、欠陥の発生を生じさせるからであ
る。
In the case of mechanically gripping the wafer, the peripheral portion (in the range of about 5 mm from the peripheral edge) or the back surface of the wafer is gripped. This is because the device (circuit wiring) is formed on the entire surface of the wafer except the peripheral portion, and if this portion is gripped, the device is destroyed and defects are generated.

【0095】第2の搬送ユニット63も第1の搬送ユニ
ットと構造が基本的に同じであり、ウェーハの搬送をウ
ェーハラック47とステージ装置の載置面上との間で行
う点でのみ相違するだけであるから、詳細な説明は省略
する。
The second transfer unit 63 is basically the same in structure as the first transfer unit, and is different only in that the wafer is transferred between the wafer rack 47 and the mounting surface of the stage device. Therefore, detailed description will be omitted.

【0096】上記ローダー60では、第1及び第2の搬
送ユニット61及び63は、カセットホルダに保持され
たカセットからワーキングチャンバ31内に配置された
ステージ装置50上への及びその逆のウェーハの搬送を
ほぼ水平状態に保ったままで行い、搬送ユニットのアー
ムが上下動するのは、単に、ウェーハのカセットからの
取り出し及びそれへの挿入、ウェーハのウェーハラック
への載置及びそこからの取り出し及びウェーハのステー
ジ装置への載置及びそこからの取り出しのときだけであ
る。従って、大型のウェーハ、例えば直径30cmのウ
ェーハの移動もスムースに行うことができる。
In the loader 60, the first and second transfer units 61 and 63 transfer the wafer from the cassette held by the cassette holder onto the stage device 50 arranged in the working chamber 31 and vice versa. And the arm of the transfer unit moves up and down simply because the wafer is taken out of the cassette and inserted into it, the wafer is placed in the wafer rack and taken out from it, and the wafer is moved. This is only when mounting and removing from the stage device. Therefore, a large wafer, for example, a wafer having a diameter of 30 cm can be smoothly moved.

【0097】次にカセットホルダに支持されたカセット
cからワーキングチャンバ31内に配置されたステージ
装置50までへのウェーハの搬送を順を追って説明す
る。カセットホルダ10は、前述のように人手によりカ
セットをセットする場合にはそれに適した構造のもの
が、また自動的にカセットをセットする場合にはそれに
適した構造のものが使用される。この実施形態におい
て、カセットcがカセットホルダ10の昇降テーブル1
1の上にセットされると、昇降テーブル11は昇降機構
12によって降下されカセットcが出入り口225に整
合される。
Next, the transfer of the wafer from the cassette c supported by the cassette holder to the stage device 50 arranged in the working chamber 31 will be described step by step. The cassette holder 10 has a structure suitable for manually setting the cassette as described above, and a structure suitable for automatically setting the cassette. In this embodiment, the cassette c is the lifting table 1 of the cassette holder 10.
When set to the position of 1, the lifting table 11 is lowered by the lifting mechanism 12 and the cassette c is aligned with the entrance 225.

【0098】カセットが出入り口225に整合される
と、カセットに設けられたカバー(図示せず)が開きま
たカセットcとミニエンバイロメントの出入り口225
との間には筒状の覆いが配置されてカセット内及びミニ
エンバイロメント空間内を外部から遮断する。これらの
構造は公知のものであるから、その構造及び動作の詳細
な説明は省略する。なお、ミニエンバイロメント装置2
0側に出入り口225を開閉するシャッタ装置が設けら
れている場合にはそのシャッタ装置が動作して出入り口
225を開く。
When the cassette is aligned with the entrance / exit 225, a cover (not shown) provided on the cassette is opened and the entrance / exit 225 of the cassette c and the mini-environment is opened.
A cylindrical cover is disposed between the and to shield the inside of the cassette and the inside of the mini-environment space from the outside. Since these structures are publicly known, detailed description of their structures and operations will be omitted. The mini-environment device 2
If a shutter device for opening and closing the doorway 225 is provided on the 0 side, the shutter device operates to open the doorway 225.

【0099】一方第1の搬送ユニット61のアーム61
2は方向M1又はM2のいずれかに向いた状態(この説
明ではM1の方向)で停止しており、出入り口225が
開くとアームが伸びて先端でカセット内に収容されてい
るウェーハのうち1枚を受け取る。なお、アームと、カ
セットから取り出されるべきウェーハとの上下方向の位
置調整は、この実施形態では第1の搬送ユニット61の
駆動部611及びアーム612の上下移動で行うが、カ
セットホルダの昇降テーブルの上下動行っても或いはそ
の両者で行ってもよい。
On the other hand, the arm 61 of the first transfer unit 61
2 is stopped in a state in which it is oriented in either direction M1 or M2 (direction M1 in this description), and when the doorway 225 opens, the arm extends and one of the wafers stored in the cassette at the tip. To receive. In this embodiment, the vertical position adjustment of the arm and the wafer to be taken out from the cassette is performed by vertically moving the driving unit 611 and the arm 612 of the first transfer unit 61. It may move up and down, or both.

【0100】アーム612によるウェーハの受け取りが
完了すると、アームは縮み、シャッタ装置を動作して出
入り口を閉じ(シャッタ装置がある場合)、次にアーム
612は軸線O1−O1の回りで回動して方向M3に向け
て伸長できる状態になる。すると、アームは伸びて先端
に載せられ或いはチャックで把持されたウェーハをプリ
アライナー25の上に載せ、そのプリアライナーによっ
てウェーハの回転方向の向き(ウェーハ平面に垂直な中
心軸線の回りの向き)を所定の範囲内に位置決めする。
位置決めが完了すると搬送ユニット61はアームの先端
にプリアライナー25からウェーハを受け取ったのちア
ームを縮ませ、方向M4に向けてアームを伸長できる姿
勢になる。するとシャッタ装置27の扉272が動いて
出入り口223及び236を開き、アーム612が伸び
てウェーハを第1のローディングチャンバ41内のウェ
ーハラック47の上段側又は下段側に載せる。なお、前
記のようにシャッタ装置27が開いてウェーハラック4
7にウェーハが受け渡される前に、仕切壁434に形成
された開口435はシャッタ装置46の扉461により
気密状態で閉じられている。
When the receipt of the wafer by the arm 612 is completed, the arm is contracted, the shutter device is operated to close the doorway (if there is a shutter device), and then the arm 612 is rotated about the axis O 1 -O 1. Then, the state becomes such that it can be extended in the direction M3. Then, the arm extends and puts the wafer, which is placed on the tip or gripped by the chuck, on the pre-aligner 25, and the orientation of the wafer in the rotation direction (direction around the central axis perpendicular to the wafer plane) is determined by the pre-aligner 25. Position within a predetermined range.
When the positioning is completed, the transport unit 61 receives the wafer from the pre-aligner 25 at the tip of the arm, and then contracts the arm so that the arm can be extended in the direction M4. Then, the door 272 of the shutter device 27 moves to open the access ports 223 and 236, the arm 612 extends, and the wafer is placed on the upper stage side or the lower stage side of the wafer rack 47 in the first loading chamber 41. The shutter device 27 is opened and the wafer rack 4 is opened as described above.
The opening 435 formed in the partition wall 434 is hermetically closed by the door 461 of the shutter device 46 before the wafer is transferred to the wafer 7.

【0101】上記第1の搬送ユニットによるウェーハの
搬送過程において、ミニエンバイロメント装置のハウジ
ングの上に設けられた気体供給ユニット231からは清
浄空気が層流状に流れ(ダウンフローとして)、搬送途
中で塵埃がウェーハの上面に付着するのを防止する。搬
送ユニット周辺の空気の一部(この実施形態では供給ユ
ニットから供給される空気の約20%で主に汚れた空
気)は排出装置24の吸入ダクト241から吸引されて
ハウジング外に排出される。残りの空気はハウジングの
底部に設けられた回収ダクト232を介して回収され再
び気体供給ユニット231に戻される。
During the wafer transfer process by the first transfer unit, clean air flows in a laminar flow (as a downflow) from the gas supply unit 231 provided on the housing of the mini-environment apparatus, and the transfer is in progress. Prevents dust from adhering to the upper surface of the wafer. Part of the air around the transport unit (in this embodiment, air that is mainly contaminated with about 20% of the air supplied from the supply unit) is sucked from the suction duct 241 of the discharge device 24 and discharged to the outside of the housing. The remaining air is recovered via the recovery duct 232 provided at the bottom of the housing and returned to the gas supply unit 231 again.

【0102】ローダハウジング40の第1のローディン
グチャンバ41内のウェーハラック47内に第1の搬送
ユニット61によりウェーハが載せられると、シャッタ
装置27が閉じて、ローディングチャンバ41内を密閉
する。すると、第1のローディングチャンバ41内には
不活性ガスが充填されて空気が追い出された後、その不
活性ガスも排出されてそのローディングチャンバ41内
は真空雰囲気にされる。この第1のローディングチャン
バの真空雰囲気は低真空度でよい。ローディングチャン
バ41内の真空度がある程度得られると、シャッタ装置
46が動作して扉461で密閉していた出入り口434
を開き、第2の搬送ユニット63のアーム632が伸び
て先端の把持装置でウェーハ受け47から1枚のウェー
ハを受け取る(先端の上に載せて或いは先端に取り付け
られたチャックで把持して)。ウェーハの受け取りが完
了するとアームが縮み、シャッタ装置46が再び動作し
て扉461で出入り口435を閉じる。
When a wafer is placed on the wafer rack 47 in the first loading chamber 41 of the loader housing 40 by the first transfer unit 61, the shutter device 27 is closed to seal the inside of the loading chamber 41. Then, after the first loading chamber 41 is filled with an inert gas to expel the air, the inert gas is also discharged and the inside of the loading chamber 41 becomes a vacuum atmosphere. The vacuum atmosphere of the first loading chamber may have a low degree of vacuum. When the degree of vacuum inside the loading chamber 41 is obtained to some extent, the shutter device 46 operates and the doorway 434 that is closed by the door 461.
And the arm 632 of the second transfer unit 63 extends to receive one wafer from the wafer receiver 47 by the gripping device at the tip (put on the tip or grip by the chuck attached to the tip). When the receipt of the wafer is completed, the arm contracts, the shutter device 46 operates again, and the door 461 closes the doorway 435.

【0103】シャッタ装置46が開く前にアーム632
は予めウェーハラック47の方向N1に向けて伸長でき
る姿勢になる。また、前記のようにシャッタ装置46が
開く前にシャッタ装置45の扉452で出入り口43
7、325を閉じていて、第2のローディングチャンバ
42内とワーキングチャンバ31内との連通を気密状態
で阻止しており、第2のローディングチャンバ42内は
真空排気される。
Before the shutter device 46 is opened, the arm 632 is opened.
Is in a posture in which it can be extended in the direction N1 of the wafer rack 47 in advance. Further, as described above, the door 452 of the shutter device 45 is used to open the doorway 43 before the shutter device 46 is opened.
7, 325 are closed to prevent communication between the second loading chamber 42 and the working chamber 31 in an airtight state, and the second loading chamber 42 is evacuated.

【0104】シャッタ装置46が出入り口435を閉じ
ると、第2のローディングチャンバ内は再度真空排気さ
れ、第1のローディングチャンバ内よりも高真空度で真
空にされる。その間に、第2の搬送ユニット61のアー
ムはワーキングチャンバ31内のステージ装置50の方
向に向いて伸長できる位置に回転される。一方ワーキン
グチャンバ31内のステージ装置では、Yテーブル52
が、Xテーブル53の中心線O0−O0が第2の搬送ユニ
ット63の回動軸線O2−O2を通るX軸線X1−X1とほ
ぼ一致する位置まで、図2で上方に移動し、また、Xテ
ーブル53は図2で最も左側の位置に接近する位置まで
移動し、この状態で待機している。第2のローディング
チャンバがワーキングチャンバの真空状態と略同じにな
ると、シャッタ装置45の扉452が動いて出入り口4
37、325を開き、アームが伸びてウェーハを保持し
たアームの先端がワーキングチャンバ31内のステージ
装置に接近する。そしてステージ装置50の載置面55
1上にウェーハを載置する。ウェーハの載置が完了する
とアームが縮み、シャッタ装置45が出入り口437、
325を閉じる。
When the shutter device 46 closes the entrance / exit 435, the inside of the second loading chamber is again evacuated to a higher vacuum than the inside of the first loading chamber. Meanwhile, the arm of the second transfer unit 61 is rotated to a position where it can extend toward the stage device 50 in the working chamber 31. On the other hand, in the stage device in the working chamber 31, the Y table 52
2 up to the position where the center line O 0 -O 0 of the X table 53 substantially coincides with the X axis X 1 -X 1 passing through the rotation axis O 2 -O 2 of the second transport unit 63. The X table 53 moves to a position approaching the leftmost position in FIG. 2 and stands by in this state. When the second loading chamber becomes substantially the same as the vacuum state of the working chamber, the door 452 of the shutter device 45 moves and the doorway 4
37, 325 are opened, the arm extends, and the tip of the arm holding the wafer approaches the stage device in the working chamber 31. The mounting surface 55 of the stage device 50
Place the wafer on 1. When the mounting of the wafer is completed, the arm contracts, and the shutter device 45 moves the doorway 437,
Close 325.

【0105】以上は、カセットc内のウェーハをステー
ジ装置上に搬送するまでの動作に付いて説明したが、ス
テージ装置に載せられて処理が完了したウェーハをステ
ージ装置からカセットc内に戻すには前述と逆の動作を
行って戻す。また、ウェーハラック47に複数のウェー
ハを載置しておくため、第2の搬送ユニットでウェーハ
ラックとステージ装置との間でウェーハの搬送を行う間
に、第1の搬送ユニットでカセットとウェーハラックと
の間でウェーハの搬送を行うことができ、検査処理を効
率良く行うことができる。
The operation up to the transfer of the wafer in the cassette c to the stage device has been described above. To return the wafer, which has been placed on the stage device and has been processed, from the stage device to the cassette c. Reverse the above operation and return. Further, since a plurality of wafers are placed on the wafer rack 47, while the second transfer unit transfers the wafers between the wafer rack and the stage device, the first transfer unit moves the cassette and the wafer rack. The wafer can be transferred between the wafer and the wafer, and the inspection process can be efficiently performed.

【0106】具体的には、第2の搬送ユニットのウェー
ハラック47に、処理済みのウェーハAと未処理のウェ
ーハBがある場合、まずステージ装置50へ未処理のウ
ェーハBを移動し、処理を開始する。この処理中に、処
理済みのウェーハAをアームによりステージ装置50か
らウェーハラック47へ移動し、未処理のウェーハCを
同じくアームによりウェーハラック47から抜き出し、
プリアライナで位置決めした後、ローディングチャンバ
41のウェーハラック47へ移動する。このようにする
ことで、ウェーハラック47の中には、ウェーハBを処
理中に、処理済みのウェーハAが未処理のウェーハCに
置き変えられる。
Specifically, when the processed wafer A and the unprocessed wafer B are present in the wafer rack 47 of the second transfer unit, first, the unprocessed wafer B is moved to the stage device 50 to perform the processing. Start. During this process, the processed wafer A is moved by the arm from the stage device 50 to the wafer rack 47, and the unprocessed wafer C is also extracted by the arm from the wafer rack 47.
After positioning with the pre-liner, the wafer is moved to the wafer rack 47 of the loading chamber 41. By doing so, the processed wafer A is replaced with the unprocessed wafer C in the wafer rack 47 during the processing of the wafer B.

【0107】また検査や評価を行うこのような装置の利
用の仕方によっては、ステージ装置50を複数台並列に
置き、それぞれの装置に1つのウェーハラック47から
ウェーハを移動することにより複数枚のウェーハを同様
に処理することもできる。
Depending on how to use such an apparatus for inspecting or evaluating, a plurality of stage devices 50 may be placed in parallel and a plurality of wafers may be moved from one wafer rack 47 to each device. Can be similarly processed.

【0108】図7において、主ハウジングの支持方法の
変形例がで示されている。図7[A]に示された変形例
では、ハウジング支持装置33aを厚肉で矩形の鋼板3
31aで構成し、その鋼板の上にハウジング本体32a
が載せられている。従って、ハウジング本体32aの底
壁321aは、前記実施形態の底壁に比較して薄い構造
になっている。図7[B]に示された変形例では、ハウ
ジング支持装置33bのフレーム構造体336bにより
ハウジング本体32b及びローダハウジング40bを吊
り下げて状態で支持するようになっている。フレーム構
造体336bに固定された複数の縦フレーム337bの
下端は、ハウジング本体32bの底壁321bの四隅に
固定され、その底壁により周壁及び頂壁を支持するよう
になっている。そして防振装置37bは、フレーム構造
体336bと台フレーム36bとの間に配置されてい
る。
In FIG. 7, a modification of the method of supporting the main housing is shown by. In the modification shown in FIG. 7A, the housing support device 33a is made of a thick and rectangular steel plate 3.
31a, and the housing body 32a on the steel plate
Is posted. Therefore, the bottom wall 321a of the housing body 32a has a thinner structure than the bottom wall of the above embodiment. In the modification shown in FIG. 7B, the housing main body 32b and the loader housing 40b are suspended and supported by the frame structure 336b of the housing support device 33b. The lower ends of the plurality of vertical frames 337b fixed to the frame structure 336b are fixed to the four corners of the bottom wall 321b of the housing body 32b, and the bottom walls support the peripheral wall and the top wall. The vibration isolator 37b is arranged between the frame structure 336b and the base frame 36b.

【0109】また、ローダハウジング40もフレーム構
造体336に固定された吊り下げ部材49bによって吊
り下げられている。ハウジング本体32bのこの図7
[B]に示された変形例では、吊り下げ式に支えるので
主ハウジング及びその中に設けられた各種機器全体の低
重心化が可能である。上記変形例を含めた主ハウジング
及びローダハウジングの支持方法では主ハウジング及び
ローダハウジングに床からの振動が伝わらないようにな
っている。
The loader housing 40 is also suspended by a suspension member 49b fixed to the frame structure 336. The housing body 32b shown in FIG.
In the modified example shown in [B], since it is supported in a hanging manner, it is possible to lower the center of gravity of the main housing and the various devices provided therein. In the method of supporting the main housing and the loader housing including the above modification, vibrations from the floor are not transmitted to the main housing and the loader housing.

【0110】図示しない別の変形例では、主ハウジング
のハウジング本外のみがハウジング支持装置よって下か
ら支えられ、ローダハウジングは隣接するミニエンバイ
ロメント装置と同じ方法で床上に配置され得る。また、
図示しない更に別の変形例では、主ハウジングのハウジ
ング本体のみがフレーム構造体に吊り下げ式で支持さ
れ、ローダハウジングは隣接するミニエンバイロメント
装置と同じ方法で床上に配置され得る。
In another variant, not shown, only the main body of the main housing is supported from below by the housing support device and the loader housing can be arranged on the floor in the same way as the adjacent mini-environment device. Also,
In yet another variant not shown, only the housing body of the main housing is suspended from the frame structure and the loader housing can be arranged on the floor in the same way as the adjacent mini-environment device.

【0111】電子光学装置70(実施の形態1、図1)
は、ハウジング本体32に固定された鏡筒71を備え、
その中には、図8及び図9に概略図示するような、一次
電子光学系(以下単に一次光学系)72と、二次電子検
出系(以下単に検出系)74と、検出器76とが設けら
れている。一次光学系72は、電子線を検査対象である
ウェーハWの表面に照射する光学系で、電子線を放出す
る電子銃721と、電子銃721から放出された一次電
子線を集束する静電レンズ即ちコンデンサレンズ722
と、コンデンサレンズ722の下方に配置されかつ複数
の開口が形成されていて一次電子線を複数の一次電子ビ
ーム即ちマルチビームに形成するマルチ開口板723
と、一次電子ビームを縮小する静電レンズである縮小レ
ンズ724と、ウイーンフィルタ即ちE×B分離器72
5と、対物レンズ726と、を備え、それらは、図8に
示されるように電子銃721を最上部にして順に、しか
も電子銃から放出される一次電子線の光軸が検査対象S
の表面に鉛直になるように配置されている。
Electro-optical device 70 (first embodiment, FIG. 1)
Includes a lens barrel 71 fixed to the housing body 32,
Among them, there are a primary electron optical system (hereinafter simply referred to as primary optical system) 72, a secondary electron detection system (hereinafter simply referred to as detection system) 74, and a detector 76 as schematically shown in FIGS. 8 and 9. It is provided. The primary optical system 72 is an optical system that irradiates the surface of the wafer W to be inspected with an electron beam, and includes an electron gun 721 that emits an electron beam and an electrostatic lens that focuses the primary electron beam emitted from the electron gun 721. That is, the condenser lens 722
And a multi-aperture plate 723 disposed below the condenser lens 722 and having a plurality of apertures formed therein to form a primary electron beam into a plurality of primary electron beams, that is, a multi-beam.
And a reduction lens 724, which is an electrostatic lens for reducing the primary electron beam, and a Wien filter, that is, an E × B separator 72.
5 and an objective lens 726, which are sequentially arranged with the electron gun 721 at the top as shown in FIG. 8, and the optical axis of the primary electron beam emitted from the electron gun is the inspection target S.
It is placed vertically on the surface of.

【0112】縮小レンズ724及び対物レンズ726の
像面湾曲収差の影響をなくすため、マルチ開口板723
に形成される複数(この実施形態では8個)の開口72
3aは、図9に示されるように光軸を中心とした円の円
周上に形成され、しかもその開口のX軸上への投影像の
X方向の間隔Lxが同じになるように配置されている。
In order to eliminate the influence of the field curvature aberration of the reduction lens 724 and the objective lens 726, the multi aperture plate 723 is provided.
A plurality of openings (8 in this embodiment) 72 formed in
As shown in FIG. 9, 3a is formed on the circumference of a circle centered on the optical axis, and is arranged so that the projection images of the apertures on the X axis have the same interval Lx in the X direction. ing.

【0113】検出系74は、E×B型偏向器724によ
り一次光学系から分離された二次電子を検出するマルチ
検出器761を備えている。検出器761は、一次光学
系のマルチ開口板723に形成されている開口723a
と一対一で対応するようになっている。
The detection system 74 is equipped with a multi-detector 761 for detecting the secondary electrons separated from the primary optical system by the E × B type deflector 724. The detector 761 has an aperture 723a formed in the multi-aperture plate 723 of the primary optical system.
There is a one-to-one correspondence with.

【0114】検出器76は、検出系74の収集構造74
3の内部に配置された複数(この実施形態では8個)検
出器761と、各検出器761にA/D変換器762を
介して電気的に接続された画像処理部763とを備えて
いる。
The detector 76 is a collection structure 74 of the detection system 74.
3, a plurality of (eight in this embodiment) detectors 761 arranged inside, and an image processing unit 763 electrically connected to each detector 761 via an A / D converter 762. .

【0115】次に、上記構成の電子光学装置(実施の形
態1、図8)の動作に付いて説明する。電子銃721か
ら放出された一次電子線は、一次光学系72のコンデン
サレンズ722によって集束されて点P1においてクロ
スオーバを形成する。一方、コンデンサレンズ722に
よって集束された一次電子線は、マルチ開口板の複数の
開口723aを通して複数の一次電子ビームが形成さ
れ、縮小レンズ724によって縮小されて位置P2に投
影される。位置P2で合焦した後、更に対物レンズ72
6によってウェーハWの表面上に合焦される。一方、一
次電子線ビームは縮小レンズ724と対物レンズ726
との間に配置された偏向器727と725によってウェ
ーハWの表面上を走査するように偏向される。
Next, the operation of the electron optical device having the above-described structure (first embodiment, FIG. 8) will be described. The primary electron beam emitted from the electron gun 721 is focused by the condenser lens 722 of the primary optical system 72 to form a crossover at the point P1. On the other hand, the primary electron beam focused by the condenser lens 722 forms a plurality of primary electron beams through the plurality of openings 723a of the multi-aperture plate, is reduced by the reduction lens 724, and is projected at the position P2. After focusing at the position P2, the objective lens 72 is further
It is focused on the surface of the wafer W by 6. On the other hand, the primary electron beam is generated by the reduction lens 724 and the objective lens 726.
Deflectors 727 and 725 disposed between and are deflected so as to scan the surface of the wafer W.

【0116】合焦された複数(この実施形態では8本)
の一次電子ビームによって試料Sは複数の点が照射さ
れ、照射されたこれらの複数の点からは二次電子が放出
される。この二次電子は、対物レンズ726の電界に引
かれて細く集束され、E×B分離器725で偏向されて
収集構造743に投入される。二次電子の主光線は対物
レンズに近い位置P3において焦点を結ぶ。これは、一
次電子ビームがウェーハ面上で500eVのエネルギを
有しているのに対して、二次電子が数eVのエネルギし
か有していないためである。
Focused plurality (8 in this embodiment)
The sample S is irradiated with a plurality of points by the primary electron beam, and secondary electrons are emitted from these irradiated points. The secondary electrons are attracted by the electric field of the objective lens 726 to be finely focused, deflected by the E × B separator 725, and injected into the collecting structure 743. The principal ray of the secondary electron is focused at a position P3 near the objective lens. This is because the primary electron beam has an energy of 500 eV on the wafer surface, whereas the secondary electron has an energy of only a few eV.

【0117】位置P3で合焦された二次電子は光軸から
次第に離れ、光軸の横に配置された検出器761で検出
される。検出器761は、検出した電子線を、その強度
を表す電気信号に変換する。このようにして変換された
電気信号は、各検出器761から出力されてそれぞれA
/D変換器762にデジタル信号に変換された後、画像
処理部763に入力される。画像処理部763は入力さ
れたデジタル信号を画像データに変換する。画像処理部
763には、一次電子線を偏向させるための走査信号が
供給されるようになっているので、画像処理部はウェー
ハの面を表す画像を表示することになる。この画像を設
定器(図示せず)に予め設定された標準パターンと、比
較器(図示せず)において比較することによってウェー
ハWの被検出(評価)パターンの良否を検出する。
The secondary electron focused at the position P3 is gradually separated from the optical axis and detected by the detector 761 arranged beside the optical axis. The detector 761 converts the detected electron beam into an electric signal representing its intensity. The electric signal converted in this way is output from each detector 761 and
After being converted into a digital signal by the / D converter 762, it is input to the image processing unit 763. The image processing unit 763 converts the input digital signal into image data. Since the image processing unit 763 is supplied with the scanning signal for deflecting the primary electron beam, the image processing unit 763 displays an image representing the surface of the wafer. The quality of the detected (evaluated) pattern of the wafer W is detected by comparing this image with a standard pattern preset in a setter (not shown) in a comparator (not shown).

【0118】また、レジストレーションによウェーハW
の被測定パターンを一次光学系の光軸の近くへ移動さ
せ、ラインスキャンする事によって線幅評価信号を取り
出し、これを適宜校正することによって、ウェーハの表
面に形成されたパターンの線幅を測定することもでき
る。
Further, the wafer W
The line width of the pattern formed on the surface of the wafer is measured by moving the measured pattern near to the optical axis of the primary optical system, taking out the line width evaluation signal by line scanning, and calibrating it appropriately. You can also do it.

【0119】なお、一次光学系のマルチ開口板723の
開口を通過した一次電子ビームをウェーハWの表面に合
焦させ、ウェーハから放出される二次電子を検出器76
1に結像させる際に、一次光学系で生じる歪み、軸上色
収差及び視野非点という3つの収差による影響を最小に
するよう特に配慮する必要がある。
The primary electron beam that has passed through the opening of the multi-aperture plate 723 of the primary optical system is focused on the surface of the wafer W, and the secondary electrons emitted from the wafer are detected by the detector 76.
When forming an image at 1, it is necessary to pay particular attention to minimizing the effects of the three aberrations of distortion, axial chromatic aberration, and field astigmatism that occur in the primary optical system.

【0120】また、複数の一次電子ビーム間の間隔と二
次電子収集構造との関係については、一次電子ビーム間
の間隔と対物レンズによる二次電子像の拡大率とから決
定することができる。
Further, the relationship between the intervals between the primary electron beams and the secondary electron collecting structure can be determined from the intervals between the primary electron beams and the magnification of the secondary electron image by the objective lens.

【0121】図9はマルチ開口板を上から見た図であ
る。開口723aは5μm角の正方形の***が設けられ
ていて、これらをx軸に投影したものはLxで等間隔に
なっている。
FIG. 9 is a view of the multi-aperture plate as seen from above. The openings 723a are provided with square small holes of 5 μm square, and those projected on the x-axis are equidistant at Lx.

【0122】プレチャージユニット81は、図1に示さ
れるように、ワーキングチャンバ31内で電子光学装置
70の鏡筒71に隣接して配設されている。本検査装置
では検査対象である基板即ちウェーハに電子線を走査し
て照射することによりウェーハ表面に形成されたデバイ
スパターン等を検査する形式の装置であるから、電子線
の照射により生じる二次電子等の情報をウェーハ表面の
情報とするが、ウェーハ材料、照射電子のエネルギ等の
条件によってウェーハ表面が帯電(チャージアップ)す
ることがある。更に、ウェーハ表面でも強く帯電する箇
所、弱い帯電箇所が生じる可能性がある。ウェーハ表面
の帯電量にむらがあると二次電子情報もむらを生じ、正
確な情報を得ることができない。そこで、本実施形態で
は、このむらを防止するために、荷電粒子照射部811
を有するプレチャージユニット81が設けられている。
検査するウェーハの所定の箇所に検査電子を照射する前
に、帯電むらをなくすためにこのプレチャージユニット
の荷電粒子照射部811から荷電粒子を照射して帯電の
むらを無くす。このウェーハ表面のチャージアップは予
めウェーハ面の画像を形成し、その画像を評価すること
で検出し、その検出に基づいてプレチャージユニット8
1を動作させる。また、このプレチャージユニットでは
一次電子線をぼかして照射してもよい。
As shown in FIG. 1, the precharge unit 81 is arranged in the working chamber 31 adjacent to the lens barrel 71 of the electron optical device 70. Since this inspection system is a type of device that inspects the device pattern formed on the wafer surface by scanning and irradiating the substrate to be inspected, that is, the wafer, with secondary electrons generated by the electron beam irradiation. Although the information such as the above is used as the information on the wafer surface, the wafer surface may be charged (charge up) depending on the conditions such as the wafer material and the energy of irradiation electrons. Furthermore, there are possibilities that strongly charged portions and weakly charged portions may occur on the wafer surface. If the charge amount on the wafer surface is uneven, the secondary electron information also becomes uneven, and accurate information cannot be obtained. Therefore, in the present embodiment, in order to prevent this unevenness, the charged particle irradiation unit 811
A pre-charge unit 81 having is provided.
Before irradiating a predetermined portion of a wafer to be inspected with inspection electrons, in order to eliminate uneven charging, charged particles are irradiated from a charged particle irradiation unit 811 of this precharge unit to eliminate uneven charging. The charge-up on the wafer surface is detected by forming an image of the wafer surface in advance and evaluating the image, and based on the detection, the precharge unit 8
1 is operated. Further, in this precharge unit, the primary electron beam may be blurred and irradiated.

【0123】図10において、電位印加機構83は、ウ
ェーハから放出される二次電子情報(二次電子発生率)
が、ウェーハの電位に依存すると言う事実に基づいて、
ウェーハを載置するステージの設置台に±数Vの電位を
印加することにより二次電子の発生を制御するものであ
る。また、この電位印加機構は、照射電子が当初有して
いるエネルギーを減速し、ウェーハに100〜500e
V程度の照射電子エネルギーとするための用途も果た
す。
In FIG. 10, the potential applying mechanism 83 has the secondary electron information (secondary electron generation rate) emitted from the wafer.
However, due to the fact that it depends on the potential of the wafer,
The generation of secondary electrons is controlled by applying a potential of ± several volts to the stage on which the wafer is placed. Further, this potential applying mechanism decelerates the energy initially possessed by the irradiated electrons to 100 to 500e on the wafer.
It also serves the purpose of providing irradiation electron energy of about V.

【0124】電位印加機構83は、図10に示されるよ
うに、ステージ装置50の載置面541と電気的に接続
された電圧印加装置831と、チャージアップ調査及び
電圧決定システム(以下調査及び決定システム)832
とを備えている。調査及び決定システム832は、電子
光学装置70の検出系76の画像形成部763に電気的
に接続されたモニター833と、モニター833に接続
されたオペレータ834と、オペレータ834に接続さ
れたCPU835とを備えている。CPU835は、前
記電圧印加装置831並びに偏向器727に信号を供給
するようになっている。上記電位印加機構は、検査対象
であるウェーハが帯電し難い電位を探し、その電位を印
加するように設計されている。
As shown in FIG. 10, the potential applying mechanism 83 includes a voltage applying device 831 electrically connected to the mounting surface 541 of the stage device 50, a charge-up investigation and voltage determination system (hereinafter, investigation and determination). System) 832
It has and. The investigation and determination system 832 includes a monitor 833 electrically connected to the image forming unit 763 of the detection system 76 of the electro-optical device 70, an operator 834 connected to the monitor 833, and a CPU 835 connected to the operator 834. I have it. The CPU 835 supplies signals to the voltage applying device 831 and the deflector 727. The potential applying mechanism is designed to search for a potential at which the wafer to be inspected is unlikely to be charged and apply the potential.

【0125】検査試料の電気的欠陥を検査する方法とし
ては、本来電気的に絶縁されている部分とその部分が通
電状態にある場合では、その部分の電圧が異なることを
利用することもできる。それは、まず、試料に事前に電
荷を付与することで、本来電気的に絶縁されている部分
の電圧と、本来電気的に絶縁されている部分であるが、
何らかの原因で通電状態にある部分の電圧とに電位差を
生じさせ、その後、本発明のビームを照射することで、
電位差があるデータを取得し、この取得データを解析し
て、通電状態となっていることを検出する。
As a method of inspecting an inspection sample for an electrical defect, it is possible to use that the voltage of the portion that is originally electrically insulated is different from that of the portion that is electrically insulated. First, by applying a charge to the sample in advance, the voltage of the part that is originally electrically insulated and the part that is originally electrically insulated,
By causing a potential difference with the voltage of the part in the energized state for some reason, and then irradiating the beam of the present invention,
Data having a potential difference is acquired, and the acquired data is analyzed to detect that it is in the energized state.

【0126】図11において、電子ビームキャリブレー
ション機構85は、前記回転テーブル上でウェーハの載
置面541の側部の複数箇所に設置された、ビーム電流
測定用のそれぞれ複数のファラデーカップ851及び8
52を備えている。ファラデーカップ851は細いビー
ム用(約φ2μm)で、ファラデーカップ852太いビ
ーム用(約φ30μm)である。細いビーム用のファラ
デーカップ851では回転テーブルをステップ送りする
ことで、ビームプロフィルを測定し。太いビーム用のフ
ァラデーカップ852ではビームの総電流量を計測す
る。ファラデーカップ851及び852は、上表面が載
置面541上に載せられたウェーハWの上表面と同じレ
ベルになるように配置されている。このようにして電子
銃から放出される一次電子線を常時監視する。これは、
電子銃が常時一定の電子線を放出できるわけでなく、使
用しているうちにその放出量が変化するためである。
In FIG. 11, the electron beam calibration mechanism 85 includes a plurality of Faraday cups 851 and 8 for beam current measurement, which are installed at a plurality of positions on the side of the wafer mounting surface 541 on the rotary table.
52 is provided. The Faraday cup 851 is for a thin beam (about φ2 μm), and the Faraday cup 852 is for a thick beam (about φ30 μm). In the Faraday cup 851 for thin beams, the beam profile was measured by stepwise feeding the rotary table. The Faraday cup 852 for a thick beam measures the total amount of beam current. The Faraday cups 851 and 852 are arranged such that the upper surface thereof is at the same level as the upper surface of the wafer W placed on the mounting surface 541. In this way, the primary electron beam emitted from the electron gun is constantly monitored. this is,
This is because the electron gun cannot always emit a constant electron beam, and its emission amount changes during use.

【0127】アライメント制御装置87は、ステージ装
置50を用いてウェーハWを電子光学装置70に対して
位置決めさせる装置であって、ウェーハを光学顕微鏡8
71を用いた広視野観察による概略合わせ(電子光学系
によるよりも倍率が低い測定)、電子光学装置70の電
子光学系を用いた高倍率合わせ、焦点調整、検査領域設
定、パターンアライメント等の制御を行うようになって
いる。このように光学系を用いて低倍率でウェーハを検
査するのは、ウェーハのパターンの検査を自動的に行う
ためであり、電子線を用いた狭視野でウェーハのパター
ンを観察してウェーハライメントを行う時には電子線で
アライメントマークを容易に検出することが必要である
からである。
The alignment control device 87 is a device for positioning the wafer W with respect to the electron optical device 70 by using the stage device 50, and the wafer is observed by the optical microscope 8.
Control of general alignment by wide-field observation using 71 (measurement with a lower magnification than that of the electron optical system), high-magnification alignment using the electron optical system of the electron optical device 70, focus adjustment, inspection area setting, pattern alignment, etc. Is supposed to do. The reason why the wafer is inspected at a low magnification using the optical system is to automatically inspect the wafer pattern, and the wafer pattern is observed by observing the wafer pattern in a narrow field using an electron beam. This is because it is necessary to easily detect the alignment mark with an electron beam when performing.

【0128】光学顕微鏡871は、ハウジングに設けら
れ(ハウジング内で移動可能な設けられていてもよい)
ており、光学顕微鏡を動作させるための光源も図示しな
いがハウジング内に設けられている。また高倍率の観察
を行う電子光学系は電子光学装置70の電子光学系(一
次光学系72及び二次光学系74)を共用するものであ
る。その構成を概略図示すれば、図12に示されるよう
になる。ウェーハ上の被観察点を低倍率で観察するに
は、ステージ装置50のXステージ53をX方向に動か
すことによってウェーハの被観察点を光学顕微鏡の視野
内に移動させる。光学顕微鏡871で広視野でウェーハ
を視認してそのウェーハ上の観察すべき位置をCCD8
72を介してモニタ873に表示させ、観察位置をおお
よそ決定する。この場合光学顕微鏡の倍率を低倍率から
高倍率に変化させていってもよい。
The optical microscope 871 is provided in the housing (may be movable in the housing).
Although not shown, a light source for operating the optical microscope is also provided in the housing. The electron optical system for observing at a high magnification shares the electron optical system (the primary optical system 72 and the secondary optical system 74) of the electron optical device 70. A schematic view of the structure is as shown in FIG. In order to observe the observed point on the wafer at a low magnification, the observed point on the wafer is moved within the visual field of the optical microscope by moving the X stage 53 of the stage device 50 in the X direction. The optical microscope 871 visually recognizes the wafer in a wide field of view, and the position on the wafer to be observed is determined by the CCD8.
It is displayed on the monitor 873 via 72 to roughly determine the observation position. In this case, the magnification of the optical microscope may be changed from low magnification to high magnification.

【0129】次に、ステージ装置50を電子光学装置7
0の光軸と光学顕微鏡871の光軸との間隔δxに相当
する距離だけ移動させて光学顕微鏡で予め決めたウェー
ハ上の被観察点を電子光学装置の視野位置に移動させ
る。この場合、電子光学装置の軸線O3−O3と光学顕微
鏡871の光軸O4−O4との間の距離δx(この実施形
態ではX軸線に沿った方向にのみ両者は位置ずれしてい
るものとするが、Y軸方向に位置ずれしていてもよい)
は予めわかっているのでその値δxだけ移動させれば被
観察点を視認位置に移動させることができる。電子光学
装置の視認位置への被観察点の移動が完了した後、電子
光学系により高倍率で被観察点をSEM撮像して画像を
記憶したり、モニタ765に表示させる。
Next, the stage device 50 is attached to the electron optical device 7.
The observed point on the wafer, which is predetermined by the optical microscope, is moved to the visual field position of the electron optical device by moving the optical axis of 0 and the optical axis of the optical microscope 871 by a distance corresponding to a distance δx. In this case, the distance δx between the axis O 3 -O 3 of the electro-optical device and the optical axis O 4 -O 4 of the optical microscope 871 (in this embodiment, both are displaced only in the direction along the X axis). However, it may be displaced in the Y-axis direction)
Since it is known in advance, the observed point can be moved to the visible position by moving the value δx. After the movement of the observed point to the visual recognition position of the electro-optical device is completed, the observed point is SEM imaged at a high magnification by the electron optical system to store the image or display it on the monitor 765.

【0130】このようにして電子光学系により高倍率で
ウェーハの観察点をモニタに表示させた後、公知の方法
によりステージ装置50の回転テーブル54の回転中心
に関するウェーハの回転方向の位置ずれ、即ち電子光学
系の光軸O3−O3に対するウェーハの回転方向のずれδ
θを検出し、また電子光学装置に関する所定のパターの
X軸及びY軸方向の位置ずれを検出する。そしてその検
出値並びに別途得られたウェーハに設けられた検査マー
クのデータ或いはウェーハのパターンの形状等に関する
データに基づいてステージ装置50の動作を制御してウ
ェーハのアライメントを行う。
In this way, after the observation point of the wafer is displayed on the monitor at a high magnification by the electron optical system, the position shift in the rotation direction of the wafer with respect to the rotation center of the rotation table 54 of the stage device 50, that is, the known method is performed. Deviation δ in the rotation direction of the wafer with respect to the optical axis O 3 -O 3 of the electron optical system
θ is detected, and the positional deviation of a predetermined pattern in the X-axis and Y-axis directions of the electron optical device is detected. Then, the operation of the stage device 50 is controlled based on the detected value and the data of the separately obtained inspection mark provided on the wafer or the data on the shape of the wafer pattern to align the wafer.

【0131】次に図13及び図14を参照して本発明に
よる半導体デバイスの製造方法の実施の形態を説明す
る。図13は、本発明による半導体デバイスの製造方法
の一実施の形態を示すフローチャートである。この実施
の形態の製造工程は以下の主工程を含んでいる。 (1)ウェーハを製造するウェーハ製造工程(又はウェ
ーハを準備するウェーハ準備工程) (2)露光に使用するマスクを製造するマスク製造工程
(又はマスクを準備するマスク準備工程) (3)ウェーハに必要な加工処理を行うウェーハプロセ
ッシング工程 (4)ウェーハ上に形成されたチップを1個ずつ切り出
し、動作可能にならしめるチップ組立工程 (5)できたチップを検査するチップ検査工程 なお、上記のそれぞれの主工程は更に幾つかのサブ工程
からなっている。
Next, an embodiment of a method for manufacturing a semiconductor device according to the present invention will be described with reference to FIGS. FIG. 13 is a flowchart showing an embodiment of the method for manufacturing a semiconductor device according to the present invention. The manufacturing process of this embodiment includes the following main processes. (1) Wafer manufacturing process for manufacturing wafers (or wafer preparing process for preparing wafers) (2) Mask manufacturing process for manufacturing masks used for exposure (or mask preparing process for preparing masks) (3) Necessary for wafers Wafer processing step for performing various processing (4) chip assembling step for cutting out the chips formed on the wafer one by one to make them operable (5) chip inspection step for inspecting the completed chips The main process consists of several sub-processes.

【0132】これらの主工程中の中で、半導体デバイス
の性能に決定的な影響を及ぼすのが(3)のウェーハプ
ロセッシング工程である。この工程では、設計された回
路パターンをウェーハ上に順次積層し、メモリやMPU
として動作するチップを多数形成する。このウェーハプ
ロセッシング工程は以下の各工程を含んでいる。 (A)絶縁層となる誘電体薄膜や配線部、或いは電極部
を形成する金属薄膜等を形成する薄膜形成工程(CVD
やスパッタリング等を用いる) (B)この薄膜層やウェーハ基板を酸化する酸化工程 (C)薄膜層やウェーハ基板等を選択的に加工するため
にマスク(レチクル)を用いてレジストパターンを形成
するリソグラフィー工程 (D)レジストパターンに従って薄膜層や基板を加工す
るエッチング工程(例えばドライエッチング技術を用い
る) (E)イオン・不純物注入拡散工程 (F)レジスト剥離工程 (G)加工されたウェーハを検査する工程 なお、ウェーハプロセッシング工程は必要な層数だけ繰
り返し行い、設計通り動作する半導体デバイスを製造す
る。
Among these main steps, the wafer processing step (3) has a decisive influence on the performance of the semiconductor device. In this process, the designed circuit patterns are sequentially stacked on the wafer, and the memory and MPU are stacked.
Many chips that operate as are formed. This wafer processing step includes the following steps. (A) Thin-film forming step of forming a dielectric thin film to be an insulating layer, a wiring part, or a metal thin film forming an electrode part (CVD
(B) Oxidation step of oxidizing this thin film layer or wafer substrate (C) Lithography for forming a resist pattern using a mask (reticle) for selectively processing the thin film layer, wafer substrate, etc. Step (D) Etching step of processing a thin film layer or a substrate according to a resist pattern (for example, using a dry etching technique) (E) Ion / impurity implantation diffusion step (F) Resist stripping step (G) Step of inspecting the processed wafer The wafer processing step is repeated by the required number of layers to manufacture a semiconductor device that operates as designed.

【0133】図14は、図13のウェーハプロセッシン
グ工程の中核をなすリソグラフィー工程を示すフローチ
ャートである。このリソグラフィー工程は以下の各工程
を含む。 (a)前段の工程で回路パターンが形成されたウェーハ
上にレジストをコートするレジスト塗布工程 (b)レジストを露光する工程 (c)露光されたレジストを現像してレジストのパター
ンを得る現像工程 (d)現像されたレジストパターンを安定化するための
アニール工程 上記の半導体デバイス製造工程、ウェーハプロセッシン
グ工程、リソグラフィー工程については、周知のもので
ありこれ以上の説明を要しないであろう。
FIG. 14 is a flow chart showing a lithography process forming the core of the wafer processing process of FIG. This lithography step includes the following steps. (A) A resist coating step of coating a resist on a wafer on which a circuit pattern is formed in the preceding step (b) A step of exposing the resist (c) A developing step of developing the exposed resist to obtain a resist pattern ( d) Annealing Step for Stabilizing the Developed Resist Pattern The above-mentioned semiconductor device manufacturing step, wafer processing step, and lithography step are well known and need no further explanation.

【0134】上記(G)の検査工程に本発明に係る欠陥
検査方法、欠陥検査装置を用いると、微細なパターンを
有する半導体デバイスでも、スループット良く検査でき
るので、全数検査も可能となり、製品の歩留まりの向
上、欠陥製品の出荷防止が可能と成る。
When the defect inspection method and the defect inspection apparatus according to the present invention are used in the inspection step (G) described above, even semiconductor devices having fine patterns can be inspected with high throughput, so that 100% inspection is possible and product yield is improved. It is possible to improve the product quality and prevent the shipment of defective products.

【0135】本発明によれば、次のような効果を奏する
ことが可能である。 (イ)複数の電子線即ちマルチビームを用いた検査装置
の各構成機器を機能的に組み合わせることができたた
め、高いスループットで検査対象を処理することができ
る。 (ロ)エンバイロメント空間内に清浄度を観察するセン
サを設けることによりその空間内の塵埃を監視しながら
検査対象の検査を行うことができる。 (ハ)プレチャージユニットを設けているので、絶縁物
でできたウェーハも帯電による影響を受けがたい。
According to the present invention, the following effects can be obtained. (A) Since the constituent devices of the inspection apparatus using a plurality of electron beams, that is, multiple beams can be functionally combined, the inspection target can be processed with high throughput. (B) By providing a sensor for observing the cleanliness in the environment space, it is possible to inspect the inspection target while monitoring the dust in the space. (C) Since the pre-charge unit is provided, the wafer made of an insulator is not easily affected by charging.

【0136】図15は、二次電子収集構造の詳細を示し
たものである。(A)は断面側面図であり(B)は下か
ら見た平面図である。二次電子収集構造は、光軸Aから
十分離れた位置に配置され、電子入射口を除いて密閉さ
れた構造になっている。入射口242はy方向に沿って
長くなった長円形状になり、隣からの二次電子の混入を
避けて高効率で検出することを可能にしている。これら
の入射口242の後方に二次電子検出器761が8個配
置されている。二次電子が隣の検出器に混入しないの
は、穴が作るレンズ作用で集束されるからである。
FIG. 15 shows the details of the secondary electron collecting structure. (A) is a cross-sectional side view and (B) is a plan view seen from below. The secondary electron collecting structure is arranged at a position sufficiently distant from the optical axis A, and has a sealed structure except for the electron entrance. The entrance 242 has an elliptical shape elongated in the y direction, and enables detection with high efficiency while avoiding mixing of secondary electrons from the adjacent side. Eight secondary electron detectors 761 are arranged behind these entrances 242. Secondary electrons do not enter the adjacent detector because they are focused by the lens action created by the hole.

【0137】二次電子検出器には10kVの正電圧が印
加されているが、入射口242でシールドされているの
で光軸方向へは電位が漏れないような寸法設計がなされ
ている。二次電子742は、入口では種々の角度で入っ
てくるが、最終的には検出器761の正の高圧に引かれ
て検出器に入射する。そして、検出器で電気信号に変換
され、ハーメチックシール21r−21yで外部へ取り
出される。1401は絶縁ベースである。
A positive voltage of 10 kV is applied to the secondary electron detector, but since it is shielded by the entrance 242, it is dimensioned so that the potential does not leak in the optical axis direction. Although the secondary electrons 742 come in at various angles at the entrance, they are finally attracted to the positive high voltage of the detector 761 and enter the detector. Then, it is converted into an electric signal by the detector and taken out by the hermetic seal 21r-21y. 1401 is an insulating base.

【0138】次に、複数の1次電子線と二次電子との開
口角の関係について説明する。図16における実線は、
二次電子の軌道を示す。図16に示すように、発散角度
±89度内の二次電子が、対物レンズ1008を経て、
像面1006に結像される。このとき、像面1006で
の開口半角は、0.43度であり、非常に狭い範囲に拡
がる。その後、E×B分離器の偏向主面1011で右側
へ偏向され、マルチ開口242を通り、検出器761で
検出される。
Next, the relationship between the opening angles of a plurality of primary electron beams and secondary electrons will be described. The solid line in FIG. 16 is
The orbits of secondary electrons are shown. As shown in FIG. 16, secondary electrons within a divergence angle of ± 89 degrees pass through the objective lens 1008,
An image is formed on the image plane 1006. At this time, the half-angle of the aperture on the image plane 1006 is 0.43 degrees, which spreads over a very narrow range. After that, the light is deflected to the right by the deflection main surface 1011 of the E × B separator, passes through the multi-aperture 242, and is detected by the detector 761.

【0139】図13及び図14の半導体デバイスの製造
方法の一例を示すフローチャートのるチップ検査工程に
おいて、図8の電子線装置を利用すると、スループット
よく検査ができ、全数検査も可能となり、製品の歩留向
上、欠陥製品の出荷防止が可能となる。
When the electron beam apparatus shown in FIG. 8 is used in the chip inspection step shown in the flowchart showing the example of the method for manufacturing the semiconductor device shown in FIGS. 13 and 14, it is possible to perform inspection with high throughput and 100% inspection. It is possible to improve the yield and prevent the shipment of defective products.

【0140】以上の説明から明らかなように、図8の電
子線装置によれば、試料から放出される二次荷電粒子の
大部分をクロストークを生じることなく検出できるの
で、S/N比の高い欠陥検査あるいはパターン線幅測定
ができる。
As is clear from the above description, according to the electron beam apparatus of FIG. 8, most of the secondary charged particles emitted from the sample can be detected without causing crosstalk, so that the S / N ratio High defect inspection or pattern line width measurement is possible.

【0141】また、二次光学系が必要なので、十分な検
出結果が得られるとともに、1次光学系を試料に対して
垂直なものとしたので、複数の荷電粒子線の形成が簡単
になる。
Further, since the secondary optical system is required, a sufficient detection result can be obtained, and since the primary optical system is made perpendicular to the sample, the formation of a plurality of charged particle beams becomes easy.

【0142】更に、試料面と対物レンズ間には、1次光
学系に対して減速電界が、二次電子に対しては加速電界
がかかっているため、1次荷電粒子線を絞りやすく、か
つ広い角度範囲に放出された二次荷電粒子を初段レンズ
位置で細い粒子束にでき、効率良く二次荷電粒子を検出
できるのでS/N比の良い信号が得られ測定精度が向上
する。
Further, since the decelerating electric field is applied to the primary optical system and the accelerating electric field is applied to the secondary electrons between the sample surface and the objective lens, the primary charged particle beam can be easily focused, and The secondary charged particles emitted in a wide angle range can be made into a fine particle bundle at the position of the first stage lens, and the secondary charged particles can be detected efficiently, so that a signal with a good S / N ratio is obtained and the measurement accuracy is improved.

【0143】図17はカソードの概略図である。(A)
は側面図であり、(B)は下から見た図である。光軸か
ら等距離の円周上に8個の突起が設けられている。図1
8(A)及び(B)は、荷電ビーム装置の従来の真空チ
ャンバ及びステージ(移動台)を示す断面図であり、図
19は従来の排気機構の概略斜視図、図20(A)及び
(B)は、本発明の実施の形態2の荷電ビーム装置(ス
テージ等)2000の概略断面図、図21は本発明の実
施の形態3の荷電ビーム装置(ステージ等)2100の
概略断面図、図22は本発明の実施の形態4の荷電ビー
ム装置(ステージ等)2200の概略断面図、図23は
本発明の実施の形態5の荷電ビーム装置(ステージ等)
2300の概略断面図、図24は本発明の実施の形態6
の荷電ビーム装置(ステージ等)2400の概略断面図
である。図18−図24において同様の構成部材は、同
一の参照番号により指示される。
FIG. 17 is a schematic view of the cathode. (A)
Is a side view and (B) is a view seen from below. Eight protrusions are provided on the circumference equidistant from the optical axis. Figure 1
8A and 8B are cross-sectional views showing a conventional vacuum chamber and a stage (moving table) of the charged beam apparatus, and FIG. 19 is a schematic perspective view of a conventional exhaust mechanism, FIGS. B) is a schematic cross-sectional view of a charged beam apparatus (stage or the like) 2000 according to the second embodiment of the present invention, and FIG. 21 is a schematic cross-sectional view of a charged beam apparatus (stage or the like) 2100 of the third embodiment of the present invention. 22 is a schematic sectional view of a charged particle beam system (stage etc.) 2200 according to the fourth embodiment of the present invention, and FIG. 23 is a charged particle beam apparatus (stage etc.) according to the fifth embodiment of the present invention.
2300 is a schematic sectional view, and FIG. 24 is a sixth embodiment of the present invention.
2 is a schematic cross-sectional view of a charged beam device (stage or the like) 2400 of FIG. 18-24, like components are designated by the same reference numerals.

【0144】図20A、図20Bは、実施の形態2の荷
電ビーム装置2000を示す。ステージ2003のY方
向可動部2005の上面には+Y方向と−Y方向(図2
0Bで左右方向)に大きくほぼ水平に張り出した仕切り
板2014が取り付けられ、X方向可動部2006の上
面との間に常にコンダクタンスが小さい絞り部2050
が構成されるようになっている。また、X方向可動部2
006の上面にも同様の仕切り板2012が±X方向
(図20Aで左右方向)に張り出すように構成されてお
り、ステージ台2007の上面との間に常に絞り部20
51が形成されるようになっている。ステージ台200
7は、ハウジング2008内において底壁の上に公知の
方法で固定されている。
20A and 20B show a charged particle beam system 2000 according to the second embodiment. The + Y direction and the -Y direction (see FIG.
A partition plate 2014 which is extended substantially horizontally in the horizontal direction (0B) is attached, and the diaphragm unit 2050 having a small conductance with the upper surface of the X-direction movable unit 2006 is always provided.
Is configured. In addition, the X-direction movable unit 2
A similar partition plate 2012 is also formed on the upper surface of 006 so as to project in the ± X directions (left and right directions in FIG. 20A), and the diaphragm section 20 is always provided between the upper surface of the stage table 2007 and the upper surface of the stage table 2007.
51 is formed. Stage stand 200
7 is fixed in the housing 2008 on the bottom wall by a known method.

【0145】このため、試料台2004がどの位置に移
動しても常に絞り部2050と2051が形成されるの
で、可動部2005及び2006の移動時にガイド面2
006aや2007aからガスが放出されても、絞り部
2050と2051によって放出ガスの移動が妨げられ
るため、荷電ビームが照射される試料近傍の空間202
4の圧力上昇を非常に小さく押さえることができる。
Therefore, since the diaphragm portions 2050 and 2051 are always formed regardless of the position of the sample table 2004, the guide surface 2 is moved when the movable portions 2005 and 2006 are moved.
Even if gas is released from 006a or 2007a, the movement of the released gas is hindered by the narrowed portions 2050 and 2051. Therefore, the space 202 near the sample irradiated with the charged beam.
The pressure rise of 4 can be suppressed to a very small level.

【0146】ステージの可動部2003の側面及び下面
並びに可動部2006の下面には、静圧軸受け2009
の周囲に、図19に示されるような差動排気用の溝が形
成されていてこの溝によって真空排気されるため、絞り
部2050、2051が形成されている場合は、ガイド
面からの放出ガスはこれらの差動排気部によって主に排
気されることになる。このため、ステージ内部の空間2
013や2015の圧力は、チャンバC内の圧力よりも
高い状態になっている。従って、空間2013や201
5を、差動排気溝2017や2018で排気するだけで
なく、真空排気する箇所を別に設ければ空間2013や
2015の圧力を下げることができ、試料近傍2024
の圧力上昇を更に小さくすることができる。このための
真空排気通路2011−1と2011−2とが設けられ
ている。排気通路はステージ台2007及びハウジング
2008を貫通してハウジング2008の外部に通じて
いる。また、排気通路2011−2はX方向可動部20
06に形成されX方向可動部2006の下面に開口して
いる。
A static pressure bearing 2009 is provided on the side surface and the lower surface of the movable portion 2003 of the stage and on the lower surface of the movable portion 2006.
A groove for differential evacuation as shown in FIG. 19 is formed in the periphery of the gas, and vacuum evacuation is performed by this groove. Therefore, when the throttle parts 2050 and 2051 are formed, the gas discharged from the guide surface is discharged. Will be mainly exhausted by these differential evacuation units. Therefore, the space 2 inside the stage
The pressure of 013 and 2015 is higher than the pressure in the chamber C. Therefore, the spaces 2013 and 201
5 is not only evacuated by the differential evacuation grooves 2017 and 2018, but the pressure of the spaces 2013 and 2015 can be reduced by providing a vacuum evacuation location separately.
It is possible to further reduce the pressure rise of the. Vacuum exhaust passages 2011-1 and 2011-2 are provided for this purpose. The exhaust passage penetrates the stage base 2007 and the housing 2008 and communicates with the outside of the housing 2008. In addition, the exhaust passage 2011-2 includes the movable portion 20
No. 06 is formed, and the bottom surface of the X-direction movable portion 2006 is opened.

【0147】また、仕切り板2012及び2014を設
置すると、チャンバCと仕切り板が干渉しないようにチ
ャンバを大きくする必要が生じるが、仕切り板を伸縮可
能な材料や構造にすることによってこの点を改善するこ
とが可能である。この実施の形態としては、仕切り板を
ゴムで構成したり蛇腹状にして、その移動方向の端部
を、仕切り板2014の場合はX方向可動部2006
に、仕切り板2012の場合はハウジング2008の内
壁にそれぞれ固定する構成とすることが考えられる。
Further, when the partition plates 2012 and 2014 are installed, it is necessary to enlarge the chamber so that the chamber C and the partition plate do not interfere with each other. This is improved by making the partition plate a stretchable material or structure. It is possible to In this embodiment, the partition plate is made of rubber or has a bellows shape, and the end portion in the moving direction is the X direction movable portion 2006 in the case of the partition plate 2014.
Further, in the case of the partition plate 2012, it may be possible to fix the partition plate 2012 to the inner wall of the housing 2008.

【0148】図21に本発明の実施の形態3の荷電ビー
ム装置2100が示される。実施の形態3では、鏡筒の
先端部即ち荷電ビーム照射部2002の周囲に、試料S
の上面との間に絞り部ができるように円筒状の仕切り2
016が構成されている。このような構成では、XYス
テージからガスが放出されてチャンバC内の圧力が上昇
しても、仕切りの内部2024は仕切り2016で仕切
られており真空配管2010で排気されているので、チ
ャンバC内と仕切りの内部2024との間に圧力差が生
じ、仕切り内部の空間2024の圧力上昇を低く抑えら
れる。仕切り2016と試料面との隙間は、チャンバC
内と照射部2周辺の圧力をどの程度に維持するかによっ
て変わるが、凡そ数十μm乃至数mm程度が適当であ
る。なお、仕切り2016内と真空配管とは公知の方法
により連通されている。
FIG. 21 shows a charged particle beam system 2100 according to the third embodiment of the present invention. In the third embodiment, the sample S is provided around the tip of the lens barrel, that is, around the charged beam irradiation unit 2002.
Cylindrical partition 2 so that there is a throttle between it and the upper surface of
016 is configured. In such a configuration, even if gas is released from the XY stage and the pressure in the chamber C rises, the interior 2024 of the partition is partitioned by the partition 2016 and is exhausted by the vacuum pipe 2010. A pressure difference occurs between the partition and the inside 2024 of the partition, and the pressure increase in the space 2024 inside the partition can be suppressed to a low level. The space between the partition 2016 and the sample surface is the chamber C.
Although it depends on how much the pressure inside and around the irradiation unit 2 is maintained, it is suitable to be about several tens of μm to several mm. The partition 2016 and the vacuum pipe are communicated with each other by a known method.

【0149】また、荷電ビーム照射装置では、試料Sに
数kV程度の高電圧を印加することがあり、導電性の材
料を試料の近傍に設置すると放電を起こす恐れがある。
この場合には、仕切り2016の材質をセラミックス等
の絶縁物で構成すれば、試料Sと仕切り2016との間
で放電を起こすことがなくなる。
Further, in the charged beam irradiation apparatus, a high voltage of about several kV may be applied to the sample S, and if a conductive material is installed near the sample, discharge may occur.
In this case, if the material of the partition 2016 is made of an insulating material such as ceramics, electric discharge will not occur between the sample S and the partition 2016.

【0150】試料S(ウェーハ)の周囲に配置したリン
グ部材2004−1は試料台2004に固定された板状
の調整部品であり、ウェーハのような試料の端部に荷電
ビームを照射する場合であっても、仕切り2016の先
端部全周に亘って微小隙間2052が形成されるよう
に、ウェーハと同一の高さに設定されている。これによ
って、試料Sのどの位置に荷電ビームが照射しても、仕
切り2016の先端部には常に一定の微小隙間2052
が形成され、鏡筒先端部周囲の空間2024の圧力を安
定に保つことができる。
The ring member 2004-1 arranged around the sample S (wafer) is a plate-shaped adjustment component fixed to the sample table 2004, and is used in the case of irradiating the end of a sample such as a wafer with a charged beam. Even if there is, the height is set to be the same as the wafer so that the minute gap 2052 is formed over the entire circumference of the tip of the partition 2016. As a result, no matter which position of the sample S is irradiated with the charged beam, the tip of the partition 2016 has a constant small gap 2052.
Is formed, and the pressure in the space 2024 around the front end of the lens barrel can be stably maintained.

【0151】図22に本発明の実施の形態4の荷電ビー
ム装置2200が示される。鏡筒2001の荷電ビーム
照射部2002の周囲に差動排気構造を内蔵した仕切り
2019が設けられている。仕切り2019は円筒状の
形状をしており、その内部に円周溝2020が形成さ
れ、その円周溝からは上方に排気通路2021が延びて
いる。その排気通路は内部空間2022を経由して真空
配管2023に繋がれている。仕切り2019の下端は
試料Sの上面との間に数十μm乃至数mm程度の微小隙
間を形成している。
FIG. 22 shows a charged particle beam system 2200 according to the fourth embodiment of the present invention. A partition 2019 having a differential evacuation structure is provided around the charged beam irradiation unit 2002 of the lens barrel 2001. The partition 2019 has a cylindrical shape, and a circumferential groove 2020 is formed therein, and an exhaust passage 2021 extends upward from the circumferential groove. The exhaust passage is connected to the vacuum pipe 2023 via the internal space 2022. The lower end of the partition 2019 forms a minute gap of about several tens of μm to several mm with the upper surface of the sample S.

【0152】このような構成では、ステージの移動に伴
ってステージからガスが放出されてチャンバC内の圧力
が上昇し先端部即ち荷電ビーム照射部2002にガスが
流入しようとしても、仕切り2019が試料Sとの隙間
を絞ってコンダクタンスを非常に小さくしているためガ
スは流入を邪魔され流入量は減少する。更に、流入した
ガスは、円周溝2020から真空配管2023へ排気さ
れるため、荷電ビーム照射部2002の周囲の空間20
24へ流入するガスはほとんどなくなり、荷電ビーム照
射部2002の圧力を所望の高真空のまま維持すること
ができる。
With such a structure, even if the gas is released from the stage as the stage moves, the pressure in the chamber C rises, and the gas tries to flow into the tip portion, that is, the charged beam irradiation unit 2002, the partition 2019 is used as the sample. Since the conductance is made extremely small by narrowing the gap with S, the inflow of gas is obstructed and the inflow amount decreases. Further, since the inflowing gas is exhausted from the circumferential groove 2020 to the vacuum pipe 2023, the space 20 around the charged beam irradiation unit 2002 is reduced.
There is almost no gas flowing into 24, and the pressure of the charged beam irradiation unit 2002 can be maintained at a desired high vacuum.

【0153】図23に本発明の実施の形態5の荷電ビー
ム装置2300が示される。チャンバCと荷電ビーム照
射部2002の周囲には仕切り2026が設けられ、荷
電ビーム照射部2002をチャンバCから隔てている。
この仕切り2026は、銅やアルミニュウム等の熱伝導
性の良い材料からなる支持部材2029を介して冷凍機
2030に連結されており、−100℃乃至200℃程
度に冷却されている。部材2027は冷却されている仕
切り2026と鏡筒の間の熱伝導を阻害するためのもの
であり、セラミックスや樹脂材等の熱伝導性の悪い材料
から成っている。また、部材2028はセラミックス等
の非絶縁体から成り、仕切り2026の下端に形成され
試料Sと仕切り2026が放電することを防ぐ役割を持
っている。
FIG. 23 shows a charged particle beam system 2300 according to the fifth embodiment of the present invention. A partition 2026 is provided around the chamber C and the charged beam irradiation unit 2002 to separate the charged beam irradiation unit 2002 from the chamber C.
The partition 2026 is connected to the refrigerator 2030 via a supporting member 2029 made of a material having good heat conductivity such as copper or aluminum, and is cooled to about -100 ° C to 200 ° C. The member 2027 is for inhibiting heat conduction between the cooled partition 2026 and the lens barrel, and is made of a material having poor heat conductivity such as ceramics or a resin material. The member 2028 is made of a non-insulating material such as ceramics and is formed at the lower end of the partition 2026 to prevent the sample S and the partition 2026 from being discharged.

【0154】このような構成により、チャンバC内から
荷電ビーム照射部に流入しようとするガス分子は、仕切
り2026で流入を阻害される上、流入しても仕切り2
026の表面に凍結捕集されてしまうため、荷電ビーム
照射部2024の圧力を低く保つことができる。なお、
冷凍機としては、液体窒素による冷却や、He冷凍機、
パルスチューブ式冷凍機等の様様な冷凍機が使用でき
る。
With such a configuration, the gas molecules that are about to flow into the charged beam irradiation unit from the inside of the chamber C are blocked by the partition 2026, and even if they flow, the partition 2
Since it is frozen and collected on the surface of 026, the pressure of the charged beam irradiation unit 2024 can be kept low. In addition,
As a refrigerator, cooling with liquid nitrogen, a He refrigerator,
A refrigerator such as a pulse tube refrigerator can be used.

【0155】図24に本発明の実施の形態6の荷電ビー
ム装置2400が示される。ステージ2003の両可動
部には、図20に示したのと同様に仕切り板2012、
2014が設けられており、試料台2004が任意の位
置に移動しても、これらの仕切りによってステージ内の
空間2013とチャンバC内とが絞り2050、205
1を介して仕切られる。更に、荷電ビーム照射部200
2の周りには図21に示したのと同様の仕切り2016
が形成されており、チャンバC内と荷電ビーム照射部2
002のある空間2024が絞り2052を介して仕切
られている。このため、ステージ移動時、ステージに吸
着しているガスが空間2013に放出されてこの部分の
圧力を上昇させても、チャンバCの圧力上昇は低く抑え
られ、空間2024の圧力上昇は更に低く抑えられる。
これにより、荷電ビーム照射空間2024の圧力を低い
状態に保つことができる。また、仕切り2016に示し
たように差動排気機構を内蔵した仕切り2019とした
り、図22に示したように冷凍機で冷却された仕切り2
026とすることによって、空間2024を更に低い圧
力で安定に維持することができるようになる。
FIG. 24 shows a charged particle beam system 2400 according to the sixth embodiment of the present invention. In both movable parts of the stage 2003, partition plates 2012, similar to those shown in FIG.
2014 is provided, and even if the sample table 2004 moves to an arbitrary position, the space between the stage 2013 and the inside of the chamber C is restricted by these partitions 2050 and 205.
Partitioned through 1. Further, the charged beam irradiation unit 200
Around the area 2 is a partition 2016 similar to that shown in FIG.
Are formed in the chamber C and the charged beam irradiation unit 2
A space 2024 having 002 is partitioned by a diaphragm 2052. Therefore, when the stage is moved, even if the gas adsorbed on the stage is released into the space 2013 and the pressure in this portion is increased, the pressure increase in the chamber C is suppressed to a low level and the pressure increase in the space 2024 is further suppressed to a low level. To be
Thereby, the pressure in the charged beam irradiation space 2024 can be kept low. Further, as shown in the partition 2016, the partition 2019 having a built-in differential exhaust mechanism may be used, or as shown in FIG. 22, the partition 2 cooled by a refrigerator may be used.
By setting 026, the space 2024 can be stably maintained at a lower pressure.

【0156】図25は本発明の第7の実施の形態の電子
光学系である。電子銃2080から放出された電子線は
コンデンサレンズ2073で集束され、さらに対物レン
ズ2068で集束され、試料S上に微細プローブを形成
する。電子線を偏向器2072と2661とで2段偏向
させ、光軸から外れた領域を走査するよう設計されてい
る。試料から放出された二次電子は、余弦法則に従って
放出される。即ち、試料から垂直方向に最も強く放出さ
れる。対物レンズを通過した二次電子は、クロスオーバ
2500に向かって収束するが、下段の電磁偏向器26
61の偏向作用によって図の右へ偏向される。二次電子
は一次電子よりも500eV程度小さいエネルギしか持
っていないので大きく偏向され、符号2060で示す軌
道を取り、検出器2062で検出される。試料Sの、図
で右側の部分を走査する時は、二次電子は符号2061
で示す軌道をとり、左側の検出器2063で検出され
る。このような走査を行なうことによって、E×B無し
で二次電子を検出できるので、シングルビームでは光学
系が大幅に簡略化できる。
FIG. 25 shows an electron optical system according to the seventh embodiment of the present invention. The electron beam emitted from the electron gun 2080 is focused by the condenser lens 2073 and further focused by the objective lens 2068 to form a fine probe on the sample S. The electron beam is deflected in two stages by the deflectors 2072 and 2661 to scan an area off the optical axis. The secondary electrons emitted from the sample are emitted according to the cosine law. That is, it is most strongly emitted from the sample in the vertical direction. The secondary electrons passing through the objective lens converge toward the crossover 2500, but the electromagnetic deflector 26 in the lower stage
It is deflected to the right in the figure by the deflection action of 61. Since the secondary electrons have energy smaller than that of the primary electrons by about 500 eV, they are largely deflected, take the trajectory indicated by reference numeral 2060, and are detected by the detector 2062. When scanning the right part of the sample S in the figure, the secondary electron is 2061.
The trajectory shown by is taken and detected by the detector 2063 on the left side. By performing such scanning, secondary electrons can be detected without E × B, so that the optical system can be greatly simplified with a single beam.

【0157】本発明による電子線装置の実施の形態3乃
至6によれば、次のような効果を奏することが可能であ
る。 (イ)実施の形態2及び3(図20、図21)によれ
ば、ステージ装置が真空内で高精度な位置決め性能を発
揮することができ、更に、荷電ビーム照射位置の圧力が
上昇しにくい。即ち、試料に対する荷電ビームによる処
理を高精度に行うことができる。 (ロ)実施の形態4(図22)によれば、静圧軸受け支
持部から放出されたガスが仕切りを通過して荷電ビーム
照射領域側に通過することがほとんどできない。これに
よって荷電ビーム照射位置の真空度を更に安定させるこ
とができる。 (ハ)実施の形態5(図23)によれば、荷電ビーム照
射領域側に放出ガスが通過することが困難になり、荷電
ビーム照射領域の真空度を安定に保ち易くなる。 (ニ)実施の形態6(図24)によれば、真空チャンバ
内が、荷電ビーム照射室、静圧軸受け室及びその中間室
の3室に小さいコンダクタンスを介して分割された形に
なる。そして、それぞれの室の圧力を、低い順に荷電ビ
ーム照射室、中間室、静圧軸受け室となるように真空排
気系を構成する。中間室への圧力変動は仕切りによって
更に低く抑えられ、荷電ビーム照射室への圧力変動は、
もう一段の仕切りによって更に低減され、圧力変動を実
質的に問題ないレベルまで低減することが可能となる。 (ホ)本発明の実施の形態3−5によれば、ステージが
移動した時の圧力上昇を低く抑えることが可能になる。 (ヘ)本発明の実施の形態6(図24)によれば、ステ
ージが移動した時の圧力上昇を更に低く抑えることが可
能である。 (ト)本発明の実施の形態3−6によれば、ステージの
位置決め性能が高精度で、かつ荷電ビームの照射領域の
真空度が安定した検査装置を実現することができるの
で、検査性能が高く、試料を汚染する恐れのない検査装
置を提供することができる。 (チ)本発明の実施の形態3−6によれば、ステージの
位置決め性能が高精度で、かつ荷電ビーム照射領域の真
空度が安定した露光装置を実現することができるので、
露光精度が高く、試料を汚染する恐れのない露光装置を
提供することができる。 (リ)本発明の実施の形態3−6によれば、ステージの
位置決め性能が高精度で、かつ荷電ビーム照射領域の真
空度が安定した装置によって半導体を製造することによ
り、微細な半導体回路を形成できる。
According to the third to sixth embodiments of the electron beam apparatus of the present invention, the following effects can be obtained. (A) According to the second and third embodiments (FIGS. 20 and 21), the stage device can exhibit highly accurate positioning performance in a vacuum, and the pressure at the charged beam irradiation position is unlikely to rise. . That is, the treatment of the sample with the charged beam can be performed with high accuracy. (B) According to the fourth embodiment (FIG. 22), the gas released from the hydrostatic bearing supporting portion hardly passes through the partition to the charged beam irradiation region side. As a result, the degree of vacuum at the charged beam irradiation position can be further stabilized. (C) According to the fifth embodiment (FIG. 23), it becomes difficult for the discharged gas to pass to the charged beam irradiation region side, and it becomes easy to keep the degree of vacuum in the charged beam irradiation region stable. (D) According to the sixth embodiment (FIG. 24), the inside of the vacuum chamber is divided into the charge beam irradiation chamber, the static pressure bearing chamber, and the intermediate chamber thereof through a small conductance. Then, the vacuum evacuation system is configured so that the pressures of the respective chambers become the charged beam irradiation chamber, the intermediate chamber, and the static pressure bearing chamber in ascending order. The pressure fluctuation to the intermediate chamber is further suppressed by the partition, and the pressure fluctuation to the charged beam irradiation chamber is
It is possible to further reduce the pressure fluctuation by another partition, and it is possible to reduce the pressure fluctuation to a level at which there is substantially no problem. (E) According to Embodiments 3 to 5 of the present invention, it is possible to suppress the pressure increase when the stage moves. (F) According to the sixth embodiment (FIG. 24) of the present invention, it is possible to further suppress the pressure increase when the stage moves. (G) According to the third to sixth embodiments of the present invention, it is possible to realize an inspection apparatus in which the positioning performance of the stage is highly accurate and the degree of vacuum in the irradiation region of the charged beam is stable. It is possible to provide an inspection apparatus that is expensive and that does not contaminate the sample. (H) According to the third to sixth embodiments of the present invention, it is possible to realize an exposure apparatus in which the stage positioning performance is highly accurate and the degree of vacuum in the charged beam irradiation region is stable.
It is possible to provide an exposure apparatus that has high exposure accuracy and does not contaminate a sample. (I) According to the third to sixth embodiments of the present invention, a fine semiconductor circuit is manufactured by manufacturing a semiconductor with an apparatus in which the positioning performance of the stage is highly accurate and the vacuum degree of the charged beam irradiation region is stable. Can be formed.

【0158】次に図26−図30を参照して本発明の実
施の形態8の欠陥検査装置を説明する。図26には、本
発明の実施の形態8に係る欠陥検査装置3000の概略
構成が示される。この欠陥検査装置3000は、いわゆ
るマルチビーム型の検査装置であり、一次電子線を放出
する電子銃3007、放出された一次電子線を偏向、成
形させる静電レンズ3006、成形された一次電子線を
縮小して半導体ウェーハ3005に略垂直に当たるよう
に集束させ、電子線をウェーハ3005上に結像させる
対物レンズ3011、真空に排気可能な図示しない試料
室内に設けられ、ウェーハ3005を載置した状態で水
平面内を移動可能なステージ3004、一次電子線の照
射によりウェーハ3005から放出された二次電子線を
検出する検出器3001、及び装置全体を制御すると共
に、検出器3001により検出された二次電子画像に基
づいてウェーハ3005の欠陥を検出する処理を実行す
る制御部3016を含む。
Next, a defect inspection apparatus according to the eighth embodiment of the present invention will be described with reference to FIGS. FIG. 26 shows a schematic configuration of defect inspection apparatus 3000 according to the eighth embodiment of the present invention. The defect inspection apparatus 3000 is a so-called multi-beam type inspection apparatus, and includes an electron gun 3007 that emits a primary electron beam, an electrostatic lens 3006 that deflects and shapes the emitted primary electron beam, and a molded primary electron beam. An objective lens 3011 that reduces and focuses the semiconductor wafer 3005 so that it hits the semiconductor wafer 3005 substantially vertically and forms an electron beam on the wafer 3005, is provided in a sample chamber (not shown) that can be evacuated to a vacuum, and in the state where the wafer 3005 is mounted A stage 3004 that can move in a horizontal plane, a detector 3001 that detects a secondary electron beam emitted from a wafer 3005 by irradiation with a primary electron beam, and the entire device are controlled, and the secondary electron detected by the detector 3001 is also controlled. It includes a control unit 3016 that executes a process of detecting a defect of the wafer 3005 based on the image.

【0159】8個の二次電子検出器3001は、ウェー
ハ3005表面上の二次電子の強度分布を素子毎の電気
信号即ちデジタル画像データに変換して制御部3016
に出力する。
The eight secondary electron detectors 3001 convert the intensity distribution of secondary electrons on the surface of the wafer 3005 into electric signals for each element, that is, digital image data, and control section 3016.
Output to.

【0160】制御部3016は、図26に例示されたよ
うに、汎用的なワークステーション等から構成すること
ができる。このコンピュータは、所定のプログラムに従
って各種制御、演算処理を実行する制御部本体3014
と、本体3014の処理結果を表示するCRT3015
と、オペレータが命令を入力するためのキーボードやマ
ウス等の入力部3018と、を備える、勿論、欠陥検査
装置専用のハードウェア、或いは、ワークステーション
などから制御部3016を構成してもよい。
The control unit 3016 can be composed of a general-purpose workstation or the like, as illustrated in FIG. This computer has a control unit main body 3014 that executes various controls and arithmetic processing according to a predetermined program.
And a CRT 3015 that displays the processing result of the main body 3014
And an input unit 3018 such as a keyboard and a mouse for the operator to input a command. Of course, the control unit 3016 may be configured by hardware dedicated to the defect inspection apparatus or a workstation.

【0161】制御部本体3014は、図示しないCP
U、RAM、ROM、ハードディスク、ビデオ基板等の
各種制御基板等から構成される。RAM若しくはハード
ディスクなどのメモリ上には、検出器7から受信した電
気信号即ちウェーハ3005の二次電子画像のデジタル
画像データを記憶するための二次電子画像記憶領域30
08が割り当てられている。また、ハードディスク上に
は、予め欠陥の存在しないウェーハの基準画像データを
記憶しておく基準画像記憶部3013が存在する。
The control unit main body 3014 is a CP (not shown).
It is composed of various control boards such as U, RAM, ROM, hard disk, and video board. A secondary electron image storage area 30 for storing the electric signal received from the detector 7, that is, the digital image data of the secondary electron image of the wafer 3005, on a memory such as a RAM or a hard disk.
08 is assigned. Further, on the hard disk, there is a reference image storage unit 3013 for storing reference image data of a wafer having no defect in advance.

【0162】ハードディスク上には、欠陥検査装置全体
を制御する制御プログラムの他、記憶領域3008から
二次電子画像データを読み出し、該画像データに基づき
所定のアルゴリズムに従ってウェーハ3005の欠陥を
自動的に検出する欠陥検出プログラム3009が格納さ
れている。この欠陥検出プログラム3009は、詳細を
更に後述するように、基準画像記憶部3013から読み
出した基準画像と、実際に検出された二次電子線画像と
をマッチングして、欠陥部分を自動的に検出し、欠陥有
りと判定した場合、オペレータに警告表示する機能を有
する。このとき、CRT3015の表示部に二次電子画
像3017を表示するようにしてもよい。
On the hard disk, in addition to a control program for controlling the entire defect inspection apparatus, secondary electron image data is read from the storage area 3008, and a defect of the wafer 3005 is automatically detected based on the image data according to a predetermined algorithm. The defect detection program 3009 is stored. The defect detection program 3009 automatically detects a defective portion by matching the reference image read from the reference image storage unit 3013 with the actually detected secondary electron beam image, as will be described later in detail. However, when it is determined that there is a defect, it has a function of displaying a warning to the operator. At this time, the secondary electronic image 3017 may be displayed on the display unit of the CRT 3015.

【0163】次に、実施の形態8に係る欠陥検査装置3
000の作用を図28乃至図30のフローチャートを例
にして説明する。先ず、図28のメインルーチンの流れ
に示すように、検査対象となるウェーハ3005をステ
ージ3004の上にセットする(ステップ3300)。
これは、図示しないローダーに多数格納されたウェーハ
を一枚毎に自動的にステージにセットする形態であって
もよい。
Next, the defect inspection apparatus 3 according to the eighth embodiment.
000 will be described with reference to the flowcharts of FIGS. 28 to 30 as an example. First, as shown in the flow of the main routine of FIG. 28, the wafer 3005 to be inspected is set on the stage 3004 (step 3300).
This may be a mode in which a large number of wafers stored in a loader (not shown) are automatically set on the stage one by one.

【0164】次に、ウェーハ表面のXY平面上で部分的
に重なり合いながら互いから変位された複数の被検査領
域の画像を各々取得する(ステップ3304)。これら
画像取得すべき複数の被検査領域とは、図32に示すよ
うに、例えばウェーハ検査表面3034上に、参照番号
3032a、3032b、...3032k、...で
示す矩形領域のことであり、これらは、ウェーハの検査
パターン3030の回りで、部分的に重なり合いながら
位置がずらされていることがわかる。例えば、図27に
示されたように、16個の被検査領域の画像3032
(被検査画像)が取得される。ここで、図27に示す画
像は、矩形の桝目が1画素(或いは、画素より大きいブ
ロック単位でもよい)に相当し、このうち黒塗りの桝目
がウェーハ上のパターンの画像部分に相当する。このス
テップ3304の詳細は図29のフローチャートで後述
する。
Next, images of a plurality of inspection regions displaced from each other while partially overlapping each other on the XY plane of the wafer surface are acquired (step 3304). As shown in FIG. 32, the plurality of inspected regions to be imaged are, for example, reference numbers 3032a, 3032b ,. . . 3032k ,. . . It can be seen that these are rectangular areas indicated by, and their positions are shifted while partially overlapping around the inspection pattern 3030 of the wafer. For example, as shown in FIG. 27, images 3032 of 16 inspection areas are shown.
(Inspected image) is acquired. Here, in the image shown in FIG. 27, a rectangular grid corresponds to one pixel (or a block unit larger than a pixel may be used), and among them, a black-painted grid corresponds to an image portion of the pattern on the wafer. Details of this step 3304 will be described later with reference to the flowchart of FIG.

【0165】次に、ステップ3034で取得した複数の
被検査領域の画像データを記憶部3013に記憶された
基準画像データと、各々比較照合し(図28のステップ
3308)、上記複数の被検査領域により網羅されるウ
ェーハ検査面に欠陥が有るか否かが判定される。この工
程では、いわゆる画像データ同士のマッチング処理を実
行するが、その詳細については図30のフローチャート
で後述する。
Next, the image data of the plurality of inspection regions obtained in step 3034 are respectively compared and collated with the reference image data stored in the storage unit 3013 (step 3308 of FIG. 28), and the plurality of inspection regions are detected. It is determined whether or not there is a defect on the wafer inspection surface covered by. In this step, so-called image data matching processing is executed, and details thereof will be described later with reference to the flowchart of FIG.

【0166】ステップ3308の比較結果より、上記複
数の被検査領域により網羅されるウェーハ検査面に欠陥
が有ると判定された場合(ステップ3312肯定判
定)、オペレータに欠陥の存在を警告する(ステップ3
318)。警告の方法として、例えば、CRT3015
の表示部に欠陥の存在を知らせるメッセージを表示した
り、これと同時に欠陥の存在するパターンの拡大画像3
017を表示してもよい。このような欠陥ウェーハを直
ちに試料室3から取り出し、欠陥の無いウェーハとは別
の保管場所に格納してもよい(ステップ3319)。
From the comparison result of step 3308, when it is determined that the wafer inspection surface covered by the plurality of inspection areas has a defect (step 3312 affirmative determination), the operator is warned of the existence of the defect (step 3).
318). As a warning method, for example, CRT3015
A message notifying the presence of a defect is displayed on the display section of the, and at the same time, an enlarged image of the pattern with the defect 3
017 may be displayed. Such a defective wafer may be immediately taken out of the sample chamber 3 and stored in a storage place different from that of the wafer having no defect (step 3319).

【0167】ステップ3308の比較処理の結果、ウェ
ーハ3005に欠陥が無いと判定された場合(ステップ
3312否定判定)、現在検査対象となっているウェー
ハ3005について、検査すべき領域が未だ残っている
か否かが判定される(ステップ3314)。検査すべき
領域が残っている場合(ステップ3314肯定判定)、
ステージ4を駆動し、これから検査すべき他の領域が一
次電子線の照射領域内に入るようにウェーハ3005を
移動させる(ステップ3316)。その後、ステップ3
302に戻って当該他の検査領域に関して同様の処理を
繰り返す。
When it is determined as a result of the comparison processing in step 3308 that the wafer 3005 has no defect (NO in step 3312), it is determined whether or not the area to be inspected still remains in the wafer 3005 which is currently inspected. It is determined whether or not (step 3314). When the area to be inspected remains (Yes in step 3314),
The stage 4 is driven, and the wafer 3005 is moved so that another area to be inspected will be in the irradiation area of the primary electron beam (step 3316). Then step 3
Returning to step 302, similar processing is repeated for the other inspection areas.

【0168】検査すべき領域が残っていない場合(ステ
ップ3314否定判定)、或いは、欠陥ウェーハの抜き
取り工程(ステップ3319)の後、現在検査対象とな
っているウェーハ3005が、最終のウェーハであるか
否か、即ち図示しないローダーに未検査のウェーハが残
っていないか否かが判定される(ステップ3320)。
最終のウェーハでない場合(ステップ3320否定判
定)、検査済みウェーハを所定の格納箇所に保管し、そ
の代わりに新しい未検査のウェーハをステージ3004
にセットする(ステップ3322)。その後、ステップ
3302に戻って当該ウェーハに関して同様の処理を繰
り返す。最終のウェーハであった場合(ステップ332
0肯定判定)、検査済みウェーハを所定の格納箇所に保
管し、全工程を終了する。
If there is no area to be inspected (No at Step 3314), or if the wafer 3005 currently inspected after the defective wafer extracting step (Step 3319) is the final wafer. It is determined whether or not there is any uninspected wafer left in the loader (not shown) (step 3320).
If it is not the final wafer (NO at Step 3320), the inspected wafer is stored in a predetermined storage location, and a new uninspected wafer is replaced with the stage 3004.
(Step 3322). Then, the process returns to step 3302 and the same process is repeated for the wafer. If it is the final wafer (step 332)
0 affirmation), the inspected wafer is stored in a predetermined storage location, and the whole process is completed.

【0169】次に、ステップ3304の処理の流れを図
29のフローチャートに従って説明する。図29では、
先ず、画像番号iを初期値1にセットする(ステップ3
330)。この画像番号は、複数の被検査領域画像の各
々に順次付与された識別番号である。次に、セットされ
た画像番号iの被検査領域について画像位置(Xi,Y
i)を決定する(ステップ3332)。この画像位置
は、被検査領域を画定させるための該領域内の特定位
置、例えば該領域内の中心位置として定義される。現時
点では、i=1であるから画像位置(X1,Y1)とな
り、これは例えば図32に示された被検査領域3332
aの中心位置に該当する。全ての被検査画像領域の画像
位置は予め定められており、例えば制御部3316のハ
ードディスク上に記憶され、ステップ3332で読み出
される。
Next, the flow of processing in step 3304 will be described with reference to the flowchart in FIG. In FIG. 29,
First, the image number i is set to the initial value 1 (step 3
330). The image number is an identification number sequentially assigned to each of the plurality of inspection area images. Next, the image position (Xi, Y
i) is determined (step 3332). This image position is defined as a specific position in the area for defining the inspection area, for example, a central position in the area. At this time, since i = 1, the image position is (X1, Y1), which is the inspection region 3332 shown in FIG.
It corresponds to the center position of a. The image positions of all the image areas to be inspected are predetermined and are stored, for example, on the hard disk of the control unit 3316 and read in step 3332.

【0170】次に、図26の偏向電極3002による一
次電子線がステップ3332で決定された画像位置(X
i,Yi)の被検査画像領域に照射されるように、偏向制
御器3312が偏向電極3002に電位を加える(図2
9のステップ3334)。
Next, the primary electron beam from the deflection electrode 3002 of FIG.
The deflection controller 3312 applies a potential to the deflection electrode 3002 so that the inspection image area (i, Yi) is irradiated (FIG. 2).
Step 3334 of 9).

【0171】次に、電子銃3007から一次電子線を放
出し、静電レンズ3006、対物レンズ3011及び偏
向電極3002を通して、セットされたウェーハ300
5表面上に照射する(ステップ3336)。このとき、
一次電子線は、偏向電極3002の作り出す電場によっ
て偏向され、ウェーハ検査表面3034上の画像位置
(Xi,Yi)の被検査画像領域全体に亘って照射され
る。画像番号i=1の場合、被検査領域は3032aと
なる。
Next, a primary electron beam is emitted from the electron gun 3007, and the set wafer 300 is passed through the electrostatic lens 3006, the objective lens 3011 and the deflection electrode 3002.
5. Irradiate on the surface (step 3336). At this time,
The primary electron beam is deflected by the electric field generated by the deflection electrode 3002, and is irradiated over the entire inspected image area at the image position (Xi, Yi) on the wafer inspection surface 3034. When the image number i = 1, the inspection area is 3032a.

【0172】一次電子線が照射された被検査領域からは
二次電子が放出される。そこで、発生した二次電子線を
検出器3001に収集させる。検出器001は、集めら
れた二次電子線を検出し、8個の素子毎の電気信号即ち
デジタル画像データに変換出力する(ステップ333
8)。そして、検出した画像番号iのデジタル画像デー
タを二次電子画像記憶領域8に転送する(ステップ33
40)。
Secondary electrons are emitted from the inspected region irradiated with the primary electron beam. Therefore, the generated secondary electron beam is collected by the detector 3001. The detector 001 detects the collected secondary electron beam, converts it into an electric signal for every eight elements, that is, digital image data, and outputs it (step 333).
8). Then, the detected digital image data of the image number i is transferred to the secondary electronic image storage area 8 (step 33).
40).

【0173】次に、画像番号iを1だけインクリメント
し(ステップ3342)、インクリメントした画像番号
(i+1)が一定値iMAXを越えているか否かを判定す
る(ステップ3344)。このiMAXは、取得すべき被
検査画像の数であり、図27の上述した例では、「1
6」である。
Next, the image number i is incremented by 1 (step 3342), and it is determined whether the incremented image number (i + 1) exceeds a constant value iMAX (step 3344). This iMAX is the number of images to be inspected to be acquired, and in the example described above with reference to FIG.
6 ”.

【0174】画像番号iが一定値iMAXを越えていない
場合(ステップ3344否定判定)、再びステップ33
32に戻り、インクリメントした画像番号(i+1)に
ついて画像位置(Xi+1,Yi+1)を再び決定する。この
画像位置は、前のルーチンで決定した画像位置(Xi,
Yi)からX方向及び/又はY方向に所定距離(ΔXi,
ΔYi)だけ移動させた位置である。図32の例では、
被検査領域は、(X1,Y1)からY方向にのみ移動した
位置(X2,Y2)となり、破線で示した矩形領域303
2bとなる。なお、(ΔXi,ΔYi)(i=1,
2,...iMAX)の値は、ウェーハ検査面3034の
パターン3030が検出器3007の視野から実際に経
験的にどれだけずれるかというデータと、被検査領域の
数及び面積から適宜定めておくことができる。
If the image number i does not exceed the constant value iMAX (NO at step 3344), step 33 is performed again.
Returning to step 32, the image position (Xi + 1, Yi + 1) for the incremented image number (i + 1) is determined again. This image position is the image position (Xi,
Yi) in the X direction and / or the Y direction by a predetermined distance (ΔXi,
This is the position moved by ΔYi). In the example of FIG. 32,
The area to be inspected is a position (X2, Y2) that is moved only in the Y direction from (X1, Y1), and is a rectangular area 303 indicated by a broken line.
2b. Note that (ΔXi, ΔYi) (i = 1,
2 ,. . . The value of (iMAX) can be appropriately determined based on data such as how much the pattern 3030 on the wafer inspection surface 3034 is actually empirically displaced from the field of view of the detector 3007 and the number and area of the inspection region.

【0175】そして、ステップ3332乃至3342の
処理をiMAX個の被検査領域について順次繰り返し実行
する。これらの被検査領域は、図32に示すように、k
回移動した画像位置(Xk,Yk)では被検査画像領域3
032kとなるように、ウェーハの検査面3034上
で、部分的に重なり合いながら位置がずらされていく。
このようにして、図27に例示した16個の被検査画像
データが画像記憶領域3008に取得される。取得した
複数の被検査領域の画像3032(被検査画像)は、図
27に例示されたように、ウェーハ検査面3034上の
パターン3030の画像3030aを部分的若しくは完
全に取り込んでいることがわかる。
Then, the processes of steps 3332 to 3342 are sequentially repeated for the iMAX inspected regions. These inspected areas are, as shown in FIG.
At the image position (Xk, Yk) which has been moved, the inspected image area 3
On the inspection surface 3034 of the wafer, the position is shifted so as to be 032k while partially overlapping.
In this way, the 16 pieces of image data to be inspected illustrated in FIG. 27 are acquired in the image storage area 3008. It can be seen that the acquired images 3032 (inspected images) of the plurality of inspection regions partially or completely capture the image 3030a of the pattern 3030 on the wafer inspection surface 3034, as illustrated in FIG.

【0176】インクリメントした画像番号iがiMAXを
越えた場合(ステップ3344肯定判定)、このサブル
ーチンをリターンして図28のメインルーチンの比較工
程(ステップ3308)に移行する。
If the incremented image number i exceeds iMAX (Yes at step 3344), this subroutine is returned to shift to the comparison step (step 3308) of the main routine of FIG.

【0177】なお、ステップ3340でメモリ転送され
た画像データは、検出器3007により検出された各画
素毎の二次電子の強度値(いわゆるベタデータ)からな
るが、後段の比較工程(図28のステップ3308)で
基準画像とマッチング演算を行うため、様々な演算処理
を施した状態で記憶領域3008に格納しておくことが
できる。このような演算処理には、例えば、画像データ
のサイズ及び/又は濃度を基準画像データのサイズ及び
/又は濃度に一致させるための正規化処理や、所定画素
数以下の孤立した画素群をノイズとして除去する処理な
どがある。更には、単純なベタデータではなく、高精細
パターンの検出精度を低下させない範囲で検出パターン
の特徴を抽出した特徴マトリクスにデータ圧縮変換して
おいてもよい。
The image data transferred to the memory in step 3340 consists of secondary electron intensity values (so-called solid data) for each pixel detected by the detector 3007. Since the matching calculation is performed with the reference image in step 3308), the calculation can be stored in the storage area 3008 in a state where various calculation processes are performed. Such calculation processing includes, for example, normalization processing for matching the size and / or density of image data with the size and / or density of reference image data, and an isolated pixel group having a predetermined number of pixels or less as noise. There are processes such as removal. Furthermore, instead of simple solid data, data compression conversion may be performed into a feature matrix in which the features of the detection pattern are extracted within a range that does not reduce the detection accuracy of the high-definition pattern.

【0178】このような特徴マトリクスとして、例え
ば、M×N画素からなる二次元の被検査領域を、m×n
(m<M,n<N)ブロックに分割し、各ブロックに含
まれる画素の二次電子強度値の総和(若しくはこの総和
値を被検査領域全体の総画素数で割った正規化値)を、
各マトリックス成分としてなる、m×n特徴マトリック
スなどがある。この場合、基準画像データもこれと同じ
表現で記憶しておく。本発明の実施の形態8でいう画像
データとは、単なるべタデータは勿論のこと、このよう
に任意のアルゴリズムで特徴抽出された画像データを包
含する。
As such a feature matrix, for example, a two-dimensional inspection area consisting of M × N pixels is defined as m × n.
It is divided into (m <M, n <N) blocks, and the sum of secondary electron intensity values of pixels included in each block (or a normalized value obtained by dividing this sum value by the total number of pixels in the entire inspection region) ,
There is an m × n feature matrix, etc., which serves as each matrix component. In this case, the reference image data is also stored in the same expression. The image data according to the eighth embodiment of the present invention includes not only simple solid data but also image data feature-extracted by an arbitrary algorithm as described above.

【0179】次に、ステップ3308の処理の流れを図
30のフローチャートに従って説明する。先ず、制御部
3016のCPUは、基準画像記憶部3013(図2
6)から基準画像データをRAM等のワーキングメモリ
上に読み出す(ステップ3350)。この基準画像は、
図27では参照番号3036で表される。そして、画像
番号iを1にリセットし(ステップ3352)、記憶領
域3008から画像番号iの被検査画像データをワーキ
ングメモリ上に読み出す(ステップ3354)。
Next, the flow of processing in step 3308 will be described with reference to the flowchart in FIG. First, the CPU of the control unit 3016 causes the reference image storage unit 3013 (see FIG.
The reference image data from 6) is read out into a working memory such as a RAM (step 3350). This reference image is
In FIG. 27, it is represented by reference numeral 3036. Then, the image number i is reset to 1 (step 3352), and the inspected image data of the image number i is read from the storage area 3008 onto the working memory (step 3354).

【0180】次に、読み出した基準画像データと、画像
iのデータとをマッチングして、両者間の距離値Diを
算出する(ステップ3356)。この距離値Diは、基
準画像と、被検査画像iとの間の類似度を表し、距離値
が大きいほど基準画像と被検査画像との差異が大きいこ
とを表している。この距離値Diとして類似度を表す量
であれば任意のものを採用することができる。例えば、
画像データがM×N画素からなる場合、各画素の二次電
子強度(又は特徴量)をM×N次元空間の各位置ベクト
ル成分とみなし、このM×N次元空間上における基準画
像ベクトル及び画像iベクトル間のユークリッド距離又
は相関係数を演算してもよい。勿論、ユークリッド距離
以外の距離、例えばいわゆる市街地距離等を演算するこ
ともできる。更には、画素数が大きい場合、演算量が膨
大になるので、上記したようにm×n特徴ベクトルで表
した画像データ同士の距離値を演算してもよい。
Next, the read reference image data and the data of the image i are matched to calculate the distance value Di between them (step 3356). The distance value Di represents the degree of similarity between the reference image and the inspection image i, and the larger the distance value, the larger the difference between the reference image and the inspection image. Any value can be adopted as the distance value Di as long as it is a quantity representing the degree of similarity. For example,
When the image data consists of M × N pixels, the secondary electron intensity (or feature amount) of each pixel is regarded as each position vector component of the M × N dimensional space, and the reference image vector and the image in this M × N dimensional space are considered. The Euclidean distance or correlation coefficient between i vectors may be calculated. Of course, it is possible to calculate a distance other than the Euclidean distance, for example, a so-called city area distance. Furthermore, when the number of pixels is large, the amount of calculation becomes enormous, so that the distance value between the image data represented by the m × n feature vector may be calculated as described above.

【0181】次に、算出した距離値Diが所定の閾値T
hより小さいか否かを判定する(ステップ3358)。
この閾値Thは、基準画像と被検査画像との間の十分な
一致を判定する際の基準として実験的に求められる。
Next, the calculated distance value Di is a predetermined threshold value T
It is determined whether or not it is smaller than h (step 3358).
The threshold value Th is experimentally obtained as a reference for determining a sufficient match between the reference image and the inspection image.

【0182】距離値Diが所定の閾値Thより小さい場
合(ステップ3358肯定判定)、当該ウェーハ300
5の当該検査面3034には「欠陥無し」と判定し(ス
テップ3360)、本サブルーチンをリターンする。即
ち、被検査画像のうち1つでも基準画像と略一致したも
のがあれば、「欠陥無し」と判定する。このように全て
の被検査画像とのマッチングを行う必要が無いので、高
速判定が可能となる。図27の例の場合、3行3列目の
被検査画像が、基準画像に対して位置ずれが無く略一致
していることがわかる。
If the distance value Di is smaller than the predetermined threshold value Th (Yes at Step 3358), the wafer 300 concerned
It is determined that there is no defect on the inspection surface 3034 of No. 5 (step 3360) and this subroutine is returned. That is, if even one of the inspected images substantially matches the reference image, it is determined that there is no defect. Since it is not necessary to perform matching with all the images to be inspected in this way, high-speed determination is possible. In the case of the example in FIG. 27, it can be seen that the image to be inspected in the third row and the third column is substantially aligned with the reference image without any displacement.

【0183】距離値Diが所定の閾値Th以上の場合
(ステップ3358否定判定)、画像番号iを1だけイ
ンクリメントし(ステップ3362)、インクリメント
した画像番号(i+1)が一定値iMAXを越えているか
否かを判定する(ステップ3364)。
If the distance value Di is greater than or equal to the predetermined threshold Th (step 3358: negative determination), the image number i is incremented by 1 (step 3362) and it is determined whether the incremented image number (i + 1) exceeds a constant value iMAX. It is determined whether or not (step 3364).

【0184】画像番号iが一定値iMAXを越えていない
場合(ステップ3364否定判定)、再びステップ33
54に戻り、インクリメントした画像番号(i+1)に
ついて画像データを読み出し、同様の処理を繰り返す。
If the image number i does not exceed the constant value iMAX (NO at step 3364), step 33 is performed again.
Returning to 54, the image data is read for the incremented image number (i + 1), and the same processing is repeated.

【0185】画像番号iが一定値iMAXを越えた場合
(ステップ3364肯定判定)、当該ウェーハ3005
の当該検査面3034には「欠陥有り」と判定し(ステ
ップ3366)、本サブルーチンをリターンする。即
ち、被検査画像の全てが基準画像と略一致していなけれ
ば、「欠陥有り」と判定する。
If the image number i exceeds the constant value iMAX (Yes at step 3364), the wafer 3005 concerned
It is determined that the inspection surface 3034 is defective (step 3366), and the present subroutine is returned. That is, if all of the inspected images do not substantially match the reference image, it is determined that there is a defect.

【0186】本発明の欠陥検査装置3000において
は、上記した写像投影型の電子線装置のみならず、いわ
ゆる走査型の電子線装置を利用することができる。図3
1は、対物レンズに磁気レンズを用いた場合である。対
物レンズ312には、レンズギャップ315が試料側を
向いて光軸からある程度遠い位置に設けられている。試
料314には負の高圧が印加され、レンズと試料との間
には円板状の制御電極313が配置されている。この制
御電極に正の高電圧を与えることにより、軸上色収差を
大幅に小さくすることができる。しかし、ビア付きウェ
ーハの如き放電し易い試料の場合、この制御電極に与え
る電圧を小さくし、試料面に与えられる電界強度を、放
電しないような小さい値にすることによって放電を回避
している。符号316は光軸であり、符号311は試料
面を走査するための偏向器である。
In the defect inspection apparatus 3000 of the present invention, not only the image projection type electron beam apparatus described above but also a so-called scanning type electron beam apparatus can be used. Figure 3
1 is the case where a magnetic lens is used as the objective lens. The objective lens 312 is provided with a lens gap 315 facing the sample and at a position distant from the optical axis to some extent. A negative high voltage is applied to the sample 314, and a disc-shaped control electrode 313 is arranged between the lens and the sample. By applying a positive high voltage to this control electrode, axial chromatic aberration can be significantly reduced. However, in the case of a sample that easily discharges, such as a wafer with a via, discharge is avoided by reducing the voltage applied to the control electrode and setting the electric field strength applied to the sample surface to a value that does not cause discharge. Reference numeral 316 is an optical axis, and reference numeral 311 is a deflector for scanning the sample surface.

【0187】x軸へ投影したビーム間隔が等間隔とな
り、且つ、円周上にビームを配置するという条件のもと
で、如何にすると最適配置になるかについて述べる。図
33(A)及び(B)は、それぞれ円周上に開口を配置
される開口板4050、4060の平面図である。図3
3(A)の開口板4050の開口4051、4052・
・のx軸線上への投影点は、等間隔Lxとされ、同様に
図33(B)の開口板4060の開口4061、406
2・・のx軸線上への投影点は、等間隔Lxとされる。
本発明の実施の形態の電子線装置4000において、試
料面上に二次元的に配置される隣接する1次電子線同士
の距離の最大値が最小となるように1次電子線が配置さ
れる。
The optimum arrangement will be described under the condition that the beam intervals projected on the x-axis are equal and the beams are arranged on the circumference. FIGS. 33A and 33B are plan views of the aperture plates 4050 and 4060 in which apertures are arranged on the circumference. Figure 3
3 (A) opening plate 4050 has openings 4051, 4052 ...
The projection points on the x-axis line are at equal intervals Lx, and similarly, the openings 4061 and 406 of the opening plate 4060 in FIG.
The projection points of 2 ... on the x-axis line are at equal intervals Lx.
In the electron beam apparatus 4000 according to the embodiment of the present invention, the primary electron beams are arranged so that the maximum value of the distance between the adjacent primary electron beams two-dimensionally arranged on the sample surface becomes the minimum. .

【0188】図33(A)の開口板4050の隣接する
2つの開口間の距離50a、50b、50cは、それぞ
れ47、63、41(それぞれ任意単位)であり、図3
3(B)の開口板4060の隣接する2つの開口間の距
離60a、60b、60cは、それぞれ56、56、4
2(任意単位)である。これら2つの開口板を比較する
と開口板4060は、隣接する1次電子線同士の距離の
最大値が56mmであり、開口板4050の50b(6
3mm)より小であるから、図33(B)の開口板40
60の開口の配置がより適切であるということができ
る。
The distances 50a, 50b and 50c between two adjacent openings of the opening plate 4050 shown in FIG. 33A are 47, 63 and 41 (arbitrary units), respectively.
The distances 60a, 60b, 60c between two adjacent openings of the 3 (B) opening plate 4060 are 56, 56, 4 respectively.
2 (arbitrary unit). Comparing these two aperture plates, in the aperture plate 4060, the maximum value of the distance between the adjacent primary electron beams is 56 mm, and 50b (6
3 mm), the aperture plate 40 of FIG.
It can be said that the arrangement of the openings of 60 is more suitable.

【0189】このような要件を備える開口板を使用する
利点は、実際の隣接する1次電子線の間隔がほぼ等しく
なり、対称性が良くなること、非点収差が発生し難くな
ること、1次電子線が互いに離れるので空間電荷効果に
よる1次電子線のボケが小さくなること、試料上で対称
な位置の近くへ照射が行われるので、試料の帯電による
影響が緩和されること等である。
The advantage of using the aperture plate having such requirements is that the actual intervals between the adjacent primary electron beams are almost equal, the symmetry is improved, and astigmatism is less likely to occur. Since the secondary electron beams are separated from each other, the blurring of the primary electron beam due to the space charge effect is reduced, and since the irradiation is performed near a symmetrical position on the sample, the influence of the charging of the sample is mitigated. .

【0190】図26に示す電子線装置において、対物レ
ンズ3010の詳細は図34で表される。対物レンズ4
407は、図34に示すように、ユニポテンシャル・レ
ンズであり、一次電子線を試料4408の表面に集束さ
せるため、対物レンズ4407の中央電極には電源44
28から正の高電圧V0ボルトが印加され、対物レンズ
4407の上側電極及び下側電極には、電源4429か
らアース電位に近い小電圧である励起電圧±△V0が印
加される。対物レンズ4407の上側電極又は下側電極
に印加すべき励起電圧±△V0の値は、CPU等の制御
装置(不図示)の制御下で、以下のようにして決定され
る。
In the electron beam apparatus shown in FIG. 26, the details of the objective lens 3010 are shown in FIG. Objective lens 4
As shown in FIG. 34, reference numeral 407 is a unipotential lens, and in order to focus the primary electron beam on the surface of the sample 4408, the central electrode of the objective lens 4407 has a power source 44.
28, a positive high voltage V 0 volt is applied, and an excitation voltage ± ΔV 0, which is a small voltage close to the ground potential, is applied from the power supply 4429 to the upper electrode and the lower electrode of the objective lens 4407. The value of the excitation voltage ± ΔV 0 to be applied to the upper electrode or the lower electrode of the objective lens 4407 is determined as follows under the control of a control device (not shown) such as a CPU.

【0191】まず、試料4408の表面に形成された任
意の一つの回路パターン上に、第1の方向に平行なパタ
ーン・エッジと、この第1の方向に直交する第2の方向
に平行なパターン・エッジとが存在する場所を、例えば
パターン・データから読み出して特定する。
First, a pattern edge parallel to the first direction and a pattern parallel to the second direction orthogonal to the first direction are formed on one arbitrary circuit pattern formed on the surface of the sample 4408. -The place where the edge exists is read and specified from, for example, the pattern data.

【0192】次いで、偏向器3002を用いて、一次電
子線により、第1の方向に平行なパターン・エッジを第
2の方向に走査し、その結果放出された二次電子線の強
度を表す電気信号を電子検出器3001から取り出し、
立上がり幅検出部において、該電気信号の立上がり幅p
(単位:μm)を測定する。同様に、第2の方向に平行
なパターン・エッジについても、偏向器3002を用い
て、一次電子線により第1の方向に走査し、その結果放
出された二次電子線の強度を表す電気信号を電子検出器
3001から取り出し、立上がり検出部において、その
電気信号の立上がり幅pを測定する。この操作を、電圧
±△V0を変更して、少なくとも3つの電圧値について
行う。
Then, the deflector 3002 is used to scan the pattern edge parallel to the first direction with the primary electron beam in the second direction. Take the signal out of the electronic detector 3001,
In the rising width detection unit, the rising width p of the electric signal
(Unit: μm) is measured. Similarly, for the pattern edge parallel to the second direction, the deflector 3002 is used to scan in the first direction with the primary electron beam, and the electrical signal representing the intensity of the secondary electron beam emitted as a result. Is taken out from the electron detector 3001 and the rising width p of the electric signal is measured in the rising detector. This operation is performed for at least three voltage values by changing the voltage ± ΔV 0 .

【0193】制御装置(図示せず)は、立上がり幅検出
部からのデータに基づいて、図35(A)の曲線A及び
Bを作成する。曲線Aは、第1の方向に平行なパターン
・エッジに関しての、±△V0それぞれに対する立上が
り幅pμmの関係を示す。曲線Bは、第2の方向に平行
なパターン・エッジに関しての、±△V0それぞれに対
する立上がり幅pμmの関係を示す。
The control device (not shown) creates the curves A and B of FIG. 35A based on the data from the rising width detecting section. Curve A shows the relationship of the rising width pμm to ± ΔV 0 for each pattern edge parallel to the first direction. Curve B shows the relationship of the rise width pμm to ± ΔV 0 for each pattern edge parallel to the second direction.

【0194】電気信号の「立上がり幅R」は、図35
(B)のグラフに示すように、励起電圧±△V0(及び
高電圧V0)を固定した状態で、第1の方向(又は、第
2の方向)に平行なパターン・エッジを第2の方向(又
は、第1の方向)に走査したときに測定される二次電子
信号が、その最大値の12%から88%まで変化するのに
要する走査距離R(単位:μm)として表したものであ
る。
The "rising width R" of the electric signal is shown in FIG.
As shown in the graph of (B), with the excitation voltage ± ΔV 0 (and the high voltage V 0 ) fixed, the second pattern edge parallel to the first direction (or the second direction) is formed. The secondary electron signal measured when scanning in the direction (or the first direction) was expressed as the scanning distance R (unit: μm) required to change from 12% to 88% of the maximum value. It is a thing.

【0195】図35(A)の曲線Aは、励起電圧±△V
0が−△V0(x)のときに立上がり幅pが最小であり、従
って、このときに立上りがもっとも鋭いことを示す。同
様に、曲線Bは、励起電圧±△V0が+△V0(y)のとき
に立上がり上がり幅が最小であり、立上りが最も鋭いこ
とを示している。従って、対物レンズ7の焦点条件、即
ち、上側電極及び下側電極に印加する電圧±△V0の値
は、{−△V0(x)+△V0(y)}/2に設定するこ
とが好ましい。
The curve A in FIG. 35 (A) shows the excitation voltage ± ΔV.
When 0 is −ΔV 0 (x), the rising width p is the smallest, and therefore it is shown that the rising is sharpest at this time. Similarly, curve B shows that the rise width is the smallest and the rise is the sharpest when the excitation voltage ± ΔV 0 is + ΔV 0 (y). Therefore, the focus condition of the objective lens 7, that is, the value of the voltage ± ΔV 0 applied to the upper electrode and the lower electrode is set to {−ΔV 0 (x) + ΔV 0 (y)} / 2. It is preferable.

【0196】励起電圧±△V0は0〜±20Vの範囲で
しか変化しないので、上記のようにして対物レンズ44
07の整定を実際に行ったところ、10マイクロ秒とい
う高速で対物レンズ4412の整定を行うことができ、
図35(A)の曲線A及びBを取得するのに、150マ
イクロ秒しか要しなかった。
Since the excitation voltage ± ΔV 0 changes only in the range of 0 to ± 20V, the objective lens 44 is operated as described above.
When the setting of 07 is actually performed, the setting of the objective lens 4412 can be performed at a high speed of 10 microseconds.
It took only 150 microseconds to acquire curves A and B of FIG.

【0197】また、曲線A及びBを得るために、多数の
±△V0について測定を行う必要はなく、図35(A)
に示すように、±△V0の3つの電圧値として、−△V
(1)、+△V(2)、+△V(3)を設定して立上が
り幅pを測定し、双曲線近似により曲線A及びBを求
め、立上り幅pの最小値−△V0(x)及び+△V
0(y)を求めればよい。その場合には、45マイクロ
秒程度で測定を行うことができる。
Further, in order to obtain the curves A and B, it is not necessary to carry out the measurement for a large number of ± ΔV 0 , as shown in FIG.
As shown in, the three voltage values of ± ΔV 0 , −ΔV
(1), + ΔV (2), + ΔV (3) are set, the rising width p is measured, curves A and B are obtained by hyperbola approximation, and the minimum value of the rising width p is −ΔV 0 (x ) And + △ V
It suffices to obtain 0 (y). In that case, the measurement can be performed in about 45 microseconds.

【0198】上記したように、図35(A)の曲線A及
びBは、二次曲線即ち双曲線に近似している。立ち上が
り幅をp(μm)、対物レンズ電圧±△V0をq(ボル
ト)とすると、グラフA及びBは、a、b及びcを定数
として、 (p2/a2)−(q−c)2/B2=1 と表せる。そこで、3つのq(電圧±△V0)の値q1
2、q3と、それらに対応するp(立上がり幅)の値
1、p2、p3を上記式に代入すると、次の3つの式
(1)〜(3)が得られる。 (p1 2/a2)−(q1−c)2/b2=1 (1) (p2 2/a2)−(q2−c)2/b2=1 (2) (p3 2/a2)−(q3−c)2/b2=1 (3) これらの式(1)〜(3)から、a、b及びcの値が算
出され、q=cのとき、最小値となる。
As described above, the curves A and B in FIG. 35A are approximate to a quadratic curve, that is, a hyperbola. When the rising width is p (μm) and the objective lens voltage ± ΔV 0 is q (volt), the graphs A and B are (p 2 / a 2 ) − (q−c) where a, b and c are constants. ) 2 / B 2 = 1. Therefore, three q values (voltage ± ΔV 0 ) q 1 ,
Substituting q 2 and q 3 and the corresponding p (rise width) values p 1 , p 2 and p 3 into the above equations, the following three equations (1) to (3) are obtained. (P 1 2 / a 2 )-(q 1 -c) 2 / b 2 = 1 (1) (p 2 2 / a 2 )-(q 2 -c) 2 / b 2 = 1 (2) (p 3 2 / a 2 )-(q 3 -c) 2 / b 2 = 1 (3) The values of a, b and c are calculated from these equations (1) to (3), and when q = c , The minimum value.

【0199】以上のようにして、立ち上がり幅pが最小
となる、第1の方向に平行なパターン・エッジに関する
対物レンズへの励起電圧△V0(x)を、3つのレンズ
条件で求めることができる。全く同様にして、第2の方
向に平行なパターン・エッジに関する対物レンズ電圧△
0(y)を求めることができる。
As described above, the excitation voltage ΔV 0 (x) to the objective lens for the pattern edge parallel to the first direction and having the minimum rising width p can be obtained under the three lens conditions. it can. In exactly the same way, the objective lens voltage Δ for the pattern edge parallel to the second direction
V 0 (y) can be obtained.

【0200】図35(A)の曲線A及びBに示したよう
に、第1の方向に延存するパターン・エッジを第2の方
向に走査したときと、第2の方向に延在するパターン・
エッジを第1の方向に走査したときとで、立上がり幅が
異なることが一般的である。このような場合には、例え
ば、8極の非点補正レンズ4421(図34)を設け
て、該レンズ4421に印加する電圧を調整することに
より、パターン・エッジを第1の方向及び第2の方向に
走査したときの電子検出器4415からの電気信号の立
上りが更に小さくなるように、非点補正を行うことが必
要である。非点収差がほとんどない場合は、△V
0(x)あるいは△V0(y)のどちらかを求めればよい
ので、曲線A又はBのいずれかのみを求めてもよい。
As shown by the curves A and B in FIG. 35A, when the pattern edge extending in the first direction is scanned in the second direction and when the pattern edge extending in the second direction is scanned.
The rising width is generally different when the edge is scanned in the first direction. In such a case, for example, by providing an 8-pole astigmatism correction lens 4421 (FIG. 34) and adjusting the voltage applied to the lens 4421, the pattern edge is set in the first direction and in the second direction. It is necessary to perform astigmatism correction so that the rise of the electric signal from the electronic detector 4415 when scanning in the direction is further reduced. When there is almost no astigmatism, ΔV
Since only 0 (x) or ΔV 0 (y) needs to be obtained, only either curve A or B may be obtained.

【0201】以上説明したように、電子線走査・検出系
における焦点合わせを行い、その後、試料8の評価を行
うプロセスに移行する。本方法では、光学的なZセンサ
ではなく、電子光学的な手段で合焦条件を求めているた
め、試料が帯電している場合にも、正しい合焦条件が求
められるという利点がある。
As described above, focusing is performed in the electron beam scanning / detection system, and then the process of evaluating the sample 8 is performed. In this method, the focusing condition is determined by an electro-optical means instead of the optical Z sensor, so that there is an advantage that the correct focusing condition is required even when the sample is charged.

【0202】電子線走査・検出系を含んだ鏡筒4426
と同様の構成の鏡筒(図示せず)を、鏡筒4426と並
列する形で、互いの距離が試料4408上のチップ・サ
イズの整数倍の距離になるよう配置した場合、それぞれ
の鏡筒において一次電子線が試料上に合焦するよう焦点
合わせを行う必要がある。しかしながら、こうした焦点
合わせは、ほぼ同時に行うことが可能であるので、スル
ープット・バジェットは、僅かな値でしかない。
Lens barrel 4426 including electron beam scanning / detection system
When a lens barrel (not shown) having the same configuration as the lens barrel 4426 is arranged in parallel with the lens barrel 4426 so that the mutual distance becomes an integral multiple of the chip size on the sample 4408, At, it is necessary to perform focusing so that the primary electron beam is focused on the sample. However, since such focusing can be done almost simultaneously, the throughput budget is only a small value.

【0203】図36(A)はチャージアップの評価場所
と評価方法を説明する図である。チップ5100のメモ
リーセル境界5102の外周部は、周辺回路部で低密度
領域である。その内側はメモリーセル部で高密度領域で
ある。従ってA1、A2は境界領域の画像となり、A
3、A4はメモリーセル部の画像である。図36(A)
中の2点鎖線や破線は、密度が大きく変化する境界を示
す。
FIG. 36A is a diagram for explaining a charge-up evaluation place and an evaluation method. The peripheral portion of the memory cell boundary 5102 of the chip 5100 is a low density region in the peripheral circuit portion. The inside is the memory cell portion, which is a high-density area. Therefore, A1 and A2 are images of the boundary area,
3 and A4 are images of the memory cell portion. FIG. 36 (A)
The two-dot chain line and broken line in the figure indicate boundaries where the density changes greatly.

【0204】より具体的には、被評価試料のチャージア
ップの影響を受け易い場所即ち図36(A)に示される
ように、試料としてのウェーハの表面に形成されたチッ
プ5100のメモリーセル5101のコーナ部を評価し
た。即ち、(1)コーナ部でのメモリーセル境界510
2のパターン歪み量5103、5104を測定するか、
或いは、(2)メモリーセルのコーナ部においてパター
ンを横切るように(矢印A1及びA2で示すように)走
査した時に得た信号強度のコントラストを、図36
(B)において実線5105及び5107で表示して、
チップの中心部においてパターンを矢印A3、A4に走
査したときに得た信号強度のコントラスト5106及び
5108(いずれも図36(B)において破線図示)と
比較してもよい。
More specifically, as shown in FIG. 36 (A), where the sample to be evaluated is easily affected by the charge-up, the memory cell 5101 of the chip 5100 formed on the surface of the wafer as the sample is The corner area was evaluated. That is, (1) the memory cell boundary 510 at the corner
2 measure the pattern distortion amount 5103, 5104, or
Alternatively, (2) the contrast of the signal intensity obtained when the pattern is scanned across the pattern in the corner portion of the memory cell (as indicated by arrows A1 and A2) is shown in FIG.
Displayed by solid lines 5105 and 5107 in (B),
It may be compared with signal intensity contrasts 5106 and 5108 (both are shown by broken lines in FIG. 36B) obtained when the pattern is scanned by arrows A3 and A4 in the central portion of the chip.

【0205】リターディング電圧印加装置5050に複
数の値の電圧を与え、その都度、歪み量5103及び5
104或いはコントラスト5105、5107及び51
06、5108を測定し、歪み量5103及び5104
が小さい方がチャージアップの影響は小さいと評価し
た。また、コーナ部でのコントラストの値5105、5
107が中心部でのコントラストの値に近い方がチャー
ジアップの影響が小さいと評価した。
A plurality of voltages having different values are applied to the retarding voltage applying device 5050, and the strain amounts 5103 and 5 are changed each time.
104 or contrast 5105, 5107 and 51
06, 5108 are measured, and distortion amounts 5103 and 5104
The smaller the value, the smaller the effect of charge-up. In addition, the contrast values 5105 and 5
It was evaluated that the closer the value of 107 was to the contrast value in the central portion, the smaller the effect of charge-up.

【0206】チャージアップの状態の良好なリターディ
ング電圧が見出されたら、その値をCPU5063を介
して印加装置5050に与え、その値で試料即ちウェー
ハの評価を行うようにした。また、ビーム電流を小さく
するとチャージアップが減少する試料の場合は、ビーム
電流を小さくしてもよい。このように、試料のパターン
密度が大きく変化する境界付近の画像形成を行うこと
は、帯電の効果が大きく出ることから、帯電しているこ
とを評価し易く、帯電し難いリーディング電圧を見つけ
易い。
When a good retarding voltage in a charge-up state was found, the value was given to the applying device 5050 via the CPU 5063, and the sample, that is, the wafer was evaluated by the value. Further, in the case of a sample in which charge-up is reduced when the beam current is reduced, the beam current may be reduced. As described above, when an image is formed in the vicinity of the boundary where the pattern density of the sample greatly changes, the effect of charging becomes large. Therefore, it is easy to evaluate that the sample is charged and it is easy to find a reading voltage that is difficult to be charged.

【0207】図37及び図38を参照して本発明の実施
の形態9の荷電ビーム装置7000を説明する。本実施
の形態において「真空」とは当該技術分野において呼ば
れる真空である。
A charged particle beam device 7000 according to the ninth embodiment of the present invention will be described with reference to FIGS. 37 and 38. In the present embodiment, the “vacuum” is a vacuum called in the art.

【0208】図37の荷電ビーム装置7000におい
て、荷電ビームを試料に向かって照射する鏡筒7001
の先端部即ち荷電ビーム照射部7002が真空チャンバ
Cを画成するハウジング7014に取り付けられてい
る。鏡筒7001の直下には、XYステージ7003の
X方向(図37において左右方向)の可動テーブル上に
載置されている試料Sが配置される。この試料Sは高精
度なXYステージ7003によって、その試料面上の任
意の位置に対して正確に荷電ビームを照射させることが
できる。
In the charged beam apparatus 7000 of FIG. 37, a lens barrel 7001 for irradiating the sample with a charged beam.
A tip portion of the C., i.e., a charged beam irradiation unit 7002 is attached to a housing 7014 that defines a vacuum chamber C. Immediately below the lens barrel 7001, a sample S placed on a movable table of the XY stage 7003 in the X direction (horizontal direction in FIG. 37) is arranged. The sample S can be accurately irradiated with the charged beam at an arbitrary position on the sample surface by the highly accurate XY stage 7003.

【0209】XYステージ7003の台座7006はハ
ウジング7014の底壁に固定され、Y方向(図37に
おいて紙面に垂直の方向)に移動するYテーブル700
5が台座7006の上に載っている。Yテーブル700
5の両側面(図37において左右側面)には、台座70
06に載置された一対のY方向ガイド7007a及び7
007bのYテーブルに面した側に形成された凹溝内に
突出する突部が形成されている。その凹溝はY方向ガイ
ドのほぼ全長に亘ってY方向に伸びている。
The pedestal 7006 of the XY stage 7003 is fixed to the bottom wall of the housing 7014 and moves in the Y direction (direction perpendicular to the paper surface in FIG. 37).
5 is on the base 7006. Y table 700
A pedestal 70 is provided on both side surfaces of 5 (left and right side surfaces in FIG.
A pair of Y-direction guides 7007a and 7 placed on 06
A projecting portion that projects into a groove formed on the side of 007b facing the Y table is formed. The groove extends in the Y direction over substantially the entire length of the Y direction guide.

【0210】凹溝内に突出する突部の上、下面及び側面
には公知の構造の静圧軸受け7011a、7009a、
7011b、7009b、がそれぞれ設けられ、これら
の静圧軸受けを介して高圧ガスを吹き出すことにより、
Yテーブル5はY方向ガイド7007a、7007bに
対して非接触で支持され、Y方向に円滑に往復運動でき
るようになっている。また、台座7006とYテーブル
7005との間には、公知の構造のリニアモータ701
2が配置されており、Y方向の駆動をそのリニアモータ
で行うようになっている。Yテーブルには、高圧ガス供
給用のフレキシブル配管7022によって高圧ガスが供
給され、Yテーブル内に形成されたガス通路(図示せ
ず)を通じて静圧軸受け7009a乃至7011a及び
7009b乃至11bに対して高圧ガスが供給される。
静圧軸受けに供給された高圧ガスは、Y方向ガイドの対
向する案内面との間に形成された数ミクロンから数十ミ
クロンの隙間に噴出してYテーブルを案内面に対してX
方向とZ方向(図37において上下方向)に正確に位置
決めする役割を果たす。
Static pressure bearings 7011a and 7009a having a known structure are provided on the upper, lower and side surfaces of the protrusion protruding into the groove.
7011b and 7009b are provided respectively, and by blowing out a high pressure gas through these static pressure bearings,
The Y table 5 is supported in a non-contact manner with the Y-direction guides 7007a and 7007b, and can be smoothly reciprocated in the Y-direction. A linear motor 701 having a known structure is provided between the pedestal 7006 and the Y table 7005.
2 is arranged, and the linear motor drives in the Y direction. The high pressure gas is supplied to the Y table through the high pressure gas supply flexible pipe 7022, and the high pressure gas is supplied to the static pressure bearings 7009a to 7011a and 7009b to 11b through a gas passage (not shown) formed in the Y table. Is supplied.
The high-pressure gas supplied to the hydrostatic bearing is ejected into a gap of a few microns to a few tens of microns formed between the opposing guide surfaces of the Y-direction guide, and the Y table is moved toward the guide surface in an X direction.
Direction and Z direction (up and down direction in FIG. 37).

【0211】Yテーブル上にはXテーブル4がX方向
(図37において左右方向)に移動可能に載置されてい
る。Yテーブル5上にはYテーブル用のY方向ガイド7
007a、7007bと同じ構造の一対のX方向ガイド
7008a、7008b(7008aのみ図示)がXテ
ーブル7004を間に挟んで設けられている。X方向ガ
イドのXテーブルに面した側にも凹溝が形成され、Xテ
ーブルの側部(X方向ガイドに面した側部)には凹溝内
に突出する突部が形成されている。その凹溝はX方向ガ
イドのほぼ全長に亘って伸びている。凹溝内に突出する
X方向テーブル7004の突部の上、下面及び側面には
前記静圧軸受け7011a、7009a、7010a、
7011b、7009b、7010bと同様の静圧軸受
け(図示せず)が同様の配置で設けられている。Yテー
ブル7005とXテーブル7004との間には、公知の
構造のリニアモータ7013が配置されており、Xテー
ブルのX方向の駆動をそのリニアモータで行うようにし
ている。
The X table 4 is mounted on the Y table so as to be movable in the X direction (left and right in FIG. 37). The Y-direction guide 7 for the Y-table is provided on the Y-table 5.
A pair of X-direction guides 7008a and 7008b (only 7008a is shown) having the same structure as 007a and 7007b are provided with the X table 7004 interposed therebetween. A concave groove is also formed on the side of the X-direction guide facing the X table, and a protrusion protruding into the concave groove is formed on a side portion of the X table (side portion facing the X-direction guide). The groove extends over substantially the entire length of the X-direction guide. The static pressure bearings 7011a, 7009a, 7010a are provided on the upper, lower and side surfaces of the protrusion of the X-direction table 7004 protruding into the groove.
Hydrostatic bearings (not shown) similar to 7011b, 7009b, 7010b are provided in a similar arrangement. A linear motor 7013 having a known structure is arranged between the Y table 7005 and the X table 7004, and the linear motor 7013 drives the X table in the X direction.

【0212】Xテーブル7004にはフレキシブル配管
7021によって高圧ガスが供給され、静圧軸受けに高
圧ガスを供給するようになっている。この高圧ガスが静
圧軸受けからX方向ガイドの案内面に対して噴出される
ことによって、Xテーブル7004がY方向ガイドに対
して高精度に非接触で支持されている。真空チャンバC
は公知の構造の真空ポンプ等に接続された真空配管70
19、7020a、7020bによって排気されてい
る。配管7020a、7020bの入口側(真空チャン
バ内側)は台座7006を貫通してその上面において、
XYステージ7003から高圧ガスが排出される位置の
近くで開口しており、真空チャンバ内の圧力が静圧軸受
けから噴出される高圧ガスにより上昇するのを極力防止
している。
The high pressure gas is supplied to the X table 7004 through the flexible pipe 7021, and the high pressure gas is supplied to the static pressure bearing. This high-pressure gas is jetted from the static pressure bearing to the guide surface of the X-direction guide, so that the X-table 7004 is supported with high precision in a non-contact manner with respect to the Y-direction guide. Vacuum chamber C
Is a vacuum pipe 70 connected to a vacuum pump or the like having a known structure.
It is exhausted by 19, 7020a, 7020b. The inlet side (inside the vacuum chamber) of the pipes 7020a and 7020b penetrates the pedestal 7006, and at the upper surface thereof,
It opens near the position where the high-pressure gas is discharged from the XY stage 7003, and prevents the pressure in the vacuum chamber from rising as much as possible due to the high-pressure gas ejected from the static pressure bearing.

【0213】鏡筒7001の先端部即ち荷電ビーム照射
部7002の周囲には、差動排気機構7025が設けら
れ、真空チャンバC内の圧力が高くても荷電ビーム照射
空間7030の圧力が十分低くなるようにしてある。即
ち、荷電ビーム照射部7002周囲に取り付けられた差
動排気機構7025の環状部材7026は、その下面
(試料S側の面)と試料との間で微少隙間(数ミクロン
から数百ミクロン)7040が形成されるように、ハウ
ジング7014に対して位置決めされており、その下面
には環状溝7027が形成されている。
A differential evacuation mechanism 7025 is provided around the tip of the lens barrel 7001, that is, around the charged beam irradiation unit 7002. Even if the pressure in the vacuum chamber C is high, the pressure in the charged beam irradiation space 7030 is sufficiently low. Is done. That is, the annular member 7026 of the differential evacuation mechanism 7025 attached around the charged beam irradiation unit 7002 has a minute gap (several microns to several hundreds of microns) 7040 between its lower surface (surface on the sample S side) and the sample. As formed, it is positioned with respect to the housing 7014 and has an annular groove 7027 formed in its lower surface.

【0214】環状溝7027は、排気管7028により
図示しない真空ポンプ等に接続されている。従って、微
少隙間7040は環状溝7027及び排気口7028を
介して排気され、真空チャンバCから環状部材7026
によって囲まれた空間7030内にガス分子が侵入しよ
うとしても、排気されてしまう。これにより、荷電ビー
ム照射空間7030内の圧力を低く保つことができ、荷
電ビームを問題なく照射することができる。この環状溝
は、チャンバ内の圧力、荷電ビーム照射空間7030内
の圧力によっては、二重構造或いは三重構造にしてもよ
い。
The annular groove 7027 is connected to an unillustrated vacuum pump or the like by an exhaust pipe 7028. Therefore, the minute gap 7040 is exhausted through the annular groove 7027 and the exhaust port 7028, and the vacuum chamber C is removed from the annular member 7026.
Even if gas molecules try to enter the space 7030 surrounded by, the gas is exhausted. Thereby, the pressure in the charged beam irradiation space 7030 can be kept low, and the charged beam can be irradiated without problems. The annular groove may have a double structure or a triple structure depending on the pressure in the chamber and the pressure in the charged beam irradiation space 7030.

【0215】静圧軸受けに供給する高圧ガスは、一般に
ドライ窒素が使用される。しかしながら、可能ならば、
更に高純度の不活性ガスにすることが好ましい。これ
は、水分や油分等の不純物がガス中に含まれると、これ
らの不純物分子が真空チャンバを画成するハウジングの
内面やステージ構成部品の表面に付着して真空度を悪化
させたり、試料表面に付着して荷電ビーム照射空間の真
空度を悪化させてしまうからである。
Dry nitrogen is generally used as the high-pressure gas supplied to the hydrostatic bearing. However, if possible,
It is preferable to use an inert gas of higher purity. This is because when impurities such as water and oil are contained in the gas, these impurity molecules adhere to the inner surface of the housing that defines the vacuum chamber and the surface of the stage components, deteriorating the degree of vacuum, and the sample surface. This is because it adheres to and deteriorates the vacuum degree of the charged beam irradiation space.

【0216】試料Sは、通常Xテーブル上に直接載置さ
れるのでなく、試料を取り外し可能に保持したりXYス
テージ7003に対して微少な位置変更を行うなどの機
能を持たせた試料台の上に載置されているが、試料台の
有無及びその構造は本願発明の要旨には関係ないので、
説明を簡素化するために省略されている。
The sample S is not usually placed directly on the X-table, but is mounted on a sample table having a function of holding the sample detachably or slightly changing the position of the XY stage 7003. Although it is placed on the above, since the presence or absence of the sample table and its structure are not related to the gist of the present invention,
It has been omitted to simplify the description.

【0217】荷電ビーム装置7000では、大気中で用
いられる静圧軸受けのステージ機構をほぼそのまま使用
できるので、露光装置等で用いられる大気用の高精度ス
テージと同等の高精度のXYステージを、ほぼ同等のコ
スト及び大きさで荷電ビーム装置用のXYステージに対
して実現できる。以上説明した静圧ガイドの構造や配置
及びアクチュエータ(リニアモータ)はあくまでも一実
施の形態であり、大気中で使用可能な静圧ガイドやアク
チュエータならば何でも適用できる。
In the charged beam apparatus 7000, since the stage mechanism of the static pressure bearing used in the atmosphere can be used almost as it is, a high precision XY stage equivalent to the high precision stage for the atmosphere used in the exposure apparatus or the like can be used. It can be realized for an XY stage for a charged beam apparatus at the same cost and size. The structure and arrangement of the static pressure guide and the actuator (linear motor) described above are merely embodiments, and any static pressure guide or actuator that can be used in the atmosphere can be applied.

【0218】図38は、差動排気機部7025の環状部
材7026に形成される環状溝の大きさの数値例を示
す。図38の環状部材7026は、半径方向に隔てられ
た二重構造の環状溝7027a及び7027bを有し、
それぞれ排気TMP、DPを排出する。
FIG. 38 shows a numerical example of the size of the annular groove formed in the annular member 7026 of the differential exhaust unit 7025. The annular member 7026 of FIG. 38 has dual radially spaced annular grooves 7027a and 7027b,
The exhausts TMP and DP are discharged respectively.

【0219】静圧軸受けに供給される高圧ガスの流量
は、通常おおよそ20L/min(大気圧換算)程度で
ある。真空チャンバCを、内径50mmで長さ2mの真
空配管を介して20000L/minの排気速度を有す
るドライポンプで排気すると仮定すると、真空チャンバ
内の圧力は、約160Pa(約1.2Torr)とな
る。この時、差動排気機構部の環状部材7026及び環
状溝等の寸法を、図38に示すようにすれば、荷電ビー
ム照射空間7030内の圧力を10-4Pa(10-6To
rr)にすることができる。
The flow rate of the high-pressure gas supplied to the static pressure bearing is usually about 20 L / min (converted to atmospheric pressure). Assuming that the vacuum chamber C is exhausted by a dry pump having an exhaust rate of 20000 L / min through a vacuum pipe having an inner diameter of 50 mm and a length of 2 m, the pressure in the vacuum chamber is about 160 Pa (about 1.2 Torr). . At this time, if the dimensions of the annular member 7026 and the annular groove of the differential evacuation mechanism are set as shown in FIG. 38, the pressure in the charged beam irradiation space 7030 is 10 −4 Pa (10 −6 To).
rr).

【0220】図39は、本発明の実施の形態10の荷電
ビーム装置7000を示す。ハウジング7014によっ
て画成された真空チャンバCには、真空配管7074、
7075を介してドライ真空ポンプ7053が接続され
ている。また、差動排気機構7025の環状溝7027
は排気口7028に接続された真空配管7070を介し
て超高真空ポンプであるターボ分子ポンプ7051が接
続される。更に、鏡筒7001の内部は、排気口701
8に接続された真空配管7071を介して、ターボ分子
ポンプ7052が接続される。これらのターボ分子ポン
プ7051、7052は、真空配管7072、7073
によってドライ真空ポンプ7053に接続される。
FIG. 39 shows a charged particle beam system 7000 according to the tenth embodiment of the present invention. The vacuum chamber C defined by the housing 7014 includes vacuum piping 7074,
A dry vacuum pump 7053 is connected via 7075. Also, the annular groove 7027 of the differential evacuation mechanism 7025
Is connected to a turbo molecular pump 7051 which is an ultra-high vacuum pump via a vacuum pipe 7070 connected to an exhaust port 7028. Further, the inside of the lens barrel 7001 has an exhaust port 701.
A turbo molecular pump 7052 is connected via a vacuum pipe 7071 connected to the No. 8 unit. These turbo molecular pumps 7051 and 7052 are provided with vacuum pipes 7072 and 7073.
Is connected to the dry vacuum pump 7053.

【0221】図39の荷電ビーム装置7000は、ター
ボ分子ポンプの粗引きポンプと真空チャンバの真空排気
用ポンプを1台のドライ真空ポンプで兼用するが、代わ
りにXYステージの静圧軸受けに供給する高圧ガスの流
量、真空チャンバの容積や内表面積、真空配管の内径や
長さに応じて、それらを別系統のドライ真空ポンプで排
気する場合も考えられる。
In the charged beam apparatus 7000 of FIG. 39, one dry vacuum pump serves as both the roughing pump of the turbo molecular pump and the vacuum exhaust pump of the vacuum chamber, but instead supplies to the static pressure bearings of the XY stage. Depending on the flow rate of the high-pressure gas, the volume and inner surface area of the vacuum chamber, and the inner diameter and length of the vacuum piping, it may be possible to exhaust them with a dry vacuum pump of another system.

【0222】XYステージ7003の静圧軸受けには、
フレキシブル配管7021、7022を通して高純度の
不活性ガス(N2ガス、Arガス等)が供給される。静
圧軸受けから噴出したこれらのガス分子は真空チャンバ
内に拡散し、排気口7019、7020a、7020b
を通してドライ真空ポンプ7053によって排気され
る。また、差動排気機構や荷電ビーム照射空間に侵入し
たこれらのガス分子は環状溝7027或いは鏡筒700
1の先端部から吸引され、排気口7028及び7018
を通ってターボ分子ポンプ7051及び7052によっ
て排気され、ターボ分子ポンプから排出された後ドライ
真空ポンプ7053によって排気される。
For the static pressure bearing of the XY stage 7003,
High-purity inert gas (N 2 gas, Ar gas, etc.) is supplied through the flexible pipes 7021 and 7022. These gas molecules ejected from the hydrostatic bearing diffuse into the vacuum chamber, and the exhaust ports 7019, 7020a, 7020b.
Through a dry vacuum pump 7053. Further, these gas molecules that have entered the differential evacuation mechanism and the charged beam irradiation space are annular groove 7027 or lens barrel 700.
1 is sucked from the tip end and the exhaust ports 7028 and 7018
Through the turbo molecular pumps 7051 and 7052, and after being discharged from the turbo molecular pump, it is exhausted by the dry vacuum pump 7053.

【0223】このように、静圧軸受けに供給された高純
度不活性ガスはドライ真空ポンプに集められて排出され
る。一方、ドライ真空ポンプ7053の排気口は、配管
7076を介して圧縮機7054に接続され、圧縮機7
054の排気口は配管7077、7078、7079及
びレギュレータ7061、7062を介してフレキシブ
ル配管7021、7022に接続されている。このた
め、ドライ真空ポンプ7053から排出された高純度不
活性ガスは、圧縮機7054によって再び加圧されレギ
ュレータ7061、7062で適正な圧力に調整された
後、再びXYテーブルの静圧軸受けに供給される。
As described above, the high-purity inert gas supplied to the static pressure bearing is collected by the dry vacuum pump and discharged. On the other hand, the exhaust port of the dry vacuum pump 7053 is connected to the compressor 7054 through the pipe 7076, and the compressor 7
The exhaust port of 054 is connected to flexible pipes 7021 and 7022 via pipes 7077, 7078 and 7079 and regulators 7061 and 7062. Therefore, the high-purity inert gas discharged from the dry vacuum pump 7053 is repressurized by the compressor 7054, adjusted to an appropriate pressure by the regulators 7061 and 7062, and then supplied to the static pressure bearing of the XY table again. It

【0224】静圧軸受けに供給されるガスは上述したよ
うにできるだけ高純度にし、水分や油分が極力含まれな
いようにする必要があるため、ターボ分子ポンプ、ドラ
イポンプ及び圧縮機は、ガス流路に水分や油分が混入し
ないような構造であることが求められる。また、圧縮機
の排出側配管7077の途中にコールドトラップやフィ
ルタ7060等を設け、循環するガス中に混入した水分
や油分等の不純物質をトラップして静圧軸受けに供給さ
れないようにすることも有効である。
As described above, the gas supplied to the hydrostatic bearing must be as pure as possible and contain as little water and oil as possible. Therefore, the turbo molecular pump, the dry pump and the compressor must have the same gas flow. It is required to have a structure that does not allow water or oil to enter the passage. Further, a cold trap, a filter 7060, or the like may be provided in the middle of the discharge side pipe 7077 of the compressor to trap impurities such as water and oil mixed in the circulating gas so as not to be supplied to the hydrostatic bearing. It is valid.

【0225】こうすることによって、高純度不活性ガス
を循環させて再利用できるので、高純度不活性ガスを節
約でき、また、本装置が設置された部屋に不活性ガスを
たれ流さないので、不活性ガスによる窒息等の事故が発
生する恐れもなくすことができる。
By doing so, the high-purity inert gas can be circulated and reused, so that the high-purity inert gas can be saved, and since the inert gas does not flow into the room in which this device is installed, It is also possible to eliminate the risk of accidents such as suffocation due to inert gas.

【0226】循環配管系には高純度不活性ガス供給源7
063が接続されており、ガスの循環を始める際に、真
空チャンバCや真空配管7070〜7075及び加圧側
配管7076〜7080を含む全ての循環系に高純度不
活性ガスを満たす役割と、何らかの原因で循環するガス
の流量が減少した際に不足分を供給する役割とを担って
いる。また、ドライ真空ポンプ7053に大気圧以上ま
で圧縮する機能を持たせることによって、ドライ真空ポ
ンプ7053と圧縮機7054を1台のポンプで兼ねさ
せることも可能である。鏡筒の排気に用いる超高真空ポ
ンプには、ターボ分子ポンプの代わりにイオンポンプや
ゲッタポンプ等のポンプを使用することも可能である。
ドライ真空ポンプの代わりに、ダイヤフラム式ドライポ
ンプ等、他方式のドライポンプを使用することも可能で
ある。
A high-purity inert gas supply source 7 is provided in the circulation piping system.
063 is connected, when starting gas circulation, the role of filling the high purity inert gas in all circulation systems including the vacuum chamber C, the vacuum pipes 7070 to 7075, and the pressure side pipes 7076 to 7080, and any cause It plays a role of supplying the shortage when the flow rate of the gas circulated in is decreased. Further, by providing the dry vacuum pump 7053 with a function of compressing to a pressure higher than the atmospheric pressure, the dry vacuum pump 7053 and the compressor 7054 can be combined into one pump. As the ultra-high vacuum pump used for exhausting the lens barrel, it is possible to use a pump such as an ion pump or a getter pump instead of the turbo molecular pump.
Instead of the dry vacuum pump, it is also possible to use another type of dry pump such as a diaphragm type dry pump.

【0227】図37乃至図39の荷電ビーム装置700
0は、次の効果を奏する。 (イ)大気中で一般に用いられる静圧軸受け式のステー
ジと同様の構造を持ったステージ(差動排気機構を持た
ない静圧軸受け支持のステージ)を使用して、ステージ
上の試料に対して荷電ビームによる処理を安定に行うこ
とができる。 (ロ)荷電ビーム照射領域の真空度に対する影響を最小
限に抑えることが可能になり、荷電ビームによる試料へ
の処理を安定化させることができる。 (ハ)ステージの位置決め性能が高精度で、かつ荷電ビ
ームの照射領域の真空度が安定した検査装置を安価に提
供することができる。 (ニ)ステージの位置決め性能が高精度で、かつ荷電ビ
ーム照射領域の真空度が安定した露光装置を安価に提供
することができる。 (ホ)ステージの位置決め性能が高精度で、かつ荷電ビ
ーム照射領域の真空度が安定した装置によって半導体を
製造することにより、微細な半導体回路を形成できる。
The charged particle beam system 700 shown in FIGS. 37 to 39.
0 has the following effect. (B) Using a stage with a structure similar to that of a static pressure bearing type generally used in the atmosphere (a stage of static pressure bearing support that does not have a differential pumping mechanism) for the sample on the stage. The treatment with the charged beam can be performed stably. (B) It is possible to minimize the influence of the charged beam irradiation region on the degree of vacuum, and it is possible to stabilize the treatment of the sample with the charged beam. (C) It is possible to inexpensively provide an inspection apparatus having a highly accurate stage positioning performance and a stable vacuum degree in a charged beam irradiation region. (D) It is possible to inexpensively provide an exposure apparatus that has a highly accurate stage positioning performance and a stable vacuum degree in a charged beam irradiation region. (E) A fine semiconductor circuit can be formed by manufacturing a semiconductor with an apparatus in which the stage positioning performance is highly accurate and the degree of vacuum in the charged beam irradiation region is stable.

【0228】上記光学系では、単一の電子銃からの電子
線をマルチ開口を通すことによってマルチビームとした
場合について述べたが、電子銃を複数設けたり、電子銃
は1個であるがカソードのエミッション領域を複数個と
することもできる。
In the above optical system, the case where an electron beam from a single electron gun is made into a multi-beam by passing through a multi-aperture has been described. However, a plurality of electron guns may be provided or only one electron gun may be used as a cathode. It is also possible to have a plurality of emission regions of.

【0229】図40は図25の実施の形態の場合での走
査視野の平面図である。光軸から等距離の円上に視野の
中心を持つ走査視野8002×4によって、y方向への
ステージスキャン2回を行なうことによって即ち800
3と8004の領域のパターン評価を行なう。例えば8
002の視野のx方向寸法が100μmだとすると、2
回のステージスキャンによって、800μm幅の領域の
評価が行なえる。ここで円周8001上の走査視野の中
心の決め方は、θを図のように取ると、2Sinθ=C
osθ−Sinθを満たすθの値とすればよい。
FIG. 40 is a plan view of the scanning visual field in the case of the embodiment of FIG. By performing two stage scans in the y direction by a scanning visual field 8002 × 4 having a visual field center on a circle equidistant from the optical axis, ie 800
The patterns of the areas 3 and 8004 are evaluated. Eg 8
If the x-direction dimension of the field of view of 002 is 100 μm, 2
An area having a width of 800 μm can be evaluated by performing stage scanning once. Here, the method of determining the center of the scanning visual field on the circumference 8001 is 2Sinθ = C, where θ is as shown in the figure.
The value of θ that satisfies osθ−Sinθ may be set.

【0230】図41は図34の対物レンズ4407に関
するシュミレーションモデルである。符号8021は光
軸、8022は対物レンズ8007の上部電極で0V
(ボルト)、8023は高電圧が印加される対物レンズ
の中央電極、24はアース電圧とされる対物レンズの下
部電極であり、試料面25は、−4000Vとした。8
026、8027、8028は、電極を保持する絶縁物
スペーサを示す。縮小レンズ8005が作るクロスオー
バの位置を種々変化させるとともに、対物レンズの中央
電極を変化させて、z=0mmにあるマルチビームの像
を試料面8025に合焦させ、そのときに生じる収差を
計算した。
FIG. 41 is a simulation model regarding the objective lens 4407 of FIG. Reference numeral 8021 is an optical axis, and 8022 is an upper electrode of the objective lens 8007, which is 0 V.
(Volts), 8023 is a central electrode of the objective lens to which a high voltage is applied, 24 is a lower electrode of the objective lens to be a ground voltage, and the sample surface 25 is -4000V. 8
Reference numerals 026, 8027, and 8028 denote insulator spacers holding the electrodes. The position of the crossover created by the reduction lens 8005 is variously changed, and the center electrode of the objective lens is changed to focus the multi-beam image at z = 0 mm on the sample surface 8025, and the aberrations generated at that time are calculated. did.

【0231】図42は、上記シミュレーションの結果を
示グラフである。図42は、変化させたクロスオーバ位
置(mm)を横軸とし、それに対応して生じた収差の値
を縦軸に示す。中央電極8023(図41)の上面は、
z=144mmとした。またマルチビームのr位置は5
0μm、開口半角は5mradとした。
FIG. 42 is a graph showing the result of the above simulation. In FIG. 42, the changed crossover position (mm) is plotted on the abscissa, and the value of the aberration caused correspondingly is plotted on the ordinate. The upper surface of the central electrode 8023 (FIG. 41) is
It was set to z = 144 mm. Also, the r position of the multi-beam is 5
The opening half angle was 0 μm and the opening angle was 5 mrad.

【0232】図42のグラフにおいて、曲線8031は
コマ収差、8032は倍率色収差、8033は非点収
差、8034は軸上色収差、8035は像面湾曲、80
36は歪、8037はボケである。マルチビームが光軸
を中心とする円周上にある場合は、像面湾曲8035は
0であるからボケ8037は、実質的に倍率色収差80
32と軸上色収差8034で決る。ここで電子銃のエネ
ルギー幅は5eVとした。クロスオーバ位置を140m
mとした時、倍率色収差8032はほぼ問題ない値に小
さくなっている。即ち、このシミュレーションによれ
ば、前段レンズが作るクロスオーバ位置を、対物レンズ
中央電極位置(144mm)よりも電子銃側に形成する
様にすれば良いことがわかる。
In the graph of FIG. 42, a curve 8031 is a coma aberration, 8032 is a chromatic aberration of magnification, 8033 is an astigmatism, 8034 is an axial chromatic aberration, 8035 is a field curvature, and 80
36 is distortion and 8037 is blurring. When the multi-beams are on the circumference centered on the optical axis, the field curvature 8035 is 0, so the blur 8037 is substantially a magnification chromatic aberration 80.
32 and the axial chromatic aberration 8034. Here, the energy width of the electron gun was 5 eV. Crossover position 140m
When m is set, the chromatic aberration of magnification 8032 is reduced to a value that causes almost no problem. That is, according to this simulation, it is understood that the crossover position formed by the front lens should be formed closer to the electron gun than the objective lens center electrode position (144 mm).

【図面の簡単な説明】[Brief description of drawings]

【図1】 本発明の実施の形態1の検査装置の主要構成
要素を示す立面図であって、図2の線A−Aに沿って見
た図である。
FIG. 1 is an elevational view showing main components of an inspection device according to a first embodiment of the present invention, which is a view taken along line AA of FIG.

【図2】 図1に示す検査装置の主要構成要素の平面図
であって、図1の線B−Bに沿って見た図である。
2 is a plan view of main components of the inspection apparatus shown in FIG. 1, which is a view taken along line BB in FIG. 1. FIG.

【図3】 図1のミニエンバイロメント装置の線C−C
に沿に沿う断面図である。
3 is a line CC of the mini-environment device of FIG.
FIG.

【図4】 別の形式のミニエンバイロメント装置の側面
図である。
FIG. 4 is a side view of another type of mini-environment device.

【図5】 図1のローダハウジングを示す図であって、
図1の線D−Dに沿って見た図である。
5 is a diagram showing the loader housing of FIG.
It is the figure seen along the line D-D of FIG.

【図6】 ウェーハラックの拡大図であって、Aは側面
図で、BはAの線E−Eに沿って見た断面図である。
FIG. 6 is an enlarged view of the wafer rack, where A is a side view and B is a cross-sectional view taken along the line EE of A.

【図7】 A及びBは、それぞれ主ハウジングの支持方
法の第1及び第2の変形例を示す図である。
7A and 7B are diagrams showing first and second modified examples of the method of supporting the main housing, respectively.

【図8】 図1の検査装置に用いられる本発明の実施の
形態1の電子光学装置の概略構成を示す配置図である。
8 is a layout diagram showing a schematic configuration of the electron optical device according to the first embodiment of the present invention used in the inspection device in FIG. 1. FIG.

【図9】 図8の電子光学装置の一次光学系に使用され
ているマルチ開口板の開口の位置関係を示す図である。
9 is a diagram showing a positional relationship of apertures of a multi-aperture plate used in the primary optical system of the electron optical device of FIG.

【図10】 電位印加機構を示す図である。FIG. 10 is a diagram showing a potential applying mechanism.

【図11】 電子ビームキャリブレーション機構を説明
する図であって、Aは側面図であり、Bは平面図であ
る。
FIG. 11 is a diagram illustrating an electron beam calibration mechanism, where A is a side view and B is a plan view.

【図12】 ウェーハのアライメント制御装置の概略説
明図である。
FIG. 12 is a schematic explanatory diagram of a wafer alignment control device.

【図13】 本発明による半導体デバイスの製造方法の
一実施の形態を示すフローチャートである。
FIG. 13 is a flowchart showing an embodiment of a method for manufacturing a semiconductor device according to the present invention.

【図14】 図13のウェーハプロセッシング工程の中
核をなすリソグラフィー工程を示すフローチャートであ
る。
FIG. 14 is a flowchart showing a lithography process which is the core of the wafer processing process of FIG.

【図15】 本発明の実施の形態1の二次電子収集構造
を示す拡大図。
FIG. 15 is an enlarged view showing the secondary electron collecting structure according to the first embodiment of the present invention.

【図16】 本発明の実施の形態1の二次電子検出の様
子を示した図。
FIG. 16 is a diagram showing a state of secondary electron detection according to the first embodiment of the present invention.

【図17】 Aは、マルチエミッタの側面図、Bは平面
図。
FIG. 17A is a side view of the multi-emitter, and B is a plan view.

【図18】 従来の荷電ビーム装置の真空チャンバ及び
XYステージを示す図であって、Aは正面図、Bは側面
図である。
FIG. 18 is a view showing a vacuum chamber and an XY stage of a conventional charged particle beam system, where A is a front view and B is a side view.

【図19】 図18のXYステージに使用される排気機
構の概略斜視図である。
19 is a schematic perspective view of an exhaust mechanism used in the XY stage of FIG.

【図20】 A及びBはそれぞれ、本発明の実施の形態
2の荷電ビーム装置の真空チャンバ及びXYステージを
示す正面図及び側面図である。
20A and 20B are respectively a front view and a side view showing a vacuum chamber and an XY stage of a charged particle beam system according to a second embodiment of the present invention.

【図21】 本発明の実施の形態3の荷電ビーム装置の
真空チャンバ及びXYステージを示す断面図である。
FIG. 21 is a sectional view showing a vacuum chamber and an XY stage of a charged particle beam system according to a third embodiment of the present invention.

【図22】 本発明の実施の形態4の荷電ビーム装置の
真空チャンバ及びXYステージを示す断面図である。
FIG. 22 is a sectional view showing a vacuum chamber and an XY stage of the charged particle beam system according to the fourth embodiment of the present invention.

【図23】 本発明の実施の形態5の荷電ビーム装置の
真空チャンバ及びXYステージを示す図である。
FIG. 23 is a diagram showing a vacuum chamber and an XY stage of the charged particle beam system according to the fifth embodiment of the present invention.

【図24】 本発明の実施の形態6の荷電ビーム装置の
真空チャンバ及びXYステージを示す図である。
FIG. 24 is a diagram showing a vacuum chamber and an XY stage of the charged particle beam system according to the sixth embodiment of the present invention.

【図25】 本発明の第7の実施の形態の光学系及び検
出系を示す概略配置図である。
FIG. 25 is a schematic layout diagram showing an optical system and a detection system according to a seventh embodiment of the present invention.

【図26】 本発明の実施の形態8の欠陥検査装置の概
略構成図である。
FIG. 26 is a schematic configuration diagram of a defect inspection device according to an eighth embodiment of the present invention.

【図27】 図26の欠陥検査装置で取得される複数の
被検査画像及び基準画像の例を示す図である。
27 is a diagram showing an example of a plurality of inspected images and reference images acquired by the defect inspection apparatus of FIG.

【図28】 図26の欠陥検査装置によるウェーハ検査
のメインルーチンの流れを示すフローチャートである。
28 is a flowchart showing the flow of a main routine of wafer inspection by the defect inspection apparatus of FIG.

【図29】 図28のフローチャートの複数の被検査画
像データ取得工程(ステップ3304)のサブルーチン
の詳細な流れを示すフローチャートである。
29 is a flowchart showing a detailed flow of a subroutine of a plurality of inspection image data acquisition steps (step 3304) in the flowchart of FIG. 28.

【図30】 図28における比較工程(ステップ330
8)のサブルーチンの詳細な流れを示すフローチャート
である。
FIG. 30 is a comparison step (step 330 in FIG. 28).
It is a flow chart which shows the detailed flow of the subroutine of 8).

【図31】 対物レンズの別の実施の形態を示す概略図
である。
FIG. 31 is a schematic view showing another embodiment of the objective lens.

【図32】 半導体ウェーハの表面上で部分的に重なり
合いながら互いから位置がずらされた複数の被検査領域
を概念的に示す図である。
FIG. 32 is a diagram conceptually showing a plurality of regions to be inspected that are displaced from each other while partially overlapping each other on the surface of a semiconductor wafer.

【図33】 A及びBはそれぞれ開口の配置を示す平面
図である。
33A and 33B are plan views showing the arrangement of openings.

【図34】 対物レンズに電圧を印加する構造を示す配
置図である。
FIG. 34 is a layout diagram showing a structure for applying a voltage to an objective lens.

【図35】 Aは対物レンズに印加する電圧と二次電子
信号の立上り幅との関係を示すグラフであり、Bは二次
電子信号の立上り幅を説明するためのグラフである。
FIG. 35A is a graph showing the relationship between the voltage applied to the objective lens and the rising width of the secondary electron signal, and B is a graph for explaining the rising width of the secondary electron signal.

【図36】 Aはチャージアップの評価場所と評価方法
を説明する図であり、Bは信号強度のコントラストを比
較するための図である。
FIG. 36 is a diagram for explaining a charge-up evaluation place and an evaluation method, and B is a diagram for comparing contrasts of signal intensities.

【図37】 本発明の実施の形態9の荷電ビーム装置の
真空チャンバ及びXYステージを示す断面図である。
FIG. 37 is a sectional view showing a vacuum chamber and an XY stage of a charged particle beam system according to a ninth embodiment of the present invention.

【図38】 図37の荷電ビーム装置に設けた作動排気
機構の1例を示す図である。
FIG. 38 is a diagram showing an example of an operating exhaust mechanism provided in the charged particle beam system of FIG. 37.

【図39】 図37の荷電ビーム装置のガスの循環配管
系を示す図である。
39 is a diagram showing a gas circulation piping system of the charged particle beam system of FIG. 37. FIG.

【図40】 図25の電子線装置で用いられる走査視野
の平面図である。
FIG. 40 is a plan view of a scanning visual field used in the electron beam apparatus of FIG. 25.

【図41】 本発明の電子線装置の対物レンズのシュミ
レーション用モデルを示す図である。
FIG. 41 is a diagram showing a model for simulating an objective lens of the electron beam apparatus of the present invention.

【図42】 図41のシュミレーションにおける結果を
示すグラフである。
42 is a graph showing the results of the simulation of FIG. 41.

【図43】 検査の手順を示す検査フロー図である。FIG. 43 is an inspection flow chart showing an inspection procedure.

─────────────────────────────────────────────────────
─────────────────────────────────────────────────── ───

【手続補正書】[Procedure amendment]

【提出日】平成13年12月10日(2001.12.
10)
[Submission date] December 10, 2001 (2001.12.
10)

【手続補正1】[Procedure Amendment 1]

【補正対象書類名】図面[Document name to be corrected] Drawing

【補正対象項目名】図8[Correction target item name] Figure 8

【補正方法】変更[Correction method] Change

【補正内容】[Correction content]

【図8】 [Figure 8]

【手続補正2】[Procedure Amendment 2]

【補正対象書類名】図面[Document name to be corrected] Drawing

【補正対象項目名】図9[Correction target item name] Figure 9

【補正方法】変更[Correction method] Change

【補正内容】[Correction content]

【図9】 [Figure 9]

【手続補正3】[Procedure 3]

【補正対象書類名】図面[Document name to be corrected] Drawing

【補正対象項目名】図15[Correction target item name] Figure 15

【補正方法】変更[Correction method] Change

【補正内容】[Correction content]

【図15】 FIG. 15

【手続補正4】[Procedure amendment 4]

【補正対象書類名】図面[Document name to be corrected] Drawing

【補正対象項目名】図16[Correction target item name] Fig. 16

【補正方法】変更[Correction method] Change

【補正内容】[Correction content]

【図16】 FIG. 16

【手続補正5】[Procedure Amendment 5]

【補正対象書類名】図面[Document name to be corrected] Drawing

【補正対象項目名】図17[Name of item to be corrected] Fig. 17

【補正方法】変更[Correction method] Change

【補正内容】[Correction content]

【図17】 FIG. 17

【手続補正6】[Procedure correction 6]

【補正対象書類名】図面[Document name to be corrected] Drawing

【補正対象項目名】図25[Correction target item name] Fig. 25

【補正方法】変更[Correction method] Change

【補正内容】[Correction content]

【図25】 FIG. 25

【手続補正7】[Procedure Amendment 7]

【補正対象書類名】図面[Document name to be corrected] Drawing

【補正対象項目名】図31[Correction target item name] Fig. 31

【補正方法】変更[Correction method] Change

【補正内容】[Correction content]

【図31】 FIG. 31

【手続補正8】[Procedure Amendment 8]

【補正対象書類名】図面[Document name to be corrected] Drawing

【補正対象項目名】図40[Name of item to be corrected] Fig. 40

【補正方法】変更[Correction method] Change

【補正内容】[Correction content]

【図40】 FIG. 40

───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01J 37/29 H01J 37/29 H01L 21/66 H01L 21/66 J (72)発明者 佐竹 徹 東京都大田区羽田旭町11番1号 株式会社 荏原製作所内 Fターム(参考) 2G001 AA03 BA07 CA03 DA06 EA05 GA06 GA09 HA13 KA03 KA20 LA11 MA05 PA07 QA02 4M106 AA01 BA02 CA39 CA41 DB05 5C033 FF03 FF08 NP06 UU02 UU04─────────────────────────────────────────────────── ─── Continuation of front page (51) Int.Cl. 7 Identification code FI theme code (reference) H01J 37/29 H01J 37/29 H01L 21/66 H01L 21/66 J (72) Inventor Toru Satake Ota, Tokyo 1-11 Haneda-cho, Asahi-machi, Ebara Corporation F-term (reference) 2G001 AA03 BA07 CA03 DA06 EA05 GA06 GA09 HA13 KA03 KA20 LA11 MA05 PA07 QA02 4M106 AA01 BA02 CA39 CA41 DB05 5C033 FF03 FF08 NP06 UU02 UU04

Claims (16)

【特許請求の範囲】[Claims] 【請求項1】 対物レンズを有し、光軸の回りに複数個
の電子線を照射、走査し、試料から放出される二次電子
をE×B分離器で一次ビームから分離した後に複数の二
次電子検出器で検出する電子光学系を備えた電子線装置
であって、真空雰囲気に制御可能なワーキングチャンバ
と、試料を電子線に対して相対移動可能なステージとを
有し、検出した二次電子信号から試料面の画像を形成す
るようになされていることを特徴とする電子線装置。
1. An objective lens is provided to irradiate and scan a plurality of electron beams around an optical axis to separate secondary electrons emitted from a sample from a primary beam by an E × B separator, and then a plurality of secondary electrons are separated. An electron beam apparatus having an electron optical system for detecting with a secondary electron detector, having a working chamber controllable in a vacuum atmosphere and a stage capable of moving a sample relative to an electron beam for detection. An electron beam apparatus, which is configured to form an image of a sample surface from a secondary electron signal.
【請求項2】 ワーキングチャンバを有し、光軸から一
定距離以上離れた領域を電磁偏向器を通過する電子線ビ
ームで走査し、ステージ上の試料から放出された二次電
子を対物レンズで加速し、且つ、光軸から離れた位置に
設けた二次電子検出器で検出し、検出した二次電子信号
から試料面の画像を形成するようになされていることを
特徴とする電子線装置。
2. A working chamber is provided, and an area apart from a certain distance from the optical axis is scanned by an electron beam passing through an electromagnetic deflector, and secondary electrons emitted from a sample on a stage are accelerated by an objective lens. The electron beam apparatus is characterized in that it is detected by a secondary electron detector provided at a position away from the optical axis, and an image of the sample surface is formed from the detected secondary electron signal.
【請求項3】 請求項2の電子線装置において、上記走
査領域は複数領域とし、上記二次電子検出器も複数個設
けられることを特徴とする電子線装置。
3. The electron beam apparatus according to claim 2, wherein the scanning area is a plurality of areas, and a plurality of the secondary electron detectors are also provided.
【請求項4】 請求項1又は2の電子線装置において、
電子線照射は上記対物レンズを通して行われ、上記対物
レンズの回りに差動排気構造を有することを特徴とする
電子線装置。
4. The electron beam apparatus according to claim 1 or 2,
Electron beam irradiation is performed through the objective lens, and an electron beam apparatus having a differential exhaust structure around the objective lens.
【請求項5】 請求項1又は2の電子線装置において、
上記ステージは静圧軸受けを有し、上記ワーキングチャ
ンバは低真空の真空に保持されていることを特徴とする
電子線装置。
5. The electron beam apparatus according to claim 1 or 2,
An electron beam apparatus, wherein the stage has a hydrostatic bearing, and the working chamber is held in a low vacuum.
【請求項6】 請求項2の装置の電子線装置において、
上記対物レンズは、レンズギャップが試料側に設けられ
た電磁レンズであり、上記電磁レンズと試料間に電圧を
印加可能な円板状電極が設けられていることを特徴とす
る電子線装置。
6. The electron beam apparatus of the apparatus according to claim 2,
The electron beam apparatus, wherein the objective lens is an electromagnetic lens having a lens gap provided on the sample side, and a disk-shaped electrode capable of applying a voltage is provided between the electromagnetic lens and the sample.
【請求項7】 請求項1又は2の電子線装置において、
試料への合焦条件を求める時、少なくとも3つの対物レ
ンズ励起条件で、パターンエッジに直角にビームを走査
した時の信号の立上りをそれぞれ測定し、それらの3点
の測定結果から二次曲線を求める工程を有することを特
徴とする電子線装置。
7. The electron beam apparatus according to claim 1 or 2,
When determining the focusing condition for the sample, the rise of the signal when the beam is scanned at a right angle to the pattern edge is measured under at least three objective lens excitation conditions, and a quadratic curve is obtained from the measurement results of these three points. An electron beam apparatus comprising the steps of obtaining.
【請求項8】 請求項1又は2の電子線装置において、
試料上のパターン密度が大きく変化する境界付近の画像
形成を行い、その画像の歪みあるいはコントラストの劣
化から試料の帯電を評価するようになされていることを
特徴とする電子線装置。
8. The electron beam apparatus according to claim 1 or 2,
An electron beam apparatus characterized in that an image is formed in the vicinity of a boundary on which a pattern density on a sample greatly changes, and the charge of the sample is evaluated based on distortion of the image or deterioration of contrast.
【請求項9】 請求項3の電子線装置において、上記複
数の走査領域の中心を一軸方向へ投影したときの該中心
どうしの相隣る距離が等距離であることを特徴とする電
子線装置。
9. The electron beam apparatus according to claim 3, wherein when the centers of the plurality of scanning regions are projected in the uniaxial direction, adjacent centers of the centers are equal distances. .
【請求項10】 請求項1又は2の電子線装置におい
て、上記対物レンズの電子銃側に2段の偏向器が設けら
れており、試料上を走査する時に偏向色収差が最小にな
るよう偏向中心が決定されていることを特徴とする電子
線装置。
10. The electron beam apparatus according to claim 1 or 2, wherein a two-stage deflector is provided on the electron gun side of the objective lens, and a deflection center is set so that deflection chromatic aberration is minimized when scanning on a sample. An electron beam device characterized in that
【請求項11】 請求項1又は2の電子線装置におい
て、上記対物レンズの電子銃側にコンデンサレンズが設
けられており、上記コンデンサレンズによる光源像のZ
方向位置が、コマ収差と非点収差との合計が最小になる
条件にて決定されていることを特徴とする電子線装置。
11. The electron beam apparatus according to claim 1, wherein a condenser lens is provided on the electron gun side of the objective lens, and a Z of a light source image by the condenser lens is provided.
An electron beam apparatus, wherein the directional position is determined under the condition that the sum of coma and astigmatism is minimized.
【請求項12】 請求項1又は2の電子線装置におい
て、上記試料に最も近い電極に与える電圧を、試料面で
放電が生じない電圧か、あるいは、軸上色収差が必要な
値以下となる電圧に切り替え可能となされていることを
特徴とする電子線装置。
12. The electron beam apparatus according to claim 1, wherein the voltage applied to the electrode closest to the sample is a voltage at which discharge does not occur on the sample surface, or a voltage at which axial chromatic aberration is a required value or less. An electron beam device characterized in that it can be switched to.
【請求項13】 請求項1又は3の電子線装置におい
て、それぞれのビーム又は走査領域の中心が円周上にあ
り、該ビーム又は中心を一軸方向へ投影したときに相隣
るものどうしの距離が等しく、且つ、それぞれのビーム
間距離又は走査領域の中心間距離を絶対値でみたときに
おいて、該距離の最大値が4ヶ所であることを特徴とす
る電子線装置。
13. The electron beam apparatus according to claim 1, wherein the center of each beam or scanning region is on a circumference, and when the beam or center is projected in a uniaxial direction, the distance between adjacent ones. Are equal to each other, and the maximum values of the distances between the beams or the distances between the centers of the scanning regions are 4 when the absolute values are observed.
【請求項14】 請求項1又は2の電子線装置におい
て、二次電子を収集する構造が、円周上あるいは楕円周
上に設けた、円形あるいは長円形の二次電子通過穴をビ
ーム数だけ有することを特徴とする電子線装置。
14. The electron beam apparatus according to claim 1, wherein the structure for collecting secondary electrons has a circular or elliptical secondary electron passage hole provided on a circumference or an ellipse for the number of beams. An electron beam apparatus characterized by having.
【請求項15】 請求項1又は2の電子線装置におい
て、一つのビームの走査幅は、ビームあるいは走査領域
の中心間距離の1/整数であることを特徴とする電子線
装置。
15. The electron beam apparatus according to claim 1 or 2, wherein the scanning width of one beam is 1 / integer of the center-to-center distance of the beam or the scanning region.
【請求項16】 請求項1ないし15のいずれかの電子
線装置を用いてプロセス途中のウェーハの評価を行なう
ことを特徴とするデバイス製造方法。
16. A device manufacturing method, characterized in that a wafer in process is evaluated using the electron beam apparatus according to claim 1.
JP2001371201A 2001-12-05 2001-12-05 Electron beam device and manufacturing method of device using the same Withdrawn JP2003173756A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2001371201A JP2003173756A (en) 2001-12-05 2001-12-05 Electron beam device and manufacturing method of device using the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001371201A JP2003173756A (en) 2001-12-05 2001-12-05 Electron beam device and manufacturing method of device using the same

Publications (1)

Publication Number Publication Date
JP2003173756A true JP2003173756A (en) 2003-06-20

Family

ID=19180280

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001371201A Withdrawn JP2003173756A (en) 2001-12-05 2001-12-05 Electron beam device and manufacturing method of device using the same

Country Status (1)

Country Link
JP (1) JP2003173756A (en)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005069345A1 (en) * 2004-01-08 2005-07-28 Ebara Corporation Electron beam device
JP2006208367A (en) * 2004-12-27 2006-08-10 Hitachi High-Technologies Corp Method and device for inspecting pattern
WO2006093268A1 (en) * 2005-03-03 2006-09-08 Ebara Corporation Projection electron beam apparatus and defect inspection system using the apparatus
JP2006244875A (en) * 2005-03-03 2006-09-14 Ebara Corp Mapping projection type electron beam device and defect inspection system using the same
JP2007080698A (en) * 2005-09-15 2007-03-29 Fuji Electric Holdings Co Ltd Sample analyzer
JP2007165210A (en) * 2005-12-16 2007-06-28 Topcon Corp Charged particle beam device
JP2009110971A (en) * 2006-07-26 2009-05-21 Mamoru Nakasuji Electron beam device
JP2009135108A (en) * 2009-02-03 2009-06-18 Toshiba Corp Mapping projection type electron beam device and defect inspecting system using the same
JP2009300232A (en) * 2008-06-12 2009-12-24 Shimadzu Corp Mapping analysis device
US7863580B2 (en) 2006-06-13 2011-01-04 Ebara Corporation Electron beam apparatus and an aberration correction optical apparatus
US8067732B2 (en) 2005-07-26 2011-11-29 Ebara Corporation Electron beam apparatus
JP2015062200A (en) * 2009-03-12 2015-04-02 株式会社荏原製作所 Sample observation method, and sample inspection method
US9966227B2 (en) 2008-04-11 2018-05-08 Ebara Corporation Specimen observation method and device using secondary emission electron and mirror electron detection

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005069345A1 (en) * 2004-01-08 2005-07-28 Ebara Corporation Electron beam device
JP4719569B2 (en) * 2004-12-27 2011-07-06 株式会社日立ハイテクノロジーズ Pattern inspection method and inspection apparatus
JP2006208367A (en) * 2004-12-27 2006-08-10 Hitachi High-Technologies Corp Method and device for inspecting pattern
WO2006093268A1 (en) * 2005-03-03 2006-09-08 Ebara Corporation Projection electron beam apparatus and defect inspection system using the apparatus
JP2006244875A (en) * 2005-03-03 2006-09-14 Ebara Corp Mapping projection type electron beam device and defect inspection system using the same
US8035082B2 (en) 2005-03-03 2011-10-11 Kabushiki Kaisha Toshiba Projection electron beam apparatus and defect inspection system using the apparatus
US8067732B2 (en) 2005-07-26 2011-11-29 Ebara Corporation Electron beam apparatus
JP2007080698A (en) * 2005-09-15 2007-03-29 Fuji Electric Holdings Co Ltd Sample analyzer
JP2007165210A (en) * 2005-12-16 2007-06-28 Topcon Corp Charged particle beam device
US7863580B2 (en) 2006-06-13 2011-01-04 Ebara Corporation Electron beam apparatus and an aberration correction optical apparatus
JP2009110971A (en) * 2006-07-26 2009-05-21 Mamoru Nakasuji Electron beam device
US9966227B2 (en) 2008-04-11 2018-05-08 Ebara Corporation Specimen observation method and device using secondary emission electron and mirror electron detection
JP2009300232A (en) * 2008-06-12 2009-12-24 Shimadzu Corp Mapping analysis device
JP2009135108A (en) * 2009-02-03 2009-06-18 Toshiba Corp Mapping projection type electron beam device and defect inspecting system using the same
JP2015062200A (en) * 2009-03-12 2015-04-02 株式会社荏原製作所 Sample observation method, and sample inspection method
JP2016189335A (en) * 2009-03-12 2016-11-04 株式会社荏原製作所 Specimen observation method and device

Similar Documents

Publication Publication Date Title
US9368314B2 (en) Inspection system by charged particle beam and method of manufacturing devices using the system
US7223973B2 (en) Apparatus for inspection with electron beam, method for operating same, and method for manufacturing semiconductor device using former
US7601972B2 (en) Inspection system by charged particle beam and method of manufacturing devices using the system
US6593152B2 (en) Electron beam apparatus and method of manufacturing semiconductor device using the apparatus
US20070272859A1 (en) Electron beam apparatus and device production method using the electron beam apparatus
JP2003173756A (en) Electron beam device and manufacturing method of device using the same
JP2006153871A (en) Substrate inspection method, substrate inspecting device, and electron beam system
JP4221428B2 (en) Inspection apparatus using charged particle beam and device manufacturing method using the inspection apparatus
JP2008193119A (en) Inspection system by charged particle beam and method of manufacturing devices using system
JP4224089B2 (en) Imaging apparatus, defect inspection apparatus, defect inspection method, and electron beam inspection apparatus
JP3890015B2 (en) Inspection apparatus using charged particle beam and device manufacturing method using the inspection apparatus
JP3896080B2 (en) Electron beam apparatus and semiconductor device manufacturing method using the apparatus
JPWO2002040980A1 (en) Substrate inspection method, substrate inspection device, and electron beam device

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20050301