JP2002299318A - Processing method - Google Patents

Processing method

Info

Publication number
JP2002299318A
JP2002299318A JP2001095517A JP2001095517A JP2002299318A JP 2002299318 A JP2002299318 A JP 2002299318A JP 2001095517 A JP2001095517 A JP 2001095517A JP 2001095517 A JP2001095517 A JP 2001095517A JP 2002299318 A JP2002299318 A JP 2002299318A
Authority
JP
Japan
Prior art keywords
film
hydrogen
base material
nitrogen
material layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001095517A
Other languages
Japanese (ja)
Other versions
JP3862965B2 (en
Inventor
Zuisen Ezaki
瑞仙 江崎
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2001095517A priority Critical patent/JP3862965B2/en
Publication of JP2002299318A publication Critical patent/JP2002299318A/en
Application granted granted Critical
Publication of JP3862965B2 publication Critical patent/JP3862965B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Electron Beam Exposure (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Lasers (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a processing method which can suppress microloading effects and improve CD controllability, without using any dummy pattern, etc., by increasing the volatility of a reaction product obtained, when a base material layer is etched. SOLUTION: When nitrogen, hydrogen, or fluorine is introduced into the base material layer by ion implantion method, etc., the implantation is substantially limited to a specified range along the depth and then a work is exposed to excited oxygen to precisely etch only the specific range.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、加工方法に関し、
より具体的には、半導体素子や各種光学素子などの作製
に適用できる微細パターンや、光、荷電ビーム、X線等
の各種ビーム露光に好適なマスクなどを形成したり、ま
た、半導体レーザなどにおける電流狭窄構造などを選択
酸化により形成するための、加工方法に関する。
TECHNICAL FIELD The present invention relates to a processing method,
More specifically, a fine pattern applicable to the production of a semiconductor element or various optical elements, or a light, a charged beam, or a mask suitable for various beam exposures such as X-rays, or a semiconductor laser or the like The present invention relates to a processing method for forming a current confinement structure or the like by selective oxidation.

【0002】[0002]

【従来の技術】電子デバイスや光デバイス等の各種のデ
バイスの高性能化、高機能化を図る上で、各種の電子材
料や光学材料膜の微細パターン形成のためのプロセス技
術の重要牲は、年々高まっている。特に、リソグラフィ
法によりレジストパターンを形成し、これをマスクとし
て下地材料をエッチングする微細加工技術は、最重要技
術の1つである。
2. Description of the Related Art In order to improve the performance and function of various devices such as electronic devices and optical devices, the importance of process technology for forming fine patterns of various electronic materials and optical material films is important. It is growing year by year. In particular, a microfabrication technique in which a resist pattern is formed by a lithography method and a base material is etched using the resist pattern as a mask is one of the most important techniques.

【0003】パターンの微細化に加えて、高精度な寸法
制御や形状制御の要求に対応するため、従来のウェット
エッチングからドライエッチングへ技術が移行してお
り、特にプラズマを用いたドライエッチング法は、現
在、微細加工技術の中心的な役割を果たしている。
[0003] In order to respond to demands for high-precision dimensional control and shape control in addition to pattern miniaturization, the technology has shifted from conventional wet etching to dry etching. Currently, it plays a central role in microfabrication technology.

【0004】そうしたドライエッチング方法による微細
パターン形成においては、パターン密度の違いに起因し
てマイクロローディング効果が発生しやすく、パターン
寸法の均一性を劣化させるという問題が生じている。こ
こで、「マイクロローディング効果」とは、密度の大き
なパターンと密度の小さなパターンとを同時にエッチン
グする場合に、エッチングのされ方が場所毎に異なるた
めに、エッチング反応生成物の発生量に粗密が発生し、
揮発性の低いエッチング反応生成物が多量に対流するこ
とによってエッチングレートが不均一になる現象をい
う。
In the formation of a fine pattern by such a dry etching method, there is a problem that a microloading effect is easily generated due to a difference in pattern density, and the uniformity of pattern dimensions is deteriorated. Here, the “microloading effect” means that when a pattern with a high density and a pattern with a low density are simultaneously etched, the etching method differs from place to place, so that the amount of etching reaction product generated varies depending on the density. Occurs
A phenomenon in which an etching rate becomes non-uniform due to a large amount of convection of an etching reaction product having low volatility.

【0005】マイクロローディング効果を抑制するた
め、高精度な寸法制御が必要とされるリソグラフィ用の
フォトマスクや各種シリコン系デバイスあるいは半導体
集積回路等の作製においては、ダミーパターンを回路パ
ターンの非形成領域、或いは回路、或いはパターン領域
内に配置する方策が採られている。
In the production of photomasks for lithography, various silicon-based devices, semiconductor integrated circuits, and the like, for which high-precision dimensional control is required in order to suppress the microloading effect, dummy patterns are formed in areas where circuit patterns are not formed. Alternatively, a measure of arranging in a circuit or pattern area is adopted.

【0006】また、プラズマ・ドライエッチングでは、
高密度プラズマによるデバイス表面層の損傷や組成変
化、コンタミネーション(汚染)が問題となり、いかに
低損傷化を図るかも重要な課題の1つとなっている。
[0006] In plasma dry etching,
Problems such as damage to the device surface layer due to high-density plasma, changes in composition, and contamination (contamination) have become problems, and how to reduce damage is also one of the important issues.

【0007】半導体レーザ等の化合物半導体からなる光
デバイス作製においても、プラズマ・ドライエッチング
法による微細パターン構造の作製がキーテクノロジーと
なっている。例えば、微細加工により活性層の微小領域
に電流を効率的に閉じ込めれば、しきい値電流、消費電
力の低減等のデバイス特性の向上が図れる。このように
微小領域のみに電流を閉じ込める「電流狭窄構造」の具
体例としては、電流注入領域以外の領域にプロトン等の
イオン注入して高抵抗化する構造や、エッチングにより
分離メサ構造を形成し、さらにそのメサ構造の一部を選
択酸化した構造、また、半導体埋込技術を用いて前述の
メサ構造両側にpn接合などの電流ブロック層や半絶縁
性半導体層を積層する構造などが提案されている。
In the production of an optical device made of a compound semiconductor such as a semiconductor laser, the production of a fine pattern structure by a plasma dry etching method is a key technology. For example, if current is efficiently confined in a minute region of the active layer by microfabrication, device characteristics such as reduction in threshold current and power consumption can be improved. Specific examples of the “current confinement structure” for confining current only in a minute region in this manner include a structure in which ions such as protons are implanted into regions other than the current injection region to increase the resistance, and a separation mesa structure formed by etching. Further, a structure in which a part of the mesa structure is selectively oxidized, and a structure in which a current blocking layer such as a pn junction or a semi-insulating semiconductor layer is laminated on both sides of the mesa structure using a semiconductor embedding technique have been proposed. ing.

【0008】この中でも、エッチングにより分離メサ構
造を形成し、メサ構造の一部を選択酸化した「選択酸化
狭窄構造」は、活性層近傍のAlAs層やAlGaAs
層といったAl(アルミニウム)含有層を選択酸化によ
りAlとすることによって屈折率導波路を形成し
たものであり、強い光閉じ込め効果が得られ、しきい値
電流が低く、且つ応答性が速いという利点を有する。こ
のため、選択酸化狭窄構造は、従来の電流狭窄構造を用
いた場合と比べてデバイス特性の大幅な向上が得られ、
近年最も注目されている構造である。
[0008] Among these, a "selective oxidation confinement structure" in which an isolated mesa structure is formed by etching and a part of the mesa structure is selectively oxidized is an AlAs layer near the active layer or an AlGaAs layer.
A refractive index waveguide is formed by converting Al (aluminum) -containing layer such as Al 2 O 3 by selective oxidation to obtain a strong light confinement effect, a low threshold current, and low responsiveness. It has the advantage of being fast. For this reason, the selective oxidation confinement structure can greatly improve the device characteristics as compared with the case where the conventional current confinement structure is used.
This is the structure that has received the most attention in recent years.

【0009】酸化狭窄構造の作製は、通常、水蒸気酸化
法を利用して行われる。しかし、AlAs層やAlGa
As層が400〜500℃の水蒸気雰囲気中で酸化され
て被酸化層(Al)が形成されると、その体積が
収縮するため、上下の層との間に歪みが発生するという
問題を有する。その歪みは酸化層の先端に集中する傾向
があるが、「選択酸化狭窄構造」の場合、酸化層の先端
は、活性層から0.2μm程度の至近距離に設けられ
る。このため、酸化層の歪みが活性層のうちで電流が最
も集中する領域に影響を与え、素子の寿命の低下をもた
らすという問題が生ずる。
The production of the oxide confined structure is usually performed by utilizing a steam oxidation method. However, AlAs layers and AlGa
When the As layer is oxidized in a water vapor atmosphere at 400 to 500 ° C. to form an oxidized layer (Al 2 O 3 ), the volume of the layer shrinks, so that a problem occurs between the upper and lower layers. Having. The strain tends to be concentrated at the tip of the oxide layer. In the case of the “selective oxidation confinement structure”, the tip of the oxide layer is provided at a close distance of about 0.2 μm from the active layer. For this reason, there is a problem that the strain of the oxide layer affects a region of the active layer where the current is most concentrated, and the life of the element is shortened.

【0010】また、選択酸化狭窄構造を形成した後に加
熱処理を行うと、歪みのために被酸化層より上側の層が
剥がれてしまう恐れがある。
If a heat treatment is performed after the selective oxidation confining structure is formed, a layer above the oxidized layer may be peeled off due to distortion.

【0011】この問題に対処するため、特開2000−
22204号公報には、水蒸気酸化の処理をしたとき、
メサ構造の内部に向かって、厚さが薄くなる楔状の断面
形状の酸化領域となるような構造にすることにより、選
択酸化領域の歪みを緩和させる技術が開示されている。
To address this problem, Japanese Patent Laid-Open Publication No. 2000-2000
No. 22204 discloses that when steam oxidation treatment is performed,
A technique has been disclosed in which a structure is formed such that an oxide region having a wedge-shaped cross-sectional shape whose thickness becomes thinner toward the inside of a mesa structure, thereby reducing strain in a selective oxidation region.

【0012】[0012]

【発明が解決しようとする課題】フォトリソグラフィ・
プロセスにおいて用いるフォトマスクやレチクルは、一
般に、ガラスや石英などの透光性基板の上にCr(クロ
ム)系材料からなる遮光性パターンを形成したものが多
い。しかし、Cr系材料をエッチングした時に生成され
る反応生成物は、一般に揮発性が低く、ドライエッチン
グにおいてマイクロローデイング効果が生じやすいた
め、フォトマスクあるいはレチクルを製造するに際し
て、その面内でのCD制御性を如何に高めるかは重要な
課題である。
SUMMARY OF THE INVENTION Photolithography
Generally, many photomasks and reticles used in the process have a light-shielding pattern made of a Cr (chromium) -based material formed on a light-transmitting substrate such as glass or quartz. However, a reaction product generated when a Cr-based material is etched is generally low in volatility, and a microloading effect is easily generated in dry etching. How to increase controllability is an important issue.

【0013】特に、光近接効果補正(OPC:Optical
Proximity Correction)パターンを含むフォトマスクの
場合、OPCパターン部分のパターンサイズが同一マス
ク上の他のパターンに比べて小さく、マスクパターンの
形成に際して異方性の高いエッチングが求められるた
め、エッチャントの蒸気圧と基板へのバイアスを大きく
する必要ある。しかし、このような条件でエッチングす
ると、反応生成物がエッチャントの高圧力により被エッ
チング領域に押し付けられる形でパターン側壁に再付着
し、エッチャントの被エッチング物質への吸着を妨げ、
マイクロローデイング効果が発生しやすくなる。つま
り、従来のエッチング方法を用いた場合には、OPCパ
ターンを含んだマスクは、面内のCD制御が極めて難し
いマスクであるといえる。
In particular, optical proximity effect correction (OPC: Optical
Proximity Correction) In the case of a photomask including a pattern, the pattern size of the OPC pattern portion is smaller than other patterns on the same mask, and etching with high anisotropy is required when forming the mask pattern. It is necessary to increase the bias to the substrate. However, when etching is performed under such conditions, the reaction product is re-adhered to the pattern side wall in a form pressed against the region to be etched by the high pressure of the etchant, preventing adsorption of the etchant to the substance to be etched,
The micro loading effect is likely to occur. That is, when the conventional etching method is used, it can be said that the mask including the OPC pattern is a mask in which in-plane CD control is extremely difficult.

【0014】一方、CD制御を目的として従来から提案
されているダミーパターンを配置する手法の場合、OP
Cパターンおよびダミーパターンの配置によるパターン
密度最適化のためにシミュレーション計算を要し、製造
方法を簡便且つ低コストで行うことは難しいという問題
があった。
On the other hand, in the case of a conventionally proposed method of arranging a dummy pattern for the purpose of CD control, the OP
Simulation calculation is required to optimize the pattern density by arranging the C pattern and the dummy pattern, and there is a problem that it is difficult to perform the manufacturing method simply and at low cost.

【0015】一方、上記フォトマスク作製におけるCr
膜やMoSi膜等の遮光膜、半透過膜、位相シフト膜の
パターンエッチングにおいては、条件によっては、高密
度プラズマが照射された下地の石英基板部分が余計にエ
ッチングされたり、石英基板の表面荒れが生じることが
ある。その結果として、光リソグラフィーにおいてマス
ク開口部における露光光の散乱による位相量の変化が生
じ、マスク性能の低下をもたらす。
On the other hand, in the above photomask fabrication, Cr
In the pattern etching of a light-shielding film such as a film or a MoSi film, a semi-transmissive film, and a phase shift film, depending on conditions, the underlying quartz substrate portion irradiated with high-density plasma may be excessively etched or the surface of the quartz substrate may be roughened. May occur. As a result, in optical lithography, a change in the phase amount occurs due to scattering of the exposure light in the mask opening, resulting in a decrease in mask performance.

【0016】一方、各種の電子材料、窒化物金属および
窒化物半導体や窒素を含む材料のパターン形成において
も、Cr系光学材料をエッチングする場合と同様に、エ
ッチングプロセスにおけるマイクロローデイング効果が
発生する場合が多い。したがって、この抑制は、デバイ
ス作製の上で大きな課題である。
On the other hand, in the pattern formation of various electronic materials, nitride metals, nitride semiconductors, and materials containing nitrogen, a microloading effect occurs in the etching process as in the case of etching a Cr-based optical material. Often. Therefore, this suppression is a major issue in device fabrication.

【0017】一方、発光ダイオードや端面発光型レー
ザ、面発光型レーザなどにおける電流狭窄構造を形成す
るために、Alの選択酸化法を用いると、前述の如く歪
みを生じ、発光特性や素子寿命が低下するという問題が
生ずる。
On the other hand, if a selective oxidation method of Al is used to form a current confinement structure in a light emitting diode, an edge emitting laser, a surface emitting laser, or the like, distortion occurs as described above, and light emitting characteristics and element life are reduced. The problem of lowering occurs.

【0018】本発明はかかる課題の認識に基づいてなさ
れたものである。すわなち、その第1の目的は、窒化物
材料、各種半導体材料のエッチングにおいて、マイクロ
ローデイング効果を抑制した高精度なエッチングが可能
な加工方法を提供することにある。
The present invention has been made based on the recognition of such a problem. That is, a first object of the present invention is to provide a processing method capable of performing high-accuracy etching while suppressing a microloading effect in etching a nitride material and various semiconductor materials.

【0019】本発明の第2の目的は、マイクロローディ
ング効果を抑制したエッチング方法により得られるパタ
ーン形状を制御できる加工方法を提供することにある。
A second object of the present invention is to provide a processing method capable of controlling a pattern shape obtained by an etching method in which a microloading effect is suppressed.

【0020】本発明の第3の目的は、フォトマスクやレ
チクルあるいは各種回路基板などのパターンを形成する
ために、パターン部分以外に損傷を与えない高精度なド
ライエッチングが可能な加工方法を提供することにあ
る。
A third object of the present invention is to provide a processing method capable of performing high-precision dry etching without damaging portions other than a pattern portion in order to form a pattern such as a photomask, a reticle, or various circuit boards. It is in.

【0021】本発明の第4の目的は、露光マスクおよび
各種微細パターンを簡便且つ低コストで行うことが可能
な加工方法を提供することにある。
A fourth object of the present invention is to provide a processing method capable of performing an exposure mask and various fine patterns easily and at low cost.

【0022】本発明の第5の目的は、半導体レーザなど
の半導体装置における選択酸化方式の電流狭窄構造の作
製において、エッチング、酸化方法の改善により、確実
に電流狭窄、光閉じ込めの効果が得られる構造を製造す
るための加工方法を提供することにある。
A fifth object of the present invention is to improve the etching and oxidizing methods in the manufacture of a selective oxidation type current confinement structure in a semiconductor device such as a semiconductor laser, whereby the effects of current confinement and light confinement can be reliably obtained. An object of the present invention is to provide a processing method for manufacturing a structure.

【0023】[0023]

【課題を解決するための手段】上記目的を達成するた
め、本発明の加工方法は、窒素を含有する母材層の深さ
方向における所定のエッチング範囲に実質的に限定して
水素を導入する工程と、前記母材層を励起された酸素を
含有する雰囲気に晒すことにより、前記水素が導入され
た前記所定のエッチング範囲を選択的にエッチング除去
する工程と、を備えたことを特徴とする。
In order to achieve the above object, the processing method of the present invention introduces hydrogen substantially limited to a predetermined etching range in the depth direction of a nitrogen-containing base material layer. Exposing the base material layer to an atmosphere containing excited oxygen to selectively etch away the predetermined etching range into which the hydrogen has been introduced. .

【0024】かかる方法によれば、マイクロローデイン
グ効果を抑制し、基板面内での寸法制御性を高めること
ができる。また、エッチング反応生成物の揮発性が高い
ケミカルエッチングにより被エッチング層をエッチング
することができるので、50nm以下の微細なパターン
も形成可能となる。
According to such a method, the microloading effect can be suppressed, and the dimensional controllability in the plane of the substrate can be improved. Further, since the layer to be etched can be etched by chemical etching in which the etching reaction product has high volatility, a fine pattern of 50 nm or less can be formed.

【0025】または、本発明の加工方法は、母材層に窒
素を導入する工程と、母材層に水素を導入する工程と、
前記母材層を励起された酸素を含有する雰囲気に晒すこ
とにより、前記窒素及び前記水素が導入された部分を選
択的にエッチング除去する工程と、を備え、前記窒素及
び水素の少なくともいずれかを前記母材層の深さ方向に
おける所定のエッチング範囲に実質的に限定して導入す
ることを特徴とする。かかる方法によれば、深さ方向に
特定の範囲のみを選択的にエッチングすることができ
る。
Alternatively, in the processing method of the present invention, a step of introducing nitrogen into the base material layer, a step of introducing hydrogen into the base material layer,
Exposing the base material layer to an atmosphere containing excited oxygen, thereby selectively etching and removing a portion where the nitrogen and the hydrogen are introduced, and removing at least one of the nitrogen and the hydrogen. The introduction is substantially limited to a predetermined etching range in the depth direction of the base material layer. According to such a method, it is possible to selectively etch only a specific range in the depth direction.

【0026】特に、前記所定のエッチング範囲が、前記
母材層の表面を含まないものとすれは、中空構造例えば
「エアギャップ」を確実かつ容易に形成することができ
る。上記いずれの方法においても、前記母材層にハロゲ
ン元素も導入することにより、エッチングをさらに効率
的に進めることができる。
In particular, when the predetermined etching range does not include the surface of the base material layer, a hollow structure such as an “air gap” can be formed reliably and easily. In any of the above methods, etching can be further efficiently performed by introducing a halogen element into the base material layer.

【0027】一方、本発明のもう一つの加工方法は、ア
ルミニウムを含有した母材層の所定のエッチング範囲に
実質的に限定して窒素と水素とを導入する工程と、前記
母材層を励起された酸素を含有する雰囲気に晒すことに
より、前記窒素と水素とが導入された前記所定のエッチ
ング範囲を選択的にエッチング除去し、さらに前記母材
層の残余の部分の少なくとも一部を酸化して酸化領域を
形成する工程と、を備えたことを特徴とする。
On the other hand, another processing method according to the present invention comprises a step of introducing nitrogen and hydrogen substantially limited to a predetermined etching range of the base material layer containing aluminum, and a step of exciting the base material layer. Exposed to an atmosphere containing oxygen, the predetermined etching range in which the nitrogen and hydrogen are introduced is selectively etched away, and further, at least a part of the remaining portion of the base material layer is oxidized. Forming an oxidized region by performing the above steps.

【0028】かかる方法によれば、いわゆる選択酸化構
造を確実かつ容易に形成することができる。
According to this method, a so-called selective oxidation structure can be formed reliably and easily.

【0029】ここで、前記母材層の上にメサ構造体が設
けられ、前記所定のエッチング範囲は、前記メサの周囲
に露出した前記母材層の表面から前記母材層の深さ方向
における所定の位置までの範囲であり、前記酸化領域
は、前記母材層が表面からエッチング除去されたことに
より露出した前記メサの側面から前記メサ構造体の中心
に向かって酸化形成された部分を含むものとすることが
でき、半導体レーザなどの電流狭窄構造を形成すること
ができる。
Here, a mesa structure is provided on the base material layer, and the predetermined etching range is defined by a depth direction of the base material layer from a surface of the base material layer exposed around the mesa. The oxidized region is a range up to a predetermined position, and the oxidized region includes a portion formed by oxidation from a side surface of the mesa exposed by etching away the base material layer from a surface toward a center of the mesa structure. And a current confinement structure such as a semiconductor laser can be formed.

【0030】ここでも、前記所定のエッチング範囲にハ
ロゲン元素も導入することにより、エッチングを効率的
に進めることができる。
Also in this case, the etching can be efficiently advanced by introducing a halogen element into the predetermined etching range.

【0031】または、上述したいずれの方法において
も、前記励起された酸素を含有する雰囲気は、ハロゲン
元素も含有するものとしても、エッチングを効率的に進
めることができる。
Alternatively, in any of the above-described methods, etching can proceed efficiently even if the atmosphere containing the excited oxygen also contains a halogen element.

【0032】また、上述したいずれの方法においても、
前記窒素及び水素の少なくともいずれかは、イオン注入
法により前記母材層に導入され、前記イオン注入法にお
ける加速電圧を調節することにより前記所定のエッチン
グ範囲に実質的に限定して導入することにより、特定の
範囲のみを選択的にエッチングすることができる。
In any of the above methods,
At least one of the nitrogen and hydrogen is introduced into the base material layer by an ion implantation method, and is substantially limited to the predetermined etching range by adjusting an acceleration voltage in the ion implantation method. It is possible to selectively etch only a specific range.

【0033】ここで、前記イオン注入法において、前記
母材層の上に所定の開口を有するマスクを配し、前記窒
素及び水素の少なくともいずれかを前記マスクの前記開
口を介して前記母材層に導入することにより、パターン
形成を確実かつ容易に実施することができる。
Here, in the ion implantation method, a mask having a predetermined opening is provided on the base material layer, and at least one of the nitrogen and hydrogen is supplied to the base material layer through the opening of the mask. In this case, pattern formation can be performed reliably and easily.

【0034】[0034]

【発明の実施の形態】以下、本発明の実施の形態につい
て、図面を参照しつつ詳細に説明する。
Embodiments of the present invention will be described below in detail with reference to the drawings.

【0035】図1は、本発明のエッチング方法の概要を
表す工程断面図である。まず、図1(a)に表したよう
に、基板2の上に被エッチング層(母材層)1を形成す
る。被エッチング層1は、基板2と同一の材料でも良
く、異なる材料でも良い。また、被エッチング層1が基
板2と同一の材料である場合には、基板2の一部を被エ
ッチング層2として用いることができる。この場合は、
基板2と被エッチング層1全体が「母材層」となる。
FIG. 1 is a process sectional view showing the outline of the etching method of the present invention. First, as shown in FIG. 1A, a layer to be etched (base material layer) 1 is formed on a substrate 2. The layer 1 to be etched may be the same material as the substrate 2 or a different material. When the layer to be etched 1 is made of the same material as the substrate 2, a part of the substrate 2 can be used as the layer to be etched 2. in this case,
The substrate 2 and the entire layer 1 to be etched serve as a “base material layer”.

【0036】次に、図1(b)に表したように、基板2
をステージ4の上に載置し、マスク3を介して、被エッ
チング層1に窒素6を注入する。マスク3は、被エッチ
ング層1から離間して設けてもよく、あるいは、被エッ
チング層1に密着して設けてもよい。窒素6の注入に
は、例えばイオン注入装置を用いることができる。
Next, as shown in FIG.
Is placed on the stage 4, and nitrogen 6 is injected into the layer 1 to be etched through the mask 3. The mask 3 may be provided separately from the layer to be etched 1 or may be provided in close contact with the layer to be etched 1. For the implantation of the nitrogen 6, for example, an ion implantation apparatus can be used.

【0037】図2は、この工程で用いるイオン注入装置
の構成を例示する概念図である。例えば、レーザ光31
とCCDカメラ32とを用い、基板2上に設けられたレ
ジストパターン(図示せず)をもとにマスク3と基板2
の位置を検出し、xyzθ方向に移動可能なステージ4
を調節してアライメントすることができる。また、この
ときマスク3と基板2との間のギャップ長30もステー
ジ4により制御することができる。
FIG. 2 is a conceptual diagram illustrating the configuration of the ion implantation apparatus used in this step. For example, the laser beam 31
And a CCD camera 32, and based on a resist pattern (not shown) provided on the substrate 2, a mask 3 and a substrate 2
Stage 4 that can detect the position of
Can be adjusted for alignment. At this time, the gap length 30 between the mask 3 and the substrate 2 can also be controlled by the stage 4.

【0038】このようにして、マスク3に設けられた開
口部を窒素6が通過し、マスク3のパターンに従って、
被エッチング層1に導入されて、導入領域1Bが形成さ
れる。
As described above, the nitrogen 6 passes through the opening provided in the mask 3, and according to the pattern of the mask 3,
The introduction region 1B is formed by being introduced into the layer 1 to be etched.

【0039】次に、図1(c)に表したように、マスク
3を介して、被エッチング層1の導入領域1Bに水素7
を導入する。ここで用いるマスク3は、図1(b)の工
程で用いるものと同一のものでも良く、異なる開口を有
するものでも良い。また、水素7の導入は、図1(b)
の工程において前述したイオン注入装置と同一のものを
用いても良く、あるいは、後に実施例として説明するよ
うなプラズマ源を用いてもよい。
Next, as shown in FIG. 1C, hydrogen 7 is introduced through the mask 3 into the introduction region 1B of the layer 1 to be etched.
Is introduced. The mask 3 used here may be the same as that used in the step of FIG. 1B, or may have a different opening. Also, the introduction of hydrogen 7 is shown in FIG.
In this step, the same ion implantation apparatus as described above may be used, or a plasma source described later as an embodiment may be used.

【0040】なお、図1(b)、(c)に表した窒素
6、水素7の他に、ハロゲン元素を被エッチング層1に
導入しても良い。この場合も、ハロゲン元素の導入は、
同様のマスク3を用いて行うことができる。また、導入
領域がある程度深い場合は、ハロゲン元素としてフッ素
(F)を用いるとよい。
Incidentally, in addition to nitrogen 6 and hydrogen 7 shown in FIGS. 1B and 1C, a halogen element may be introduced into the layer 1 to be etched. Also in this case, the introduction of the halogen element
It can be performed using the same mask 3. If the introduction region is deep to some extent, fluorine (F) may be used as the halogen element.

【0041】次に、図1(d)に表したように、被エッ
チング層1を励起された酸素9を含む雰囲気に晒す。励
起された酸素9は、例えばプラズマにより得ることがで
きる。励起された酸素9に晒されると、導入領域1B
は、その窒素及び水素の含有量に応じて選択的にエッチ
ング除去される。具体的には、窒素及び水素の含有量が
多いほど、エッチング速度が高く迅速に除去される。こ
こで、窒素と水素とを含有した導入領域が励起された酸
素によりエッチングされる理由は、これらの種の共存に
より、平衡蒸気圧が高く揮発性に富んだ反応生成物が形
成されるためであると考えられる。
Next, as shown in FIG. 1D, the layer 1 to be etched is exposed to an atmosphere containing excited oxygen 9. The excited oxygen 9 can be obtained, for example, by plasma. When exposed to the excited oxygen 9, the introduction region 1B
Are selectively removed by etching according to the contents of nitrogen and hydrogen. Specifically, the higher the content of nitrogen and hydrogen, the higher the etching rate, and the faster the removal. Here, the introduction region containing nitrogen and hydrogen is etched by the excited oxygen because the coexistence of these species forms a reaction product having a high equilibrium vapor pressure and a high volatility. It is believed that there is.

【0042】また、これらの種にフッ素などのハロゲン
ガスがさらに加わると、反応生成物の蒸気圧がさらに上
昇し、あるいは反応生成物の生成が促進されるために、
エッチングが促進されるものと推測される。従って、図
1(d)の工程において、酸素とハロゲン元素とを含有
したプラズマを用いても良い。
Further, when a halogen gas such as fluorine is further added to these species, the vapor pressure of the reaction product further increases or the production of the reaction product is promoted.
It is assumed that etching is promoted. Therefore, in the step of FIG. 1D, plasma containing oxygen and a halogen element may be used.

【0043】以上説明したように、本発明は、窒素と水
素とが導入された導入領域を励起された酸素に晒すとエ
ッチングされるという極めてユニークな現象を利用した
ものである。そして、本発明においては、さらに、窒素
や水素の注入深さを適宜調節することにより、被エッチ
ング層1の任意の部分のみを選択的にエッチングするこ
とができる。
As described above, the present invention utilizes a very unique phenomenon that etching is performed when an introduction region into which nitrogen and hydrogen are introduced is exposed to excited oxygen. Further, in the present invention, it is possible to selectively etch only an arbitrary portion of the etching target layer 1 by appropriately adjusting the implantation depth of nitrogen or hydrogen.

【0044】図3は、本発明において被エッチング層1
に形成される導入領域1Bの位置の代表例を表す断面図
である。
FIG. 3 shows the layer to be etched 1 according to the present invention.
FIG. 9 is a cross-sectional view illustrating a representative example of the position of the introduction region 1B formed in FIG.

【0045】図3(a)に表した例においては、被エッ
チング層1の深さ方向全体に亘って、導入領域1Bが形
成されている。
In the example shown in FIG. 3A, the introduction region 1B is formed over the entire depth of the layer 1 to be etched.

【0046】図3(b)に表した例においては、被エッ
チング層1のうちの表面側のみに導入領域1Bが形成さ
れている。その下側には、窒素あるいは水素が打ち込ま
れていない非導入領域1Aがある。
In the example shown in FIG. 3B, the introduction region 1B is formed only on the surface side of the layer 1 to be etched. Below this, there is a non-introduction region 1A into which nitrogen or hydrogen has not been implanted.

【0047】図3(c)に表した例においては、被エッ
チング層1の深さ方向にみて中程のみに導入領域1Bが
形成されている。その上下には、非導入領域1Aがあ
る。
In the example shown in FIG. 3C, the introduction region 1B is formed only in the middle of the depth direction of the layer 1 to be etched. Above and below there is a non-introduction area 1A.

【0048】図3(d)に表した例においては、被エッ
チング層1の下側のみに導入領域1Bが形成され、表面
側には非導入領域1Aがある。
In the example shown in FIG. 3D, the introduction region 1B is formed only on the lower side of the layer 1 to be etched, and the non-introduction region 1A is on the surface side.

【0049】図3(a)〜(d)に例示したような導入
領域1Bの形成深さの調節は、窒素や水素の導入の条件
を適宜選択することにより行うことができる。例えば、
イオン注入法を用いる場合には、加速電圧、注入量、イ
オンの荷電数、入射角度、被エッチング層1の結晶方位
などを適宜選択することにより行うことができる。つま
り、これらの条件を適宜選択すれば、被エッチング層1
の深さ方向に対する導入元素の濃度のプロファイルを所
望の領域に注入されるように制御することができる。
The formation depth of the introduction region 1B as illustrated in FIGS. 3A to 3D can be adjusted by appropriately selecting the conditions for introducing nitrogen or hydrogen. For example,
When an ion implantation method is used, the ion implantation method can be performed by appropriately selecting an acceleration voltage, an implantation amount, the number of charged ions, an incident angle, a crystal orientation of the layer 1 to be etched, and the like. That is, if these conditions are appropriately selected, the layer to be etched 1
The profile of the concentration of the introduced element in the depth direction can be controlled so as to be injected into a desired region.

【0050】例えば、図1(b)乃至(c)に表した窒
素、水素あるいはハロゲン元素(例えばフッ素)の導入
工程においてイオン注入法を用いた場合、マスク3のパ
ターン開口部の下に位置する被エッチング層の中へは導
入されるが、これらの元素は何れも質量が小さく(特に
水素イオン)、被エッチング層1の表面に入射した後、
被エッチング層1を構成する元素の格子と弾性散乱し、
カスケード状に被エッチング層2の内部深くまで侵入で
きる。従って、イオン注入の加速電圧や注入量などの条
件を調節することにより、注入する窒素、水素、フッ素
の濃度分布を制御できる。
For example, when the ion implantation method is used in the step of introducing nitrogen, hydrogen, or a halogen element (for example, fluorine) shown in FIGS. 1B to 1C, the mask 3 is located below the pattern opening. Although these elements are introduced into the layer to be etched, each of these elements has a small mass (particularly, hydrogen ion), and after being incident on the surface of the layer to be etched 1,
Elastically scattered with the lattice of the elements constituting the layer 1 to be etched,
It can penetrate deep inside the layer 2 to be etched in a cascade. Therefore, the concentration distribution of nitrogen, hydrogen, and fluorine to be implanted can be controlled by adjusting the conditions such as the ion implantation acceleration voltage and the implantation amount.

【0051】そして、注入された窒素、水素、フッ素の
濃度分布に応じて、図1(d)に表した励起酸素処理に
より、窒素と水素が打ち込まれた導入領域1Bがエッチ
ングされる。本発明によるエッチング方法は、窒素と水
素の存在により生じるものであり、図1(c)の工程に
より導入された水素の濃度分布がサイズおよび形状に影
響を与える。
Then, according to the concentration distribution of the injected nitrogen, hydrogen, and fluorine, the introduction region 1B into which nitrogen and hydrogen are implanted is etched by the excited oxygen treatment shown in FIG. The etching method according to the present invention is caused by the presence of nitrogen and hydrogen, and the concentration distribution of hydrogen introduced in the step of FIG. 1C affects the size and shape.

【0052】その結果として、図3(c)及び(d)に
表したように、被エッチング層1の内部に導入領域1B
を形成することにより、被エッチング層1の内部のみを
エッチングすることが可能となる。この点に関しては、
後に第3実施例を参照しつつ詳述する。
As a result, as shown in FIGS. 3C and 3D, the introduction region 1B is formed inside the layer 1 to be etched.
Is formed, only the inside of the layer 1 to be etched can be etched. In this regard,
This will be described later in detail with reference to a third embodiment.

【0053】本発明は、以上説明した形態で実施され、
以下に詳述する作用効果を奏する。
The present invention is embodied in the form described above,
The operation and effect described below will be achieved.

【0054】まず、本発明の第1の効果として、エッチ
ングによる窒化膜、および各種電子材料膜、光学材料膜
の微細パターン形成において、従来のエッチング方法で
生じるマイクロローデイング効果は抑制され、基板面内
での寸法制御牲を高めることが可能となる。またエッチ
ング反応生成物の揮発性が高いケミカルエッチングによ
り窒化膜がエッチングされるので、パターン寸法が50
nm以下の微細化にも対応できる。
First, as a first effect of the present invention, in forming a fine pattern of a nitride film, various electronic material films, and optical material films by etching, a microloading effect generated by a conventional etching method is suppressed, and a substrate surface is formed. It is possible to increase the dimensional control in the inside. Further, since the nitride film is etched by chemical etching in which the etching reaction product has high volatility, the pattern dimension is reduced to 50%.
It is possible to cope with miniaturization of nm or less.

【0055】第2の効果としては、窒素、水素およびフ
ッ素を含むガスのイオン注入において、加速電圧、注入
量、入射角などを調整することで、イオンの基板への入
射方向、深さ方向のイオン濃度プロファイルを制御し、
得られるパターン形状を制御することが可能となる。そ
の結果として、エアギャップや空洞などを形成すること
ができる。
As a second effect, in the ion implantation of a gas containing nitrogen, hydrogen and fluorine, by adjusting the acceleration voltage, the implantation amount, the incident angle, and the like, the ions can be incident on the substrate in the direction of incidence and in the direction of depth. Control the ion concentration profile,
It is possible to control the obtained pattern shape. As a result, an air gap, a cavity, and the like can be formed.

【0056】第3の効果として、Cr系膜を用いたフォ
トマスクやレチクルあるいは各種窒化膜パターン回路基
板のエッチングにおいて、パターン部分以外に損傷を与
えず、高精度なドライエッチングを行うことができる。
As a third effect, in etching a photomask or reticle using a Cr-based film or various nitride film pattern circuit boards, high-precision dry etching can be performed without damaging portions other than the pattern portion.

【0057】第4の効果として、簡便且つ低コストで露
光マスクおよび各種微細パターンの製造することが可能
となる。
As a fourth effect, an exposure mask and various fine patterns can be manufactured simply and at low cost.

【0058】第5の効果として、半導体レーザにおける
選択酸化方式の電流狭窄構造の作製において、エッチン
グ時に同時に選択酸化が行われ、確実に電流狭窄、光閉
じ込めの効果が得られる構造を提供することが可能とな
る。
As a fifth effect, it is possible to provide a structure in which selective oxidation is performed at the same time as etching in the manufacture of a selective oxidation type current confinement structure in a semiconductor laser, and the current confinement and light confinement effects can be reliably obtained. It becomes possible.

【0059】[0059]

【実施例】以下、実施例を参照しつつ本発明についてさ
らに詳細に説明する。
The present invention will be described below in more detail with reference to examples.

【0060】(第1の実施例)まず、本発明の第1の実
施例として、MoSi膜の微細パターンからなるハーフ
トーン型位相シフトマスクの作製方法について具体的に
説明する。
(First Embodiment) First, as a first embodiment of the present invention, a method of manufacturing a halftone type phase shift mask composed of a fine pattern of a MoSi film will be specifically described.

【0061】図4は、本実施例による製造方法の要部を
表す工程断面図である。
FIG. 4 is a process sectional view showing a main part of the manufacturing method according to the present embodiment.

【0062】まず、図4(a)に表したように、洗浄し
た厚さ2.5インチの6インチ角の石英基板12に、M
oSi膜10とCr膜11を形成する。具体的には、反
応牲スパッタリング装置を用いてターゲット材料として
MoSiを用い、窒素/アルゴン混合ガス、圧力5mT
orrの条件の下で膜厚100nmのMoSi膜10を
形成した。次に、ターゲットおよびガスを変えてMoS
i膜のエッチングハードマスクとして通常用いられる膜
厚60nmのCr膜11を成膜した。
First, as shown in FIG. 4 (a), a M substrate was placed on a cleaned 2.5 inch thick 6 inch square quartz substrate 12.
An oSi film 10 and a Cr film 11 are formed. Specifically, using a reactive sputtering apparatus, MoSi as a target material, a mixed gas of nitrogen / argon, a pressure of 5 mT
A 100 nm-thick MoSi film 10 was formed under the conditions of orr. Next, by changing the target and gas, the MoS
A 60 nm-thick Cr film 11, which is usually used as an etching hard mask for the i-film, was formed.

【0063】次に、図4(b)に表したように、レジス
トパターン13を形成した。具体的には、スピンコータ
装置により、市販の電子ビーム用レジストZEP520
(日本ゼオン)を回転塗布し、ホットプレートを用いて
ベーク処理を行い、膜厚500nmの感光膜を形成し、
加速電圧75kVの電子線描画装置を用いてパターン描
画を行った。所望の描画精度を得るために、描画は4回
の重ね書きによりパターンを形成する多重描画を行い、
また照射量補正により近接効果補正を行った。描画後、
現像処理を行い、レジストパターン13を形成した。
Next, as shown in FIG. 4B, a resist pattern 13 was formed. Specifically, a commercially available electron beam resist, ZEP520, is used by a spin coater.
(Nihon Zeon) is spin-coated and baked using a hot plate to form a 500 nm-thick photosensitive film.
Pattern writing was performed using an electron beam writing apparatus with an acceleration voltage of 75 kV. In order to obtain a desired drawing accuracy, the drawing is performed by multiple writing in which a pattern is formed by overwriting four times.
Proximity effect correction was also performed by irradiation amount correction. After drawing,
A development process was performed to form a resist pattern 13.

【0064】次に、図4(c)に表したように、窒素イ
オン6を注入した。具体的には、加速電圧75keV、
注入量1×1015/cmで窒素イオン6を注入し
た。このときの加速電圧75keVは、石英基板12に
窒素イオンが注入されず、またレジストパターン13の
下のCr膜11、MoSi膜10にも、窒素イオン6は
注入されず、パターン13の開口部に位置する膜のみに
イオン注入される条件である。その後、イオン注入によ
る損傷緩和およびイオン注入領域のCr膜11およびM
oSi膜10の窒化を促進する目的で、基板を窒素また
はアンモニアガス雰囲気中で300℃、15分間の熱処
理を行った。
Next, as shown in FIG. 4C, nitrogen ions 6 were implanted. Specifically, the acceleration voltage is 75 keV,
Nitrogen ions 6 were implanted at a dose of 1 × 10 15 / cm 2 . At this time, the acceleration voltage of 75 keV is such that nitrogen ions are not implanted into the quartz substrate 12 and nitrogen ions 6 are not implanted into the Cr film 11 and the MoSi film 10 under the resist pattern 13, and the This is a condition in which ions are implanted only into the film located. Thereafter, the damage is reduced by ion implantation and the Cr film 11 and M
In order to promote the nitridation of the oSi film 10, the substrate was subjected to a heat treatment at 300 ° C. for 15 minutes in a nitrogen or ammonia gas atmosphere.

【0065】次に、図4(d)に表したように、水素及
びフッ素14を注入した。具体的には、アンテナ出力7
50Wでバイアス出力300WのCHFガス(ガス圧
力:0.6Pa、流量:100sccm)のプラズマを
3分間ほど照射した。プラズマ源としては、誘導結合型
プラズマ(Inductively Coupled Plasma:ICP)源を
用いた。CHFガスの3分間のプラズマ処理では、レ
ジストパターン13の開口部13Bのみにおいて、水素
およびフッ素イオンがCr(N)膜11及びMoSi膜
10に注入される。
Next, as shown in FIG. 4D, hydrogen and fluorine 14 were implanted. Specifically, antenna output 7
Plasma of CHF 3 gas (gas pressure: 0.6 Pa, flow rate: 100 sccm) with a bias output of 300 W at 50 W was irradiated for about 3 minutes. As a plasma source, an inductively coupled plasma (ICP) source was used. In the plasma treatment with the CHF 3 gas for 3 minutes, hydrogen and fluorine ions are implanted into the Cr (N) film 11 and the MoSi film 10 only in the opening 13B of the resist pattern 13.

【0066】ここで、水素およびフッ素イオンは、(特
に水素イオンは)その質量が小さく、Cr(N)膜11
の表面に入射した後、構成する元素の格子と弾性散乱
し、カスケード状にMoSi膜10の結晶内深くまで侵
入していく。一方、レジストパターン13下のCr膜1
1、MoSi膜10中へは、レジストが軽元素から構成
されているため、水素およびフッ素イオンの侵入は妨げ
られる。このことは、2次イオン質量分析(SIMS)
からも確認できた。
Here, hydrogen and fluorine ions (particularly hydrogen ions) have small masses, and the Cr (N) film 11
And then elastically scatter with the lattice of the constituent elements and penetrate deep into the crystal of the MoSi film 10 in a cascade. On the other hand, the Cr film 1 under the resist pattern 13
1. Since the resist is made of a light element into the MoSi film 10, intrusion of hydrogen and fluorine ions is prevented. This means that secondary ion mass spectrometry (SIMS)
Was also confirmed from.

【0067】次に、図4(e)に表したように、酸素プ
ラズマ9に晒した。具体的には、アンテナ出力500
W、バイアス出力0Wの酸素プラズマ(ガス圧力:0.
7Pa、流量:100sccm)9を5分間照射した。
すると、図4(f)に表したように、パターン開口部の
Cr(N)膜11およびMoSi(N)膜10がエッチ
ングされ、さらにレジストパターン13も除去された。
Next, as shown in FIG. 4E, the substrate was exposed to oxygen plasma 9. Specifically, the antenna output 500
W, oxygen plasma with a bias output of 0 W (gas pressure: 0.
(7 Pa, flow rate: 100 sccm) 9 was irradiated for 5 minutes.
Then, as shown in FIG. 4F, the Cr (N) film 11 and the MoSi (N) film 10 in the pattern opening were etched, and the resist pattern 13 was also removed.

【0068】最後に、図4(g)に表したように、エッ
チングハードマスクであるCr膜11をウェット処理に
よりエッチングして取り除き、MoSi膜10からなる
ハーフトーン型の位相シフトマスクが得られた。
Finally, as shown in FIG. 4G, the Cr film 11 serving as an etching hard mask was removed by etching by wet processing, and a halftone type phase shift mask made of the MoSi film 10 was obtained. .

【0069】本実施例においては、Cr膜11のうち
で、窒素及び水素が導入された部分を酸素プラズマに晒
した場合のエッチング速度が7.4nm/分であったの
に対して、窒素及び水素を導入していない部分のエッチ
ング速度は0.3nm/分であった。つまり、酸素プラ
ズマに対するエッチング選択比は約25と十分に高い値
であることが分かった。また、MoSi膜10について
も、窒素及び水素が導入された部分と導入されていない
部分とは、同様のエッチング選択比が得られ、MoSi
膜の微細パターンを精密に形成できることが確認され
た。
In this embodiment, the etching rate when the portion of the Cr film 11 into which nitrogen and hydrogen were introduced was exposed to oxygen plasma was 7.4 nm / min. The etching rate of the portion into which hydrogen was not introduced was 0.3 nm / min. That is, it was found that the etching selectivity to oxygen plasma was about 25, which was a sufficiently high value. Also, for the MoSi film 10, the same etching selectivity is obtained between the part where nitrogen and hydrogen are introduced and the part where nitrogen and hydrogen are not introduced,
It was confirmed that a fine pattern of the film could be formed precisely.

【0070】本実施例によれば、従来のエッチング方法
において問題となっていたマイクロローデイング効果が
抑制され、基板面内でのCD制御性を高めることができ
る。本実施例においては、窒化膜中に注入された水素お
よびフッ素の濃度分布に応じてエッチングが進むため、
従来エッチングにおいて生じるマイクロローデイング効
果は抑制される。また、エッチング反応生成物の揮発性
が高いケミカルエッチングにより窒化膜はエッチングさ
れ、50nm以下の微細なMoSiパターンも形成可能
となる。
According to the present embodiment, the microloading effect which has been a problem in the conventional etching method can be suppressed, and the CD controllability in the substrate surface can be improved. In the present embodiment, since etching proceeds according to the concentration distribution of hydrogen and fluorine injected into the nitride film,
The microloading effect that occurs in conventional etching is suppressed. Further, the nitride film is etched by chemical etching in which the etching reaction product has high volatility, and a fine MoSi pattern of 50 nm or less can be formed.

【0071】また、本実施例においては、水素およびフ
ッ素を含むガスのプラズマ照射において、プラズマ密
度、バイアス電位、ガス圧力等を調整することで、イオ
ンの基板への入射方向を制御し、得られる窒化膜のパタ
ーン形状を制御することが可能である。垂直形状のパタ
ーンを形成したい場合、ガス圧力を下げ、イオンの平均
自由工程を長くし、バイアス電位を上げ直進性を高める
ことにより、イオンが基板に対して垂直に入射され、好
適な条件となる。
In this embodiment, the direction of incidence of ions on the substrate can be obtained by adjusting the plasma density, bias potential, gas pressure, etc., in plasma irradiation of a gas containing hydrogen and fluorine. It is possible to control the pattern shape of the nitride film. When it is desired to form a vertical pattern, the ions are vertically incident on the substrate by lowering the gas pressure, lengthening the mean free path of the ions, increasing the bias potential, and increasing the straightness, which is favorable conditions. .

【0072】また、本実施例においては、イオンおよび
プラズマがCr膜11に直接照射されるため、MoSi
膜10や石英基板12の照射損傷は抑えられる。酸素プ
ラズマ9の照射に際してバイアス印加はなく、Cr膜1
1およびMoSi膜10は、低損傷にエッチングされ、
また下地基板の石英12は全くエッチングされず、平滑
な表面が得られた。石英基板12のエッチングが抑制さ
れたのは、イオン注入における加速電圧、注入量を調節
することで、石英基板12への窒素の導入を抑制したた
めである。
In this embodiment, since the Cr film 11 is directly irradiated with ions and plasma, the MoSi
Irradiation damage to the film 10 and the quartz substrate 12 can be suppressed. No bias was applied during the irradiation of the oxygen plasma 9 and the Cr film 1
1 and the MoSi film 10 are etched with low damage,
Further, the quartz 12 of the base substrate was not etched at all, and a smooth surface was obtained. The reason why the etching of the quartz substrate 12 was suppressed was that introduction of nitrogen into the quartz substrate 12 was suppressed by adjusting the acceleration voltage and the implantation amount in the ion implantation.

【0073】図5(a)は、本実施例においてイオン注
入法により窒素を導入した場合の加速電圧と窒素濃度分
布との関係を表すグラフ図である。同図から分かるよう
に、加速電圧が10〜50KeVでは窒素の分布は浅
く、MoSi膜10が十分に窒素を得られない。一方、
加速電圧が100KeV以上の場合は、窒素は石英基板
12にまで達する。従って、基板12に窒素を導入せず
に、Cr膜11とMoSi膜10のみに窒素を導入する
ためには、実施例の条件である75KeVが最適である
ことが分かる。つまり、本実施例では、石英基板12で
窒化が生じないように窒素6のイオン注入の加速電圧を
設定した。
FIG. 5A is a graph showing the relationship between the acceleration voltage and the nitrogen concentration distribution when nitrogen is introduced by the ion implantation method in this embodiment. As can be seen from the figure, when the acceleration voltage is 10 to 50 KeV, the distribution of nitrogen is shallow, and the MoSi film 10 cannot obtain sufficient nitrogen. on the other hand,
When the accelerating voltage is 100 KeV or more, nitrogen reaches the quartz substrate 12. Therefore, in order to introduce nitrogen only into the Cr film 11 and the MoSi film 10 without introducing nitrogen into the substrate 12, it is understood that the condition of the embodiment, 75 KeV, is optimal. That is, in the present embodiment, the acceleration voltage for the ion implantation of nitrogen 6 is set so that nitriding does not occur in the quartz substrate 12.

【0074】なお、図5(b)は、イオン注入法により
水素を導入した場合の深さ方向の濃度分布を表すグラフ
図である。また、図5(c)は、加速電圧150KeV
の窒素と、加速電圧30KeVの水素の分布をそれぞれ
表すグラフ図であり、後に第2実施例に関して詳述す
る。
FIG. 5B is a graph showing the concentration distribution in the depth direction when hydrogen is introduced by the ion implantation method. FIG. 5C shows an acceleration voltage of 150 KeV.
FIG. 7 is a graph showing the distribution of nitrogen and the distribution of hydrogen at an acceleration voltage of 30 KeV, respectively, which will be described later in detail with reference to a second embodiment.

【0075】また、本実施例では、MoSi膜10上に
Cr膜11を積層しているが、石英基板12上にMoS
i膜10のみを形成しても、本手法によりパターン形成
は可能である。その際は、窒素6のイオン注入をMoS
i膜10(膜厚100nm)のみにするため、窒素イオ
ン注入の加速電圧を下げた。具体的には、50keVに
おいてMoSi膜10はエッチングされてパターンが形
成され、下地の石英基板12は全くエッチングされず、
平滑な表面が得られた。
In this embodiment, the Cr film 11 is laminated on the MoSi film 10, but the MoS film is deposited on the quartz substrate 12.
Even if only the i film 10 is formed, a pattern can be formed by this method. In this case, the ion implantation of nitrogen 6 is performed by using MoS.
The acceleration voltage for nitrogen ion implantation was reduced to make only the i film 10 (film thickness 100 nm). Specifically, the MoSi film 10 is etched at 50 keV to form a pattern, and the underlying quartz substrate 12 is not etched at all,
A smooth surface was obtained.

【0076】一方、上述した本実施例においては、水素
およびフッ素の導入をCHFガスのプラズマ照射によ
り行ったが、窒素同様にイオン注入装置を用いて行って
も良い。この場合は、図5(b)に例示した濃度分布を
参考にして加速電圧を決定することができる。
On the other hand, in the above-described embodiment, introduction of hydrogen and fluorine was performed by plasma irradiation of CHF 3 gas, but introduction of hydrogen and fluorine may be performed by using an ion implantation apparatus as in nitrogen. In this case, the acceleration voltage can be determined with reference to the concentration distribution illustrated in FIG.

【0077】また本実施例では、Cr膜11及びMoS
i膜10を用いたが、その他の化合物、例えばCrN
膜、CrON膜、MoSiN膜、MoSiON膜を用い
ても本発明の効果が同様に得られる。予め窒素を含有し
た膜を用いる場合には、図4(c)に表した窒素導入の
工程を省略できることはいうまでもない。
In this embodiment, the Cr film 11 and the MoS
Although the i-film 10 was used, other compounds such as CrN
The effect of the present invention can be similarly obtained by using a film, a CrON film, a MoSiN film, or a MoSiON film. When a film containing nitrogen in advance is used, it goes without saying that the step of introducing nitrogen shown in FIG. 4C can be omitted.

【0078】また、上記説明においてMoSiと表記し
たが、その組成比としては1:1の組成に限らず、他の
組成比を持つMoSi膜に対しても同様の本発明の効果
があることは明らかである。
Although described as MoSi in the above description, the composition ratio is not limited to the 1: 1 composition, and the same effect of the present invention can be obtained for MoSi films having other composition ratios. it is obvious.

【0079】また、上述した具体例においては、MoS
i膜10とCr膜11の成膜をスパッタ装置を用いて行
ったが、真空蒸着やCVD(Chemical Vapor Depositio
n)等の気相成長法や、液相成長法を用いて行うことも
可能である。
In the specific example described above, the MoS
Although the i-film 10 and the Cr film 11 were formed using a sputtering apparatus, they were formed by vacuum deposition or CVD (Chemical Vapor Depositio).
It is also possible to use a vapor phase growth method such as n) or a liquid phase growth method.

【0080】また、本実施例で水素、フッ素を含むガス
を用いたプラズマ処理においては、ここで用いたCHF
、CF+H、NH以外にも、水素およびフッ素
を含むガス系であれば使用可能である。また、水素と組
み合わせるガスとしては、フッ素以外のハロゲンガスも
可能であるが、原子半径、イオン半径の最も小さい元素
からなるフッ素ガスが好適である。
In this embodiment, in the plasma treatment using a gas containing hydrogen and fluorine, the CHF used here is used.
In addition to 3 , CF 2 + H 2 , and NH 3 , any gas containing hydrogen and fluorine can be used. As a gas to be combined with hydrogen, a halogen gas other than fluorine can be used, but a fluorine gas made of an element having the smallest atomic radius and ionic radius is preferable.

【0081】また、プラズマ源としては、ICP以外に
も、平行平板型RIE(Reactive Ion Etching)装置や
マグネトロンプラズマ装置、電子サイクロトロン共鳴を
利用したECR型RIE装置やヘリコンプラズマ源を用
いたプラズマ装置も用いることができる。本実施例で用
いたICPプラズマ源の場合、プラズマを発生させるた
めの電源(プラズマソース)とプラズマからイオンを試
料に引き込むための電源(バイアス)との2つの電源を
持ち、プラズマ密度の高低と試料へのバイアス電位とが
独立に制御できるため、イオンの方向性制御において好
適であると言える。
As the plasma source, in addition to the ICP, a parallel plate type RIE (Reactive Ion Etching) device, a magnetron plasma device, an ECR type RIE device using electron cyclotron resonance, and a plasma device using a helicon plasma source are also available. Can be used. The ICP plasma source used in this embodiment has two power sources, a power source (plasma source) for generating plasma and a power source (bias) for drawing ions from the plasma into the sample. Since the bias potential to the sample can be controlled independently, it can be said that this is suitable for controlling the directionality of ions.

【0082】また、本実施例の酸素プラズマによるエッ
チングにおいて、アンテナ出力を変えることにより、酸
素プラズマによるCr(N)膜、MoSi(N)膜のエ
ッチングレートを制御することが可能である。同様に、
酸素プラズマにおけるアンテナ出力を変化させること
で、エッチング形状を変化させることも可能である。ま
た、窒素が導入された膜の酸素プラズマエッチングにお
いて、アンテナ出力に加え、バイアス出力を印加した場
合、酸素が照射される膜表面に金属酸化膜が形成され
て、エッチングが抑制される傾向がある。このバイアス
印加によるエッチング抑制効果を利用して、窒化膜のエ
ッチングレートおよび形状の制御、パターン形成、欠陥
修正することが可能である。また、酸素プラズマ処理に
おいて、酸素の他にN、Cl、H等のガスを添加
することにより、Cr(N)膜、MoSi(N)膜のエ
ッチングレートおよび形状の制御も可能である。
Further, in the etching using oxygen plasma in this embodiment, the etching rate of the Cr (N) film and the MoSi (N) film using oxygen plasma can be controlled by changing the antenna output. Similarly,
By changing the antenna output in oxygen plasma, it is also possible to change the etching shape. In addition, in a case where a bias output is applied in addition to an antenna output in oxygen plasma etching of a film into which nitrogen has been introduced, a metal oxide film is formed on a film surface to be irradiated with oxygen, and etching tends to be suppressed. . By utilizing the etching suppression effect by the bias application, it is possible to control the etching rate and shape of the nitride film, form a pattern, and correct a defect. In addition, in the oxygen plasma treatment, the etching rate and the shape of the Cr (N) film and the MoSi (N) film can be controlled by adding a gas such as N 2 , Cl 2 , or H 2 in addition to oxygen. .

【0083】以上、詳述したように、本実施例は、窒素
が導入された膜にさらに水素を導入したことによる反応
がエッチングに大きく寄与していることを示している。
従って、本実施例の位相シフトマスクの作製や材料に限
定されず、他の電子材料、光学材料の微細パターン形
成、各種デバイス作製に対しても、水素イオンが注入さ
れた窒化膜のエッチングレートが向上するという本発明
の効果を適用できることは明らかである。
As described in detail above, this embodiment shows that the reaction caused by the further introduction of hydrogen into the film into which nitrogen has been introduced greatly contributes to the etching.
Therefore, the etching rate of the nitride film into which hydrogen ions have been implanted is not limited to the production and materials of the phase shift mask of the present embodiment, and is not limited to the formation of fine patterns of other electronic materials and optical materials and the production of various devices. It is clear that the effect of the present invention of improving can be applied.

【0084】(第2の実施例)次に、本発明の第2の実
施例として、レベンソン型位相シフトマスクの作製方法
について詳細に説明する。
(Second Embodiment) Next, as a second embodiment of the present invention, a method of manufacturing a Levenson-type phase shift mask will be described in detail.

【0085】図6は、本実施例によるレベンソン型位相
シフトマスクの要部製造工程を表す工程断面図である。
FIG. 6 is a process sectional view showing a main part manufacturing process of the Levenson-type phase shift mask according to the present embodiment.

【0086】まず、図6(a)に表したように、基板1
2の上に位相シフタ窒化膜15とCrN膜18を形成す
る。このとき位相シフタ窒化膜15は、リソグラフィに
用いる光源の露光波長において位相シフト量が180度
になる膜厚とした。そして、反応性スパッタリング装置
を用い、ターゲット材料としてCrを用いて、窒素/ア
ルゴン/水素混合雰囲気ガス下で膜厚100nmの遮光
体CrN膜18を形成した。
First, as shown in FIG.
2, a phase shifter nitride film 15 and a CrN film 18 are formed. At this time, the thickness of the phase shifter nitride film 15 was such that the phase shift amount was 180 degrees at the exposure wavelength of the light source used for lithography. Then, a light-shielding CrN film 18 having a thickness of 100 nm was formed using a reactive sputtering apparatus and using Cr as a target material under a mixed gas of nitrogen / argon / hydrogen.

【0087】次に、図6(b)に表したように、レジス
トパターン13を形成した。具体的には、スピンコータ
装置により、市販の電子ビーム用レジストZEP520
(日本ゼオン)を回転塗布し、ホットプレートを用いて
ベーク処理を行い、膜厚500nmの感光膜を形成し、
加速電圧75kVの電子線描画装置を用いてパターン描
画を行った。所望の描画精度を得るために、描画は4回
の重ね書きによりパターンを形成する多重描画を行い、
また照射量補正により近接効果補正を行った。描画後、
現像処理を行い、レジストパターン13を形成した。
Next, as shown in FIG. 6B, a resist pattern 13 was formed. Specifically, a commercially available electron beam resist, ZEP520, is used by a spin coater.
(Nihon Zeon) is spin-coated and baked using a hot plate to form a 500 nm-thick photosensitive film.
Pattern writing was performed using an electron beam writing apparatus with an acceleration voltage of 75 kV. In order to obtain a desired drawing accuracy, the drawing is performed by multiple writing in which a pattern is formed by overwriting four times.
Proximity effect correction was also performed by irradiation amount correction. After drawing,
A development process was performed to form a resist pattern 13.

【0088】次に、図6(c)に表したように、水素7
を導入した。具体的には、加速電圧15keV、注入量
1×1014/cmで、水素7のイオン注入を行っ
た。
Next, as shown in FIG.
Was introduced. Specifically, ion implantation of hydrogen 7 was performed at an acceleration voltage of 15 keV and an implantation amount of 1 × 10 14 / cm 2 .

【0089】図7は、イオン注入装置のステージ4を表
す概念図である。同図に表したように、xyzθ方向へ
の移動が可能なステージ4上に設置された基板16をマ
スク3に対して水平移動させながら、マスク3と基板1
6の位置合わせおよびギャップ長30の調整を行い、所
望位置に水素7を打ち込んだ。ここでは、レーザ光(図
示せず)を用いてレジストパターン13をマーカーとし
てマスク3と基板16の位置検出を行ってアライメント
し、基板16を所望位置に移動させる。また、このとき
マスク3と基板16間のギャップ長30もステージ4に
より調節し、本実施例においては、50μmに設定し
た。このようにして、マスク3に設けられた開口部を介
して、所望のパターンに従って、位相シフタ窒化膜15
およびCrN膜18中に水素が注入された。
FIG. 7 is a conceptual diagram showing the stage 4 of the ion implantation apparatus. As shown in the figure, the mask 3 and the substrate 1 are moved while the substrate 16 mounted on the stage 4 capable of moving in the xyzθ direction is horizontally moved with respect to the mask 3.
The alignment of 6 and the adjustment of the gap length 30 were performed, and hydrogen 7 was injected into a desired position. Here, the positions of the mask 3 and the substrate 16 are detected and aligned using the resist pattern 13 as a marker using a laser beam (not shown), and the substrate 16 is moved to a desired position. At this time, the gap length 30 between the mask 3 and the substrate 16 was also adjusted by the stage 4, and was set to 50 μm in this embodiment. Thus, the phase shifter nitride film 15 is formed through the opening provided in the mask 3 in accordance with a desired pattern.
And hydrogen was implanted into the CrN film 18.

【0090】その後、イオン注入による損傷を緩和する
ために基板を窒素或いはアンモニアガス雰囲気中で20
0℃、15分間の熱処理を行った。
Thereafter, the substrate is placed in a nitrogen or ammonia gas atmosphere to reduce the damage caused by ion implantation.
Heat treatment was performed at 0 ° C. for 15 minutes.

【0091】次に、図6(d)に表したように、水素及
びフッ素14を導入した。具体的には、アンテナ出力7
50Wにし、バイアス出力300WのCHFガス(ガ
ス圧力:0.6Pa、流量:100sccm)のプラズ
マを30秒照射した。プラズマ源としては、ICP源を
用いた。
Next, as shown in FIG. 6D, hydrogen and fluorine 14 were introduced. Specifically, antenna output 7
Plasma of CHF 3 gas (gas pressure: 0.6 Pa, flow rate: 100 sccm) with a bias output of 300 W was irradiated for 30 seconds at 50 W. An ICP source was used as a plasma source.

【0092】この条件のプラズマ照射によれば、レジス
トパターン13の開口部のみにおいて、水素およびフッ
素イオンがCrN膜18中に主に注入され、位相シフタ
窒化膜15や基板12への注入量は少ない。これは、S
IMS分析より確認できた。水素およびフッ素イオンは
その質量が小さく(特に水素イオン)、CrN膜18の
表面に入射した後、構成する元素の格子と弾性散乱して
カスケード状にCrN膜18内に侵入していくが、この
注入条件では、位相シフタ窒化膜15には殆ど侵入しな
い。また、レジストパターン13下のCrN膜18及び
位相シフタ窒化膜15への水素の侵入も妨げる。
According to the plasma irradiation under these conditions, hydrogen and fluorine ions are mainly injected into the CrN film 18 only in the opening of the resist pattern 13, and the injection amount into the phase shifter nitride film 15 and the substrate 12 is small. . This is S
It was confirmed by IMS analysis. Hydrogen and fluorine ions have small masses (especially hydrogen ions), and after entering the surface of the CrN film 18, elastically scatter with the lattice of the constituent elements and penetrate into the CrN film 18 in a cascade. Under the implantation conditions, the phase shifter nitride film hardly penetrates. In addition, it also prevents hydrogen from entering the CrN film 18 and the phase shifter nitride film 15 under the resist pattern 13.

【0093】次に、図6(e)に表したように、酸素プ
ラズマ処理を施す。具体的には、アンテナ出力500
W、バイアス出力0W(ガス圧力:0.7Pa、流量:
100sccm)の酸素プラズマ9を5分間照射する。
すると、レジストパターン13が除去されるとともに、
レジストパターン13の開口部分に位置するCrN膜1
8およびマスク3を用いて水素イオンが注入された位相
シフタ膜部分15のみがエッチングされ、レベンソン型
の位相シフトマスクが得られた。
Next, as shown in FIG. 6E, an oxygen plasma treatment is performed. Specifically, the antenna output 500
W, bias output 0 W (gas pressure: 0.7 Pa, flow rate:
Irradiate with oxygen plasma 9 (100 sccm) for 5 minutes.
Then, while the resist pattern 13 is removed,
CrN film 1 located at opening of resist pattern 13
Using the mask 8 and the mask 3, only the phase shifter film portion 15 into which hydrogen ions were implanted was etched to obtain a Levenson-type phase shift mask.

【0094】図8は、このようにして得られた位相シフ
トマスクの作用を説明するための概念図である。すなわ
ち、同図(a)に表したような断面構造を有するマスク
に、矢印で例示した方向から光を入射した場合を考え
る。この場合、マスク上での光の振幅は、その透過率に
応じて同図(b)に表したような分布を有する。これ
は、図示しないウェーハ上においては、同図(c)に表
したような光の振幅分布を形成し、その結果として、ウ
ェーハ上での光の強度分布は、同図(d)に表した如く
となる。
FIG. 8 is a conceptual diagram for explaining the operation of the phase shift mask thus obtained. That is, consider a case where light is incident on a mask having a cross-sectional structure as shown in FIG. In this case, the amplitude of the light on the mask has a distribution as shown in FIG. This forms an amplitude distribution of light on the wafer (not shown) as shown in FIG. 2C, and as a result, an intensity distribution of light on the wafer is shown on FIG. It looks like this.

【0095】次に、本実施例の変形例について説明す
る。
Next, a modification of this embodiment will be described.

【0096】図9は、本発明によるレベンソン型位相シ
フトマスク(シングルトレンチ型)の別の製造工程の要
部工程断面図である。
FIG. 9 is a cross-sectional view of a main part of another manufacturing process of the Levenson-type phase shift mask (single trench type) according to the present invention.

【0097】すなわち、本変形例においては、まず図9
(a)に表したように、基板12の上にCr膜11を形
成した。具体的には、洗浄した厚さ2.5インチの6イ
ンチ角の石英基板12に、反応性スパッタリング装置を
用いてターゲット材料としてCrを用い、窒素/アルゴ
ン混合ガス、圧力5mTorrの条件の下で膜厚100
nmのCr膜11を形成した。
That is, in this modification, first, FIG.
As shown in (a), a Cr film 11 was formed on a substrate 12. Specifically, Cr is used as a target material on a cleaned quartz substrate 12 having a thickness of 2.5 inches and a size of 6 inches square using a reactive sputtering apparatus under a nitrogen / argon mixed gas at a pressure of 5 mTorr. Thickness 100
A Cr film 11 of nm was formed.

【0098】次に、図9(b)に表したように、レジス
トパターン13を形成して窒素6を導入した。具体的に
は、図6(b)に関して前述したものと同様の方法によ
りレジストパターン13を形成し、その後、加速電圧1
50keV、注入量1×10 15/cmで窒素6をイ
オン注入した。このときの加速電圧150keVでは、
図5(c)に表したように、Cr膜11のみならず石英
基板12中にも窒素イオンが注入されるが、レジストパ
ターン13の下のCr膜11、石英基板12には、窒素
イオンは注入されず、パターン13の開口部のみに窒素
が導入される。なお、図5(c)において、深さ方向に
矢印Dで示した範囲は、石英基板12の表面の一部であ
り、位相シフト差が180度になる厚さに対応する部分
である。
Next, as shown in FIG.
A pattern 13 was formed and nitrogen 6 was introduced. Specifically
In a manner similar to that described above with respect to FIG.
A resist pattern 13 is formed, and then an acceleration voltage 1
50 keV, injection amount 1 × 10 Fifteen/ Cm2With nitrogen 6
It was injected on. At an acceleration voltage of 150 keV at this time,
As shown in FIG. 5C, not only the Cr film 11 but also quartz
Although nitrogen ions are also implanted into the substrate 12,
The Cr film 11 below the turn 13 and the quartz substrate 12
No ions are implanted and nitrogen is only injected into the opening of the pattern 13.
Is introduced. In FIG. 5C, in the depth direction
The range indicated by the arrow D is a part of the surface of the quartz substrate 12.
Corresponding to the thickness at which the phase shift difference becomes 180 degrees
It is.

【0099】その後、イオン注入による損傷緩和および
イオン注入領域のCr膜11および石英基板12の窒化
を促進する目的で基板を窒素或いはアンモニアガス雰囲
気中で300℃、15分間の熱処理を行った。
Thereafter, the substrate was subjected to a heat treatment at 300 ° C. for 15 minutes in a nitrogen or ammonia gas atmosphere for the purpose of alleviating damage by ion implantation and promoting the nitridation of the Cr film 11 and the quartz substrate 12 in the ion implantation region.

【0100】次に、図9(c)に表したように、水素7
を導入した。具体的には、加速電圧30keV、注入量
1×1014/cmで水素のイオン注入を行った。図
6(c)に関して前述した方法と同様にして、マスク3
とステージ4上に設置された基板16の位置合わせおよ
びギャップ長30の調整を行い、所望位置に直接水素イ
オンを打ち込んだ。このときマスク3と基板16間のギ
ャップ長30もステージ3により制御し、このときは5
0μmに設定した。
Next, as shown in FIG.
Was introduced. Specifically, hydrogen ion implantation was performed at an acceleration voltage of 30 keV and an implantation amount of 1 × 10 14 / cm 2 . In the same manner as in the method described above with reference to FIG.
Then, alignment of the substrate 16 placed on the stage 4 and adjustment of the gap length 30 were performed, and hydrogen ions were directly implanted into desired positions. At this time, the gap length 30 between the mask 3 and the substrate 16 is also controlled by the stage 3;
It was set to 0 μm.

【0101】この条件において、マスク3に設けられた
開口部を水素イオンが通過し、Cr膜11および石英基
板12中に注入される。このとき、図5(c)に表した
ように、石英基板12に高濃度に注入される水素イオン
の深さは、石英の位相シフト量が180度になる(リソ
グラフィに用いる光源の露光波長における)膜厚と一致
するように加速電圧を設定した。
Under these conditions, hydrogen ions pass through the openings provided in the mask 3 and are implanted into the Cr film 11 and the quartz substrate 12. At this time, as shown in FIG. 5C, the depth of the hydrogen ions implanted into the quartz substrate 12 at a high concentration is such that the phase shift amount of quartz becomes 180 degrees (at the exposure wavelength of the light source used for lithography). ) The acceleration voltage was set so as to match the film thickness.

【0102】その後、イオン注入による損傷緩和の目的
で、基板16を窒素或いはアンモニアガス雰囲気中で2
00℃、15分間の熱処理を行った。
Thereafter, for the purpose of alleviating damage by ion implantation, the substrate 16 is placed in a nitrogen or ammonia gas atmosphere for 2 minutes.
Heat treatment was performed at 00 ° C. for 15 minutes.

【0103】次に、図9(d)に表したように、水素及
びフッ素14を導入した。具体的には、アンテナ出力7
50Wにし、バイアス出力300VのCHFガス(ガ
ス圧力:0.6Pa、流量:100sccm)のプラズ
マを3分間照射した。プラズマ源としては、ICP源を
用いた。このプラズマ処理により、レジストパターン1
3の開口部において、水素及びフッ素は、Cr膜11の
みに導入され、石英基板12には殆ど到達しない。
Next, as shown in FIG. 9D, hydrogen and fluorine 14 were introduced. Specifically, antenna output 7
Plasma of CHF 3 gas (gas pressure: 0.6 Pa, flow rate: 100 sccm) with a bias output of 300 V and a bias output of 300 V was irradiated for 3 minutes. An ICP source was used as a plasma source. With this plasma treatment, the resist pattern 1
In the opening 3, hydrogen and fluorine are introduced only into the Cr film 11 and hardly reach the quartz substrate 12.

【0104】次に、図9(e)に表したように酸素プラ
ズマによるエッチングを施した。具体的には、アンテナ
出力500W、バイアス出力0Wの酸素プラズマ(ガス
圧力:0.7Pa、流量:100sccm)9を5分間
照射した。すると、レジストパターン13が除去され、
同時に、レジストパターンの開口部分に位置するCr
(N)膜11およびマスクを用いて水素イオンが注入さ
れた石英基板12の一部分のみがエッチングされた。こ
のとき、石英基板12のエッチング深さは、マスク3に
より水素イオンが高濃度に注入されている領域の深さで
あり、石英の位相シフト量が180度になる膜厚と一致
させることかできる。その結果として、シングルトレン
チタイプのレベンソン型位相シフトマスクを得ることが
できた。
Next, as shown in FIG. 9E, etching was performed using oxygen plasma. Specifically, oxygen plasma (gas pressure: 0.7 Pa, flow rate: 100 sccm) 9 having an antenna output of 500 W and a bias output of 0 W was irradiated for 5 minutes. Then, the resist pattern 13 is removed,
At the same time, the Cr located at the opening of the resist pattern
Using the (N) film 11 and the mask, only a part of the quartz substrate 12 into which hydrogen ions were implanted was etched. At this time, the etching depth of the quartz substrate 12 is the depth of a region where hydrogen ions are implanted at a high concentration by the mask 3 and can be made to match the film thickness at which the phase shift amount of quartz becomes 180 degrees. . As a result, a single trench type Levenson-type phase shift mask was obtained.

【0105】以上説明した方法により、高精度なレベン
ソン型位相シフトマスクが作製できる。ステンシルタイ
プのマスク3を用いたイオン注入法においては、基板1
6とマスク3間との位置合わせを行い、イオン加速電圧
および注入量の設定によりイオン注入領域の探さ方向の
注入量プロファイルを制御することを特徴とする。ま
た、本実施例及び変形例においても、水素イオンを注入
した窒化膜の酸素プラズマ9に対するエッチングレート
が変化することを利用している。
By the method described above, a highly accurate Levenson-type phase shift mask can be manufactured. In the ion implantation method using the stencil type mask 3, the substrate 1
The position between the mask 6 and the mask 3 is aligned, and the implantation amount profile in the search direction of the ion implantation region is controlled by setting the ion acceleration voltage and the implantation amount. Further, the present embodiment and the modification also utilize the fact that the etching rate of the nitride film into which hydrogen ions have been implanted with respect to the oxygen plasma 9 changes.

【0106】イオン注入においてマスクを用いることに
より、高速かつ容易なパターン形成が可能になる。光露
光用マスクでは、更なる微細化に対応するため、光近接
効果補正用のセリフやジョグ等の更に微細な遮光体パタ
ーンの形成や位相シフトマスク、特にレベンソンマスク
が必要とされている。遮光体パターンのアスペクト比は
高く、その製造におけるエッチング工程において、現在
行われているウェットエッチング法またはドライエッチ
ング法によりパターンを形成する方法では、そのサイズ
および加工形状、面内CDを高精度に制御を行うことは
困難であった。これに対して、本発明のパターン形成方
法によりマスクを作製すれば、低コストで高精度な微細
パターンが容易に形成でき、レベンソン型位相シフトマ
スクの作製が行えることが確認された。
By using a mask in ion implantation, high-speed and easy pattern formation can be achieved. In the light exposure mask, in order to cope with further miniaturization, formation of finer light-shielding body patterns such as serifs and jogs for optical proximity effect correction and a phase shift mask, particularly a Levenson mask, are required. The aspect ratio of the light-shielding body pattern is high, and the size, processed shape, and in-plane CD of the pattern are formed with high precision by the current wet etching method or dry etching method in the manufacturing process. Was difficult to do. On the other hand, it has been confirmed that if a mask is manufactured by the pattern forming method of the present invention, a high-precision fine pattern can be easily formed at low cost, and a Levenson-type phase shift mask can be manufactured.

【0107】また、上記実施例及び変形例におけるCr
(N)膜、石英基板のエッチングにおいて、水素を含む
ガスおよび酸素ガス等の各種プラズマおよびイオンビー
ム照射によって、膜および基板は、構成元素の組成分
布、結晶構造の変化は見られず、また欠陥や転位等の照
射損傷は誘起されていないことが、X線光電子分光(X
PS)、二次イオン質量分析(SIMS)、X線回折
(XRD)、透過電子顕微鏡観察により確認でき、マス
クとして用いる場合の光学的特性や電気的特性、機械的
特性に変化が生じていないことが分かった。
Further, in the above embodiment and the modified example,
In the etching of the (N) film and the quartz substrate, the film and the substrate show no change in the composition distribution of the constituent elements and the crystal structure due to the irradiation of various plasmas and ion beams such as a gas containing hydrogen and oxygen gas, and have defects X-ray photoelectron spectroscopy (X
PS), secondary ion mass spectrometry (SIMS), X-ray diffraction (XRD), and transmission electron microscopy observations. No change in optical, electrical, and mechanical properties when used as a mask. I understood.

【0108】なお、本変形例においては、窒素及び水素
の注入をイオン注入により行ったが、アンモニア等の窒
素を含むガス雰囲気中でレーザ光を照射することによ
る、「レーザドーピング法」により行うことも可能であ
る。このときレーザとしては、欠陥部分に対してより狭
い領域に集光でき、且つ高濃度の注入を行う上で高出力
パワーが望まれることからKrFやArFあるいはF2
エキシマレーザを使用することが望ましい。
In this modification, nitrogen and hydrogen are implanted by ion implantation. However, the laser doping is performed by irradiating a laser beam in a gas atmosphere containing nitrogen such as ammonia. Is also possible. At this time, KrF, ArF or F2 is used as the laser because it can focus light on a narrower area with respect to the defect portion and a high output power is required for performing high-density injection.
It is desirable to use an excimer laser.

【0109】また、ステンシルタイプのマスクを用いず
に集束イオンビームを用いても、膜中への窒素、水素、
フッ素の注入は可能である。また位相シフトマスクの作
製のみを本実施例では取り扱ったが、他にも各種材料に
対して微細パターンを形成することが可能なことは明ら
かである。
Also, even if a focused ion beam is used without using a stencil type mask, nitrogen, hydrogen,
Fluorine implantation is possible. Although only the fabrication of the phase shift mask is dealt with in this embodiment, it is apparent that a fine pattern can be formed on various materials.

【0110】(第3の実施例)次に、本発明の第3の実
施例として、MOSトランジスタで構成されるLSI基
板における多層配線(微細空中配線)構造の作製方法に
ついて具体的に説明する。
(Third Embodiment) Next, as a third embodiment of the present invention, a method of fabricating a multilayer wiring (micro aerial wiring) structure on an LSI substrate composed of MOS transistors will be specifically described.

【0111】図10及び図11は、本実施例の作成方法
を表す工程断面図である。
FIGS. 10 and 11 are sectional views showing the steps of the method of this embodiment.

【0112】まず、図10(a)に表したように、P型
シリコン基板101上にトレンチ分離領域102を形成
した後、ソース・ドレイン領域103、サイドウォール
104、ゲート酸化膜105、ゲート電極106及びゲ
ート配線106aを有するMOSトランジスタを形成す
る。
First, as shown in FIG. 10A, after forming a trench isolation region 102 on a P-type silicon substrate 101, a source / drain region 103, a side wall 104, a gate oxide film 105, and a gate electrode 106 are formed. And a MOS transistor having a gate wiring 106a is formed.

【0113】次に、図10(b)に表したように、Si
からなる基板被覆膜107と、プラグ形成層の仮設
膜となるSiON膜110a(膜厚0.4μm)と、下
側SiO膜109a(膜厚0.05μm)と、配線形
成層の仮設膜となる上側SiON膜110b(膜厚0.
4μm)を順次堆積する。
Next, as shown in FIG.
A substrate coating film 107 made of O 2 , a SiON film 110 a (0.4 μm in thickness) serving as a temporary film of a plug formation layer, a lower SiO 2 film 109 a (0.05 μm in thickness), Upper SiON film 110b serving as a temporary film (with a film thickness of 0.
4 μm) are sequentially deposited.

【0114】次に、図10(c)に表したように、配線
111とプラグ112を形成する。具体的には、まず、
下側SiO膜109aをストッパとして、上側SiO
N膜110bに配線用溝を形成した後、配線用溝の底面
から下側SiO2 膜109a及び下側SiON膜11
0aを貫通してソース・ドレイン領域103に到達する
コンタクトホールを開口する。このときフッ素系ガスに
よりエッチングを行い、SiON膜110a、110b
中にフッ素イオンが注入される。
Next, as shown in FIG. 10C, a wiring 111 and a plug 112 are formed. Specifically, first,
Using the lower SiO 2 film 109a as a stopper,
After the wiring groove is formed in the N film 110b, the lower SiO2 film 109a and the lower SiON film 11 are formed from the bottom of the wiring groove.
A contact hole penetrating through Oa and reaching the source / drain region 103 is opened. At this time, etching is performed using a fluorine-based gas to form SiON films 110a and 110b.
Fluorine ions are implanted therein.

【0115】ただし、ここで先にコンタクトホールを形
成した後配線用溝を形成してもよい。さらに、基板の全
面にバリアメタル膜113とCu(銅)合金膜114を
スパッタ法を用いて堆積し、400〜500℃での熱処
理によりCu合金膜114をリフローさせて配線用溝及
びコンタクトホール中にCu合金114を充填する。あ
るいは、メッキ法によりCu合金膜114を形成しても
よい。
Here, the wiring groove may be formed after the contact hole is formed first. Further, a barrier metal film 113 and a Cu (copper) alloy film 114 are deposited on the entire surface of the substrate by a sputtering method, and the Cu alloy film 114 is reflowed by a heat treatment at 400 to 500 ° C. to form wiring grooves and contact holes. Is filled with a Cu alloy 114. Alternatively, the Cu alloy film 114 may be formed by a plating method.

【0116】その後、CMP(Chemical Mechanical Po
lishing)により平坦化して、堆積されているバリアメ
タル膜113及びCu合金膜114のうちコンタクトホ
ール及び配線用溝内に充填されている部分以外の部分を
除去する。その結果、上側仮設SiON膜110bに形
成された配線用溝内に残存するバリアメタル膜113及
びCu合金膜114が第1配線層108の配線111と
して機能する。
Thereafter, the CMP (Chemical Mechanical Po
Then, portions of the deposited barrier metal film 113 and Cu alloy film 114 other than the portions filled in the contact holes and the wiring grooves are removed. As a result, the barrier metal film 113 and the Cu alloy film 114 remaining in the wiring groove formed in the upper temporary SiON film 110b function as the wiring 111 of the first wiring layer.

【0117】また、下側仮設SiON膜110aに形成
されたコンタクトホール内に残存するバリアメタル膜1
13及びCu合金膜114が、第1配線層108の配線
111とソース・ドレイン領域103とを縦方向に接続
するプラグ112として機能する。
The barrier metal film 1 remaining in the contact hole formed in the lower temporary SiON film 110a
13 and the Cu alloy film 114 function as a plug 112 for connecting the wiring 111 of the first wiring layer 108 and the source / drain region 103 in the vertical direction.

【0118】次に、図10(d)に表したように、同様
の構成を積層形成する。具体的には、上側SiO膜1
09b,下側SiON膜110a,下側SiO膜10
9a,上側SiON膜110bの堆積、配線用溝,バイ
ヤホールの形成、バリアメタル膜113、Cu合金膜1
14の堆積及びリフローと、CMPによる平坦化とを何
回か繰り返すことにより、第2配線層115及び第3配
線層116の配線111とプラグ112とが形成され
る。最上層としては、保護膜としても機能する上側Si
膜109bを堆積する。
Next, as shown in FIG. 10D, a similar structure is formed by lamination. Specifically, the upper SiO 2 film 1
09b, lower SiON film 110a, lower SiO 2 film 10
9a, Deposition of upper SiON film 110b, formation of wiring groove and via hole, barrier metal film 113, Cu alloy film 1
By repeating deposition and reflow of 14 and planarization by CMP several times, the wiring 111 and the plug 112 of the second wiring layer 115 and the third wiring layer 116 are formed. As the uppermost layer, upper Si that also functions as a protective film
An O 2 film 109b is deposited.

【0119】次に、図11(a)に表したように、最上
層の上側SiO膜109bに、第3配線層(最上配線
層)116の配線111であるCu合金膜114のパッ
ド部を露出させるための開口117を形成する。
Next, as shown in FIG. 11A, the pad portion of the Cu alloy film 114 serving as the wiring 111 of the third wiring layer (uppermost wiring layer) 116 is formed on the uppermost upper SiO 2 film 109b. An opening 117 for exposing is formed.

【0120】次に、図11(b)に表したように、水素
イオンの注入を行う。このとき、第1配線層108、第
2配線層115、第3配線層116の仮設膜となるSi
ON膜110a、110bの表面からの深さは、例え
ば、以下の如くである。
Next, as shown in FIG. 11B, hydrogen ions are implanted. At this time, Si serving as a temporary film of the first wiring layer 108, the second wiring layer 115, and the third wiring layer 116 is used.
The depth from the surface of the ON films 110a and 110b is, for example, as follows.

【0121】 第1配線層 110a:2.3〜2.7μm、 110b:1.85〜2.25μm 第2配線層 110a:1.4〜1.8μm、 110b:0.95〜1.35μm 第3配線層 110a:0.50〜0.90μm、110b:0.05〜0.45μmFirst wiring layer 110a: 2.3 to 2.7 μm, 110b: 1.85 to 2.25 μm Second wiring layer 110a: 1.4 to 1.8 μm, 110b: 0.95 to 1.35 μm Third wiring layer 110a: 0.50 to 0.90 μm, 110b: 0.05 ~ 0.45μm

【0122】本工程においては、これらの深さに合わせ
て、水素イオン注入の加速電圧を調節する。
In this step, the accelerating voltage for hydrogen ion implantation is adjusted according to the depth.

【0123】図12は、水素のイオン注入における加速
電圧と水素導入領域の深さとの関係を表すグラフ図であ
る。
FIG. 12 is a graph showing the relationship between the acceleration voltage in hydrogen ion implantation and the depth of the hydrogen introduction region.

【0124】各配線層における膜110a、110bの
深さに合わせて水素導入領域が形成されるように、図1
2の関係から加速電圧を20〜300KeVの範囲で調
整し、注入量1×1014〜15/cmで水素18の
イオン注入を行う。このように注入条件を調節すれば、
水素イオンは基板100までは到達しない。
FIG. 1 shows that a hydrogen introduction region is formed in accordance with the depth of the films 110a and 110b in each wiring layer.
The acceleration voltage is adjusted in the range of 20 to 300 KeV from the relationship of 2 , and the ion implantation of hydrogen 18 is performed at an implantation amount of 1 × 10 14 to 15 / cm 2 . By adjusting the injection conditions in this way,
Hydrogen ions do not reach the substrate 100.

【0125】xyzθ方向への移動が可能なステージ1
20上に設置された基板100をマスク119面に対し
て水平移動させながら、マスク119と基板100との
位置合わせおよびギャップ長の調整を行い、所望位置に
水素イオン18を打ち込む。ここでは、パッド部を露出
させるための開口117のパターンをマーカーとして利
用しながら、レーザ光を用いてマスク119と基板10
0の位置検出を行ってアライメントし、基板100を所
望位置に移動させる。また、このときマスク119と基
板100との間のギャップ長もステージ120により制
御し、このときは50μmに設定した。これにより、第
1配線層108、第2配線層115、第3配線層116
の仮設膜となるSiON膜110a、110bに水素が
注入される。
Stage 1 movable in xyzθ direction
While horizontally moving the substrate 100 placed on the surface of the mask 119 with respect to the mask 119, alignment between the mask 119 and the substrate 100 and adjustment of the gap length are performed, and hydrogen ions 18 are implanted into desired positions. Here, while using the pattern of the opening 117 for exposing the pad portion as a marker, the mask 119 and the substrate
Alignment is performed by detecting the position of 0, and the substrate 100 is moved to a desired position. At this time, the gap length between the mask 119 and the substrate 100 was also controlled by the stage 120, and was set to 50 μm at this time. Thus, the first wiring layer 108, the second wiring layer 115, and the third wiring layer 116
Hydrogen is implanted into the SiON films 110a and 110b serving as temporary films.

【0126】その後、イオン注入による損傷を緩和する
目的で基板を窒素或いはアンモニアガス雰囲気中で20
0℃、15分間の熱処理を行った。
Thereafter, the substrate is placed in a nitrogen or ammonia gas atmosphere for the purpose of alleviating damage due to ion implantation.
Heat treatment was performed at 0 ° C. for 15 minutes.

【0127】次に、図11(c)に表したように、酸素
プラズマ照射によりSiON膜110a、110bを除
去した。その結果として、SiO膜109a、109
bにより仕切られた微細空中配線を形成することができ
た。
Next, as shown in FIG. 11C, the SiON films 110a and 110b were removed by oxygen plasma irradiation. As a result, the SiO 2 films 109a, 109
The fine aerial wiring partitioned by b was able to be formed.

【0128】本実施例においても、水素を導入すること
により窒化膜の酸素プラズマに対するエッチングレート
が顕著に増加する現象を利用している。その結果、バリ
アメタル膜113及びCu合金膜114からなる各配線
層同士の間が空気層121となる。これにより、いわゆ
る空中配線構造を有する半導体素子が得られる。つま
り、各配線間が空気層121によって絶縁されるので、
各配線間に比誘電率が1の低誘電率層が存在することに
なる。
This embodiment also utilizes the phenomenon that the etching rate of the nitride film against oxygen plasma is significantly increased by introducing hydrogen. As a result, an air layer 121 is formed between the wiring layers formed of the barrier metal film 113 and the Cu alloy film 114. Thus, a semiconductor element having a so-called aerial wiring structure is obtained. That is, since each wiring is insulated by the air layer 121,
A low dielectric constant layer having a relative dielectric constant of 1 exists between each wiring.

【0129】本作製方法によれば、従来の作製方法のご
とく1つの配線層を形成するごとにカーボン膜を除去す
るという手順を踏むことで複数回の酸素アッシングを行
なう必要はなく、すべての配線層を形成してから、水素
イオンを注入することにより、各SiON膜を選択的に
除去する酸素プラズマ照射が1回で済むので、プロセス
の能率も高いという効果を発揮することができる。ま
た、このときマスクを用いることで、高速かつ容易なパ
ターン形成が可能になる。本実施例では、3層の配線層
を設けた例について説明したが、本発明はかかる実施形
態に限定されるものではなく、第1配線層のみを有する
場合や、第1、第2配線層のみを有する場合、第1〜第
3配線層に加えて第4配線層以上の配線層をさらに有す
る場合についても適用できることはいうまでもない。
According to this manufacturing method, it is not necessary to perform oxygen ashing a plurality of times by performing the procedure of removing the carbon film each time one wiring layer is formed as in the conventional manufacturing method. By implanting hydrogen ions after forming the layer, oxygen plasma irradiation for selectively removing each SiON film can be performed only once, so that the effect of high process efficiency can be exhibited. At this time, the use of a mask enables high-speed and easy pattern formation. In the present embodiment, an example in which three wiring layers are provided has been described. However, the present invention is not limited to such an embodiment, and may include only the first wiring layer, or may include the first and second wiring layers. It is needless to say that the present invention can be applied to the case where the semiconductor device further includes only the fourth to fourth wiring layers in addition to the first to third wiring layers.

【0130】また本手法は、イオン加速電圧および注入
量の設定によりイオン注入領域の深さ方向の注入量プロ
ファイルを制御することを特徴とし、水素イオンの注入
方法を変えることで、第2配線層のみを空中配線構造に
する等の、各々の配線層を選択的に除去できることも明
らかである。
Further, the present method is characterized in that the implantation amount profile in the depth direction of the ion implantation region is controlled by setting the ion acceleration voltage and the implantation amount, and by changing the hydrogen ion implantation method, the second wiring layer is formed. It is also clear that each wiring layer can be selectively removed, for example, only an aerial wiring structure.

【0131】なお、本実施例では、空気層121を形成
するために、励起酸素を利用したアッシングによってエ
ッチング除去できる仮設膜として下側及び上側SiON
膜110a、110bを用い、一方、除去できない下側
及び上側SiO膜109a、109bを用いた。しか
し、SiON膜110a、110bに代えて、SiO
膜109a、109bに対して選択的にエッチングでき
る材料からなる窒化膜を仮設膜として用いることもでき
る。
In this embodiment, the air layer 121 is formed.
Ashing using excited oxygen
Lower and upper SiON as a temporary film that can be removed by etching
Films 110a and 110b are used, while the lower side cannot be removed
And upper SiO2The films 109a and 109b were used. Only
Then, instead of the SiON films 110a and 110b, SiO 2
Can selectively etch films 109a and 109b
Can be used as a temporary film.
You.

【0132】例えば、好適な材料の1つとして、窒素ド
ープされたSiOF膜が挙げられる。窒素ドープされた
SiOF膜は、Cuの膜中への拡散が抑制され、バリア
メタル膜の形成が不要になることに加え、窒素とフッ素
が膜中に含まれる為、水素イオンが注入されたときも酸
素プラズマによるエッチング速度が高まるためである。
For example, one of suitable materials is a nitrogen-doped SiOF film. In the nitrogen-doped SiOF film, diffusion of Cu into the film is suppressed, and the formation of a barrier metal film becomes unnecessary. In addition, since nitrogen and fluorine are contained in the film, hydrogen ions are implanted. This is also because the etching rate by oxygen plasma increases.

【0133】また、SiO膜109a、109bに代
えて、SiON膜110a、110bを除去する処理に
よって除去されない別の材料からなる絶縁膜を用いるこ
ともできる。また、下側SiO膜109aは必ずしも
なくてもよい。その場合、下側仮設膜と上側仮設膜とを
一体化した1つの仮設膜を形成してから、この仮設膜に
溝とコンタクトホールとを形成すればよい。
In place of the SiO 2 films 109a and 109b, an insulating film made of another material which is not removed by the process of removing the SiON films 110a and 110b can be used. Further, the lower SiO 2 film 109a is not necessarily required. In this case, after forming a temporary film in which the lower temporary film and the upper temporary film are integrated, a groove and a contact hole may be formed in the temporary film.

【0134】(第4の実施例)次に、本発明の第4の実
施例として、半導体レーザ(Laser Diode:LD)にお
ける選択酸化方式の電流狭窄構造の作製方法について説
明する。
(Fourth Embodiment) Next, as a fourth embodiment of the present invention, a method of manufacturing a current confinement structure of a selective oxidation type in a semiconductor laser (Laser Diode: LD) will be described.

【0135】図13及び図14は、本実施例による作成
方法を表す要部工程面図である。
FIG. 13 and FIG. 14 are process diagrams of the main part showing the production method according to the present embodiment.

【0136】同図に例示した半導体レーザは、InAl
GaP系半導体レーザであり、まず、図13(a)に表
したように、N型GaAs基板42の上に、N型InG
aAlP膜(膜厚1.1μm)の下部クラッド層59、
InGaAlP/InGaP活性層(膜厚:0.17μ
m)60、P型InGaAlP膜(膜厚:0.2μm)
の中間クラッド層61、AlAs膜(膜厚:150n
m)の被選択酸化層62、InGaAlP膜(膜厚:
0.9μm)の上部クラッド層63、InGaP膜(膜
厚:50nm)の通電容易層64、GaAs膜(膜厚:
50nm)のキャップ層65を順次積層する。
The semiconductor laser illustrated in FIG.
This is a GaP-based semiconductor laser. First, as shown in FIG. 13A, an N-type InG
a lower cladding layer 59 of an AlP film (1.1 μm thick)
InGaAlP / InGaP active layer (film thickness: 0.17 μm)
m) 60, P-type InGaAlP film (film thickness: 0.2 μm)
Intermediate cladding layer 61, AlAs film (film thickness: 150 n)
m), the selective oxidation layer 62 and the InGaAlP film (film thickness:
(0.9 μm) upper cladding layer 63, InGaP film (thickness: 50 nm), current-carrying easy layer 64, GaAs film (thickness:
(50 nm) cap layer 65 is sequentially laminated.

【0137】また、活性層60は、InGaAlPバリ
ア層/InGaP井戸層(膜厚3.5nm/4nm)を
交互に複数積層した多重量子井戸型構造(Multiple Qua
ntumWell:MQW)とした。
The active layer 60 has a multiple quantum well type structure (Multiple Quasi-well structure) in which a plurality of InGaAlP barrier layers / InGaP well layers (thickness: 3.5 nm / 4 nm) are alternately stacked.
ntumWell: MQW).

【0138】次に、図13(b)〜(d)に表したよう
に、この積層構造をリソグラフィ工程により加工し、被
選択酸化層62から上の各層をメサ状に加工した。
Next, as shown in FIGS. 13B to 13D, the laminated structure was processed by a lithography process, and each layer above the selectively oxidized layer 62 was processed into a mesa shape.

【0139】はじめに、図13(b)に表したように、
硫酸系のエッチャントでGaAsキャップ層65のみを
除去した後、CVD装置により膜厚500nmのSiO
2膜66を成膜し、その上にスピンコ一夕装置により、
市販の電子ビーム用レジストZEP520(日本ゼオ
ン)を回転塗布し、ホットプレートを用いてベーク処理
を行い、膜厚500nmの感光膜を形成し、加速電圧7
5kVの電子線描画装置を用いてパターン描画を行っ
た。描画後、現像処理を行い、レジストパターン13を
形成した。
First, as shown in FIG.
After removing only the GaAs cap layer 65 with a sulfuric acid-based etchant, a 500 nm thick SiO
2 film 66 is formed, and a spinco overnight device is formed thereon.
A commercially available electron beam resist, ZEP520 (Zeon Corporation), is spin-coated and baked using a hot plate to form a 500-nm-thick photosensitive film.
Pattern drawing was performed using a 5 kV electron beam drawing apparatus. After drawing, a development process was performed to form a resist pattern 13.

【0140】次に、アンテナ出力750Wにし、ICP
源を用いて、バイアス出力300WのCHF3ガス(ガ
ス圧力:0.6Pa、流量:100sccm)のプラズ
マ照射を3分間施し、図13(c)に表したように、S
iO膜66をパターニングした。
Next, the antenna output was set to 750 W, and the ICP
Using a source, plasma irradiation of CHF3 gas (gas pressure: 0.6 Pa, flow rate: 100 sccm) with a bias output of 300 W was performed for 3 minutes, and as shown in FIG.
The iO 2 film 66 was patterned.

【0141】その後、図13(d)に表したように、塩
酸系のエッチャントにより、InGaP膜通電容易層6
4、InGaAlP膜上部クラッド層63をエッチング
除去した。
Thereafter, as shown in FIG. 13D, the InGaP film conduction layer 6 was formed with a hydrochloric acid-based etchant.
4. The upper cladding layer 63 of the InGaAlP film was removed by etching.

【0142】次に、図14(a)に表したように、窒素
6を導入した。具体的には、加速電圧30keV、注入
量1×1015/cmで窒素6をイオン注入した。こ
のときの加速電圧30keVでは、被選択酸化層62で
あるAlAs層の表層から約75nmの深さまでの上層
部分62Bに窒素が注入される。また、メサエッチング
で用いたレジストパターン13の下の部分には、窒素は
注入されず、メサエッチングにより開口された被選択酸
化層AlAs膜62の表面下の上層部分62Bのみに窒
素が導入される。
Next, as shown in FIG. 14A, nitrogen 6 was introduced. Specifically, nitrogen 6 was ion-implanted at an acceleration voltage of 30 keV and an implantation amount of 1 × 10 15 / cm 2 . At an acceleration voltage of 30 keV at this time, nitrogen is injected into the upper layer portion 62B from the surface of the AlAs layer which is the selective oxidation layer 62 to a depth of about 75 nm. Further, nitrogen is not implanted into a portion below the resist pattern 13 used in the mesa etching, and nitrogen is introduced only into an upper layer portion 62B below the surface of the selectively oxidized layer AlAs film 62 opened by the mesa etching. .

【0143】次に、図14(b)に表したように、水素
7を導入した。具体的には、加速電圧5keV、注入量
1×1014/cmで水素7をイオン注入した。この
加速電圧では、被選択酸化層AlAs膜62の中間位置
より上層の部分62B(表層から約75nmの探さまで
の領域)のみに水素が導入される。
Next, as shown in FIG. 14B, hydrogen 7 was introduced. Specifically, hydrogen 7 was ion-implanted at an acceleration voltage of 5 keV and an implantation amount of 1 × 10 14 / cm 2 . At this accelerating voltage, hydrogen is introduced only into a portion 62B above the intermediate position of the selective oxidation layer AlAs film 62 (a region from the surface to a depth of about 75 nm).

【0144】図15は、本実施例において導入された窒
素及び水素の深さ方向の濃度プロファイルを表す概念図
である。同図(a)及び(b)に表したように、いずれ
の元素も、被選択酸化層62の表面側から約75μmの
上層部分62Bに導入され、その下には、図15(c)
に表したように、いずれの元素も実質的に導入されてい
ない下層部分62Aが残存している。
FIG. 15 is a conceptual diagram showing the concentration profiles in the depth direction of nitrogen and hydrogen introduced in the present embodiment. As shown in FIGS. 15A and 15B, both elements are introduced into the upper layer portion 62B of about 75 μm from the surface side of the selectively oxidized layer 62, and below that, FIG.
As shown in (2), the lower layer portion 62A in which no element is substantially introduced remains.

【0145】図14(b)の工程により水素を導入した
後、基板をヒ素(As)あるいはヒ素とリン(P)との
混合雰囲気中で400℃、15分間の熱処理を行い、イ
オン注入による損傷を緩和した。
After hydrogen is introduced in the step shown in FIG. 14B, the substrate is subjected to a heat treatment at 400 ° C. for 15 minutes in an atmosphere of arsenic (As) or a mixture of arsenic and phosphorus (P), thereby damaging the substrate by ion implantation. Was relaxed.

【0146】次に、図14(c)に表したように、フッ
素14を導入した。具体的には、ICP源を用いてアン
テナ出力750Wにし、バイアス出力300WのCHF
ガス(ガス圧力:0.6Pa、流量:100scc
m)のプラズマを10秒間照射することにより、フッ素
14を被選択酸化層AlAs膜62に導入した。
Next, as shown in FIG. 14C, fluorine 14 was introduced. Specifically, the antenna output is set to 750 W using an ICP source, and the CHF having a bias output of 300 W is used.
3 gases (gas pressure: 0.6 Pa, flow rate: 100 scc)
m) was irradiated for 10 seconds to introduce fluorine 14 into the selectively oxidized layer AlAs film 62.

【0147】次に、図14(d)に表したように、酸素
プラズマ9を照射した。具体的には、アンテナ出力50
0W、バイアス出力0Wの酸素プラズマ9を15分間照
射した。このとき、窒素および水素が導入された領域、
被選択酸化層62B(AlAs膜の上層)は酸素プラズ
マ9によりエッチングされ、被選択酸化層62A(Al
As膜下層)上でエッチングが停止する。
Next, as shown in FIG. 14D, oxygen plasma 9 was irradiated. Specifically, the antenna output 50
Oxygen plasma 9 having 0 W and bias output of 0 W was irradiated for 15 minutes. At this time, the region where nitrogen and hydrogen are introduced,
The selectively oxidized layer 62B (the upper layer of the AlAs film) is etched by the oxygen plasma 9, and the selectively oxidized layer 62A (Al
Etching stops on the lower layer of the As film).

【0148】また、このとき被選択酸化層62Aにおい
て、酸素プラズマにより酸化が進む。このとき、メサの
側面では、酸素プラズマのエッチングにより露出した被
選択酸化層62Aの端面から横方向に酸化が進行するし
てAl酸化物層67が形成される。一方、メサ周囲の平
坦部分においては、選択酸化層62Bがエッチング除去
された後に、選択酸化層62Aの表面から探さ方向に酸
化が進行してAl酸化物層67が形成される。
At this time, the oxidation proceeds in the selectively oxidized layer 62A by the oxygen plasma. At this time, on the side surface of the mesa, oxidation proceeds in a lateral direction from the end surface of the selectively oxidized layer 62A exposed by the etching of the oxygen plasma, and the Al oxide layer 67 is formed. On the other hand, in the flat portion around the mesa, after the selective oxidation layer 62B is etched away, oxidation proceeds in the search direction from the surface of the selective oxidation layer 62A to form the Al oxide layer 67.

【0149】またエッチング時に反応熱が発生するた
め、基板を加熱せずに酸化を進行させることができる。
選択酸化は、AlAs層の露出している膜厚に応じて、
酸化速度が変化する。エッチングが進むにつれて端面の
露出は大きくなるため、横方向すなわち層の主面に対し
て平行な方向への酸化速度が徐々に速くなる。また、選
択酸化層内に侵入した酸素プラズマはAlAs層62A
中にも拡散して酸化するため、最終的に得られる酸化層
の断面形状は、図14(d)に例示したようにメサの内
部に向かって次第に薄くなる楔状に形成される。
In addition, since heat of reaction is generated at the time of etching, oxidation can proceed without heating the substrate.
The selective oxidation is performed according to the exposed thickness of the AlAs layer.
The oxidation rate changes. Since the end face becomes more exposed as the etching proceeds, the oxidation rate in the lateral direction, that is, in the direction parallel to the main surface of the layer, gradually increases. The oxygen plasma that has penetrated into the selective oxidation layer is the AlAs layer 62A.
Since the oxide layer is also diffused and oxidized, the cross-sectional shape of the finally obtained oxide layer is formed in a wedge shape that gradually becomes thinner toward the inside of the mesa as illustrated in FIG.

【0150】次に、図14(e)に表したように、p側
及びn側に電極68を形成して、選択酸化方式の電流狭
窄構造を有する半導体レーザが得られた。
Next, as shown in FIG. 14E, the electrodes 68 were formed on the p-side and the n-side, and a semiconductor laser having a selective oxidation type current confinement structure was obtained.

【0151】本実施例においては、加速電圧を調節する
ことにより窒素および水素の深さ方向の濃度プロファイ
ルを制御することにより、水素導入効果による窒化膜の
酸素プラズマエッチング方法を行うと同時に、水蒸気酸
化の工程を用いずに選択酸化することが可能となる。さ
らに、楔状の電流狭窄構造が容易に得られる。楔状の酸
化層を有する電流狭窄構造は、酸化層の体積収縮により
上層、下層に発生する歪みも酸化層の先端部で小さく且
つ横方向に緩和できるため、活性層への影響は小さく、
素子の発光特性の低下を抑制し、長寿命化も実現でき
る。
In this embodiment, by controlling the concentration profiles of nitrogen and hydrogen in the depth direction by adjusting the accelerating voltage, the oxygen plasma etching method of the nitride film by the hydrogen introduction effect is performed, and at the same time, the steam oxidation is performed. The selective oxidation can be performed without using the step. Further, a wedge-shaped current confinement structure can be easily obtained. The current constriction structure having a wedge-shaped oxide layer has a small influence on the active layer because the distortion generated in the upper layer and the lower layer due to the volume shrinkage of the oxide layer can also be reduced at the tip of the oxide layer and reduced in the lateral direction.
It is possible to suppress a decrease in the light emission characteristics of the element and to prolong the life.

【0152】なお、上述の実施例においては、メサを形
成した基板について選択酸化工程を実施したが、これ以
外にも、例えば、劈開などによりLDのチップに分離し
てから選択酸化を施すようにしても良い。このようにす
れば、LDの端面において露出した選択酸化層も酸化さ
れ、端面に沿って電流ブロック層を形成することができ
る。
In the above-described embodiment, the selective oxidation step was performed on the substrate on which the mesa was formed. However, other than this, the selective oxidation may be performed after being separated into LD chips by cleavage or the like. May be. By doing so, the selective oxidation layer exposed at the end face of the LD is also oxidized, and a current blocking layer can be formed along the end face.

【0153】一方、本発明は端面発光型LDのみなら
ず、面発光型LDやLED(Ligh-Emitting Diode)に
も同様に適用できる。メサ構造の一部を選択酸化した構
造の面発光LDは、活性層近傍の半導体多層膜ミラーの
一部であるAlAs層やAlGaAs層といったAl含
有層を選択酸化により同様の屈折率導波路を形成し、強
い光閉じ込め効果により、しきい値電流が低く、且つ応
答性が速い特性が得られる。
On the other hand, the present invention can be applied not only to the edge-emitting type LD, but also to a surface-emitting type LD and an LED (Ligh-Emitting Diode). A surface emitting LD having a structure in which a part of a mesa structure is selectively oxidized forms a similar refractive index waveguide by selectively oxidizing an Al-containing layer such as an AlAs layer or an AlGaAs layer which is a part of a semiconductor multilayer mirror near an active layer. However, due to the strong light confinement effect, characteristics with low threshold current and high responsiveness can be obtained.

【0154】図16及び図17は、本発明を面発光型L
Dの形成に応用した場合の製造工程を例示する工程断面
図である。同図については、図1乃至図15に関して前
述ものと同様の要素には同一の符号を付して詳細な説明
は省略する。
FIG. 16 and FIG.
FIG. 9 is a process cross-sectional view illustrating a manufacturing process when applied to the formation of D. In this figure, the same elements as those described above with reference to FIGS. 1 to 15 are denoted by the same reference numerals, and detailed description thereof will be omitted.

【0155】面発光LDでは、活性層60の上側及び下
側において、クラッド層59、61、63の他に多層膜
ミラー69が形成されることが多い。図16及び図17
に例示したように、多層膜ミラー69を形成する点を除
けば、第4実施例に関して前述した方法と同様の方法に
より、同様の楔状の電流狭窄構造が容易に得られ、しき
い値電流の低下等のデバイス特性を大幅な向上が図れ
る。
In the surface emitting LD, a multilayer mirror 69 is often formed on the upper and lower sides of the active layer 60 in addition to the cladding layers 59, 61, and 63. 16 and 17
As shown in the example, except that the multilayer mirror 69 is formed, a similar wedge-shaped current confinement structure can be easily obtained by the same method as that described above with respect to the fourth embodiment, and the threshold current can be reduced. Significant improvement in device characteristics such as reduction can be achieved.

【0156】以上、具体例を参照しつつ本発明の実施の
形態について説明した。しかし、本発明は、これらの具
体例に限定されるものではなく、本発明の要旨を逸脱し
ない範囲で種々変形して実施することができる。
The embodiment of the invention has been described with reference to examples. However, the present invention is not limited to these specific examples, and can be implemented with various modifications without departing from the spirit of the present invention.

【0157】例えば、前述した各具体例は、InGaA
lP系半導体発光素子にAlAs系被酸化層を設けた例
を示したが、その他にも例えば、被酸化層としてはAl
GaAs、AlGaP、AlINAs、AlInP、A
lSb、AlN等のAlを含むあらゆる化合物を用いる
ことができる。また、クラッド層などの酸化されない層
としては、GaAs、InP、InGaAsP、InG
Asb、InGaN等、Alを含まず、またはAl濃度
が低いあらゆる化合物を用いることができる。また、本
発明は、半導体レーザや発光ダイオードなどの半導体発
光素子の他に、フォトダイオード、トランジスタ、FE
T(Field Effect Transistor)、HEMT(High E1ec
tron Mobility Transistor)等にも適用して種々の効果
を得ることができる。
For example, each of the specific examples described above is based on InGaAs.
Although the example in which the AlAs-based oxidized layer is provided on the 1P-based semiconductor light-emitting element has been described, for example, as the oxidized layer, Al
GaAs, AlGaP, AlINAs, AlInP, A
Any compound containing Al such as 1Sb and AlN can be used. The non-oxidized layers such as the cladding layer include GaAs, InP, InGaAsP, InG
Any compound containing no Al or having a low Al concentration, such as Asb or InGaN, can be used. The present invention also provides a photodiode, a transistor, an FE, and a semiconductor light emitting element such as a semiconductor laser and a light emitting diode.
T (Field Effect Transistor), HEMT (High E1ec
tron Mobility Transistor) and various effects can be obtained.

【0158】[0158]

【発明の効果】本発明は、以上説明した形態で実施され
以下に列挙する効果を奏する。
The present invention is embodied in the above-described embodiment and has the following effects.

【0159】本発明によれば、まず第1に、エッチング
による窒化膜、および各種電子材料膜、光学材料膜の微
細パターン形成において、従来のエッチング方法で生じ
るマイクロローデイング効果を抑制し、基板面内での寸
法制御性を高めることができる。また、エッチング反応
生成物の揮発性が高いケミカルエッチングにより被エッ
チング層をエッチングすることができるので、50nm
以下の微細なパターンも形成可能となる。
According to the present invention, first, in forming a fine pattern of a nitride film, various electronic material films, and optical material films by etching, the microloading effect caused by the conventional etching method is suppressed, and The dimensional controllability in the inside can be improved. Further, since the layer to be etched can be etched by chemical etching in which the etching reaction product has high volatility, 50 nm
The following fine patterns can also be formed.

【0160】第2に、窒素、水素あるいはフッ素などの
ハロゲン元素を導入する際の条件を調節することによ
り、任意の部分のみをエッチングすることが可能とな
る。例えば、イオン注入法を用いた場合に、加速電圧、
注入量、荷電量、入射角、結晶方位などを調整すること
で、これら元素の濃度プロファイルを制御し、得られる
パターン形状を制御することが可能となる。また、水素
およびフッ素を含むガスのプラズマ照射においても、プ
ラズマ密度、バイアス電位、ガス圧力等を調整すること
で、イオンの基板への入射方向を制御し、得られる窒化
膜のパターン形状を制御することが可能となる。
Second, by adjusting the conditions for introducing a halogen element such as nitrogen, hydrogen or fluorine, only an arbitrary portion can be etched. For example, when an ion implantation method is used, an acceleration voltage,
By adjusting the injection amount, the charge amount, the incident angle, the crystal orientation, and the like, it becomes possible to control the concentration profile of these elements and to control the obtained pattern shape. Also, in plasma irradiation of a gas containing hydrogen and fluorine, the direction of incidence of ions on the substrate is controlled by adjusting the plasma density, bias potential, gas pressure, etc., and the pattern shape of the obtained nitride film is controlled. It becomes possible.

【0161】第3に、Cr系薄膜を用いたフォトマスク
やレチクルあるいは各種窒化膜パターン回路基板のエッ
チングにおいて、パターン部分以外に損傷を与えず、高
精度なドライエッチングを行うことができる。
Third, in etching a photomask or reticle using a Cr-based thin film or various nitride film pattern circuit boards, high-precision dry etching can be performed without damaging portions other than the pattern portion.

【0162】第4に、簡便且つ低コストで露光マスクお
よび各種微細パターンの製造することが可能となる。さ
らに、低コストの露光マスクを使用することにより、転
写露光工程を低コスト化でき、廉価な半導体装置あるい
は光学素子を供給することが可能となる。
Fourth, an exposure mask and various fine patterns can be manufactured simply and at low cost. Further, by using a low-cost exposure mask, the cost of the transfer exposure step can be reduced, and an inexpensive semiconductor device or optical element can be supplied.

【0163】第5に、半導体レーザなどの各種の半導体
装置における選択酸化方式の電流狭窄構造の作製に際し
て、メサ構造を形成する際のエッチング時に同時に選択
酸化が行われ、確実に電流狭窄、光閉じ込めの効果が得
られる構造を提供することが可能となる。また、電流狭
窄領域となる選択酸化部のストレスを緩和し、さらに実
効的な屈折率差を大きくし、実屈折率ガイドの効果を大
きくすることができる。
Fifth, when manufacturing a selective oxidation type current confinement structure in various semiconductor devices such as semiconductor lasers, the selective oxidation is performed simultaneously with the etching when forming the mesa structure, thereby ensuring the current confinement and light confinement. It is possible to provide a structure that can obtain the effect described above. In addition, it is possible to reduce the stress of the selective oxidizing portion serving as the current confinement region, further increase the effective refractive index difference, and increase the effect of the actual refractive index guide.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明のエッチング方法の概要を表す工程断面
図である。
FIG. 1 is a process sectional view showing an outline of an etching method of the present invention.

【図2】本発明において用いることができるイオン注入
装置の構成を例示する概念図である。
FIG. 2 is a conceptual diagram illustrating the configuration of an ion implantation apparatus that can be used in the present invention.

【図3】本発明において被エッチング層1に形成される
導入領域1Bの位置の代表例を表す断面図である。
FIG. 3 is a cross-sectional view illustrating a representative example of a position of an introduction region 1B formed in a layer 1 to be etched in the present invention.

【図4】本発明の第1の実施例による製造方法の要部を
表す工程断面図である。
FIG. 4 is a process sectional view illustrating a main part of the manufacturing method according to the first embodiment of the present invention.

【図5】(a)は、本実施例においてイオン注入法によ
り窒素を導入した場合の加速電圧と窒素濃度分布との関
係を表すグラフ図であり、(b)は、イオン注入法によ
り水素を導入した場合の深さ方向の濃度分布を表すグラ
フ図であり、(c)は、加速電圧150KeVの窒素
と、加速電圧30KeVの水素の分布をそれぞれ表すグ
ラフ図である。
FIG. 5A is a graph showing a relationship between an acceleration voltage and a nitrogen concentration distribution when nitrogen is introduced by an ion implantation method in the present embodiment, and FIG. It is a graph showing the concentration distribution in the depth direction when introduced, and (c) is a graph showing the distribution of nitrogen at an acceleration voltage of 150 KeV and the distribution of hydrogen at an acceleration voltage of 30 KeV.

【図6】本発明の第2の実施例によるレベンソン型位相
シフトマスクの要部製造工程を表す工程断面図である。
FIG. 6 is a process cross-sectional view illustrating a main part manufacturing process of a Levenson-type phase shift mask according to a second embodiment of the present invention.

【図7】イオン注入装置のステージ4を表す概念図であ
る。
FIG. 7 is a conceptual diagram illustrating a stage 4 of the ion implantation apparatus.

【図8】本発明の第2の実施例により得られた位相シフ
トマスクの作用を説明するための概念図である。
FIG. 8 is a conceptual diagram for explaining the operation of the phase shift mask obtained according to the second embodiment of the present invention.

【図9】本発明の第2実施例の変型例によるレベンソン
型位相シフトマスク(シングルトレンチ型)の別の製造
工程の要部工程断面図である。
FIG. 9 is a cross-sectional view showing a main part of another manufacturing process of a Levenson-type phase shift mask (single trench type) according to a modification of the second embodiment of the present invention.

【図10】本発明の第3の実施例の作成方法を表す工程
断面図である。
FIG. 10 is a process cross-sectional view illustrating a manufacturing method according to a third embodiment of the present invention.

【図11】本発明の第3の実施例の作成方法を表す工程
断面図である。
FIG. 11 is a process cross-sectional view illustrating a manufacturing method according to a third example of the present invention.

【図12】水素のイオン注入における加速電圧と水素導
入領域の深さとの関係を表すグラフ図である。
FIG. 12 is a graph showing a relationship between an acceleration voltage and a depth of a hydrogen introduction region in hydrogen ion implantation.

【図13】本発明の第4の実施例による作成方法を表す
要部工程面図である。
FIG. 13 is a main part process view showing a production method according to a fourth embodiment of the present invention;

【図14】本発明の第4の実施例による作成方法を表す
要部工程面図である。
FIG. 14 is a main part process view showing a production method according to a fourth embodiment of the present invention;

【図15】本発明の第4実施例において導入された窒素
及び水素の深さ方向の濃度プロファイルを表す概念図で
ある。
FIG. 15 is a conceptual diagram showing a concentration profile in the depth direction of nitrogen and hydrogen introduced in a fourth embodiment of the present invention.

【図16】本発明を面発光型LDの形成に応用した場合
の製造工程を例示する工程断面図である。
FIG. 16 is a process cross-sectional view illustrating a manufacturing process when the present invention is applied to the formation of a surface-emitting type LD.

【図17】本発明を面発光型LDの形成に応用した場合
の製造工程を例示する工程断面図である。
FIG. 17 is a process cross-sectional view illustrating a manufacturing process when the present invention is applied to the formation of a surface-emitting LD.

【符号の説明】[Explanation of symbols]

1 被エッチング層 2 基板 3 (ステンシル)マスク 4 ステージ 5 窒素イオンが注入、窒化された領域 6 窒素 7 水素 9 酸素 10 MoSi膜 11 Cr膜 12 石英基板 13 レジストパターン 14 水素およびフッ素を含むガスによるプラズマ処理 15 位相シフタ窒化膜 16 イオン注入試料(基板) 18 CrN膜 30 マスクと基板間のギャップ長 31 アライメント用レーザ光 32 光検出器 59 下部クラッド層(N型InGaAlP膜) 60 活性層(InGaAlP/InCaP) 61 中間クラッド層(P型InGaAlP膜) 62 被選択酸化層(AlAs膜) 63 上部クラッド層(InGaAlP膜) 64 通電容易層(InGaP膜) 65 キャップ層(GaAs膜) 66 SiO2膜 67 Al酸化物層 68 電極 69 多層膜ミラー 101 P型Si基板 102 トレンチ分離領域 103 ソースドレイン領域 104 サイドウォール 105 ゲート酸化膜 106 ゲート電極 106a ゲート配線 107 基板被覆膜 108 第1配線層 109、1099a 下側SiO2膜 109b 上側SiO2膜 110、110a 下側SiON膜 110b 上側SiON膜 111 配線 112 プラグ 113 バリアメタル膜 114 Cu合金膜 115 第2配線層 116 第3配線層 117 開口 118 水素イオン注入 119 マスク 120 ステージ 121 空気層 DESCRIPTION OF SYMBOLS 1 Layer to be etched 2 Substrate 3 (Stencil) mask 4 Stage 5 Nitrogen ion implanted and nitrided area 6 Nitrogen 7 Hydrogen 9 Oxygen 10 MoSi film 11 Cr film 12 Quartz substrate 13 Resist pattern 14 Plasma by gas containing hydrogen and fluorine Processing 15 Phase shifter nitride film 16 Ion implanted sample (substrate) 18 CrN film 30 Gap length between mask and substrate 31 Alignment laser beam 32 Photodetector 59 Lower cladding layer (N-type InGaAlP film) 60 Active layer (InGaAlP / InCaP) ) 61 Intermediate clad layer (P-type InGaAlP film) 62 Layer to be selectively oxidized (AlAs film) 63 Upper clad layer (InGaAlP film) 64 Easily conductive layer (InGaP film) 65 Cap layer (GaAs film) 66 SiO2 film 67 Al oxide Layer 68 electrode 69 Multilayer mirror 101 P-type Si substrate 102 Trench isolation region 103 Source / drain region 104 Sidewall 105 Gate oxide film 106 Gate electrode 106a Gate wiring 107 Substrate coating film 108 First wiring layer 109, 1099a Lower SiO2 film 109b Upper SiO2 film 110, 110a Lower SiON film 110b Upper SiON film 111 Wiring 112 Plug 113 Barrier metal film 114 Cu alloy film 115 Second wiring layer 116 Third wiring layer 117 Opening 118 Hydrogen ion implantation 119 Mask 120 Stage 121 Air layer

───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 21/768 H01L 21/30 502P 5F056 H01S 5/183 531M 5F073 5/22 541S 21/88 D 21/90 N Fターム(参考) 2H095 BB16 BC05 4M104 AA01 AA04 AA10 BB13 BB26 BB29 BB31 CC00 DD08 DD16 DD17 DD18 DD31 DD34 DD37 DD42 DD43 DD56 DD62 DD64 DD65 DD71 DD75 DD78 DD88 EE08 EE14 EE17 FF16 GG04 GG09 GG10 GG11 GG14 HH05 HH14 5F004 AA02 DA00 DA16 DA24 DA25 DA26 DB08 DB15 DB19 EA03 EA06 EB02 FA02 5F033 HH12 JJ12 KK01 MM02 MM12 MM13 NN06 NN07 NN37 PP15 PP27 PP28 QQ09 QQ11 QQ12 QQ25 QQ37 QQ48 QQ60 QQ61 QQ73 QQ75 QQ89 RR04 RR08 RR11 RR30 XX24 XX33 5F046 GD01 GD15 5F056 FA05 5F073 AA09 AA11 AA51 AA74 AB17 CA14 DA14 DA23 DA25 DA29 DA35 ──────────────────────────────────────────────────続 き Continued on the front page (51) Int.Cl. 7 Identification code FI Theme coat ゛ (Reference) H01L 21/768 H01L 21/30 502P 5F056 H01S 5/183 531M 5F073 5/22 541S 21/88 D 21/90 NF term (reference) 2H095 BB16 BC05 4M104 AA01 AA04 AA10 BB13 BB26 BB29 BB31 CC00 DD08 DD16 DD17 DD18 DD31 DD34 DD37 DD42 DD43 DD56 DD62 DD64 DD65 DD71 DD75 DD78 DD88 EE08 EE14 EE17 FF16 GG04 HGG GG09 GG16 GG16 GG09 GG09 DA24 DA25 DA26 DB08 DB15 DB19 EA03 EA06 EB02 FA02 5F033 HH12 JJ12 KK01 MM02 MM12 MM13 NN06 NN07 NN37 PP15 PP27 PP28 QQ09 QQ11 QQ12 QQ25 QQ37 QQ48 QQ60 QQ61 QQ73 QQ75 AQRR RRRR RRRR RRRR AB17 CA14 DA14 DA23 DA25 DA29 DA35

Claims (10)

【特許請求の範囲】[Claims] 【請求項1】窒素を含有する母材層の深さ方向における
所定のエッチング範囲に実質的に限定して水素を導入す
る工程と、 前記母材層を励起された酸素を含有する雰囲気に晒すこ
とにより、前記水素が導入された前記所定のエッチング
範囲を選択的にエッチング除去する工程と、 を備えたことを特徴とする加工方法。
1. A step of introducing hydrogen substantially limited to a predetermined etching range in a depth direction of a base material layer containing nitrogen, and exposing the base material layer to an atmosphere containing excited oxygen. A step of selectively etching and removing the predetermined etching range into which the hydrogen has been introduced.
【請求項2】母材層に窒素を導入する工程と、 母材層に水素を導入する工程と、 前記母材層を励起された酸素を含有する雰囲気に晒すこ
とにより、前記窒素及び前記水素が導入された部分を選
択的にエッチング除去する工程と、 を備え、 前記窒素及び水素の少なくともいずれかを前記母材層の
深さ方向における所定のエッチング範囲に実質的に限定
して導入することを特徴とする加工方法。
A step of introducing nitrogen into the base material layer; a step of introducing hydrogen into the base material layer; and exposing the base material layer to an atmosphere containing excited oxygen, thereby obtaining the nitrogen and the hydrogen. Selectively etching and removing the introduced portion, wherein at least one of the nitrogen and hydrogen is introduced substantially limited to a predetermined etching range in a depth direction of the base material layer. A processing method characterized by the following.
【請求項3】前記所定のエッチング範囲は、前記母材層
の表面を含まないことを特徴とする請求項1または2に
記載の加工方法。
3. The processing method according to claim 1, wherein the predetermined etching range does not include a surface of the base material layer.
【請求項4】前記母材層にハロゲン元素も導入すること
を特徴とする請求項1〜3のいずれか1つに記載の加工
方法。
4. The processing method according to claim 1, wherein a halogen element is also introduced into the base material layer.
【請求項5】アルミニウムを含有した母材層の所定のエ
ッチング範囲に実質的に限定して窒素と水素とを導入す
る工程と、 前記母材層を励起された酸素を含有する雰囲気に晒すこ
とにより、前記窒素と水素とが導入された前記所定のエ
ッチング範囲を選択的にエッチング除去し、さらに前記
母材層の残余の部分の少なくとも一部を酸化して酸化領
域を形成する工程と、 を備えたことを特徴とする加工方法。
5. A step of introducing nitrogen and hydrogen substantially limited to a predetermined etching range of a base material layer containing aluminum, and exposing said base material layer to an atmosphere containing excited oxygen. Selectively etching away the predetermined etching range into which the nitrogen and hydrogen are introduced, and further oxidizing at least a part of the remaining portion of the base material layer to form an oxidized region. A processing method characterized by comprising:
【請求項6】前記母材層の上にメサ構造体が設けられ、 前記所定のエッチング範囲は、前記メサの周囲に露出し
た前記母材層の表面から前記母材層の深さ方向における
所定の位置までの範囲であり、 前記酸化領域は、前記母材層が表面からエッチング除去
されたことにより露出した前記メサの側面から前記メサ
構造体の中心に向かって酸化形成された部分を含むこと
を特徴とする請求項5記載の加工方法。
6. A mesa structure is provided on the base material layer, and the predetermined etching range is a predetermined range in a depth direction of the base material layer from a surface of the base material layer exposed around the mesa. Wherein the oxidized region includes a portion formed by oxidation from the side surface of the mesa exposed by etching the base material layer from the surface toward the center of the mesa structure. The processing method according to claim 5, characterized in that:
【請求項7】前記所定のエッチング範囲にハロゲン元素
も導入することを特徴とする請求項5または6に記載の
加工方法。
7. The processing method according to claim 5, wherein a halogen element is also introduced into the predetermined etching range.
【請求項8】前記励起された酸素を含有する雰囲気は、
ハロゲン元素も含有することを特徴とする請求項1〜7
のいずれか1つに記載の加工方法。
8. The atmosphere containing the excited oxygen,
A halogen element is also contained.
The processing method according to any one of the above.
【請求項9】前記窒素及び水素の少なくともいずれか
は、イオン注入法により前記母材層に導入され、 前記イオン注入法における加速電圧を調節することによ
り前記所定のエッチング範囲に実質的に限定して導入す
ることを特徴とする請求項1〜8のいずれか1つに記載
の加工方法。
9. The method according to claim 1, wherein at least one of said nitrogen and hydrogen is introduced into said base material layer by an ion implantation method, and said predetermined etching range is substantially limited by adjusting an acceleration voltage in said ion implantation method. The processing method according to any one of claims 1 to 8, wherein the processing method is introduced.
【請求項10】前記イオン注入法において、前記母材層
の上に所定の開口を有するマスクを配し、前記窒素及び
水素の少なくともいずれかを前記マスクの前記開口を介
して前記母材層に導入することを特徴とする請求項9記
載の加工方法。
10. In the ion implantation method, a mask having a predetermined opening is disposed on the base material layer, and at least one of nitrogen and hydrogen is supplied to the base material layer through the opening of the mask. The method according to claim 9, wherein the method is introduced.
JP2001095517A 2001-03-29 2001-03-29 Processing method Expired - Fee Related JP3862965B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2001095517A JP3862965B2 (en) 2001-03-29 2001-03-29 Processing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001095517A JP3862965B2 (en) 2001-03-29 2001-03-29 Processing method

Publications (2)

Publication Number Publication Date
JP2002299318A true JP2002299318A (en) 2002-10-11
JP3862965B2 JP3862965B2 (en) 2006-12-27

Family

ID=18949554

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001095517A Expired - Fee Related JP3862965B2 (en) 2001-03-29 2001-03-29 Processing method

Country Status (1)

Country Link
JP (1) JP3862965B2 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011215404A (en) * 2010-03-31 2011-10-27 Toppan Printing Co Ltd Photo mask blank and method for manufacturing the same
CN102891103A (en) * 2012-09-17 2013-01-23 上海华力微电子有限公司 Method for preparing top metal interconnection technology etched intermediate stop layer
JP2013214090A (en) * 2009-07-16 2013-10-17 Hoya Corp Mask blank, transfer mask, manufacturing method of transfer mask
CN111326409A (en) * 2018-12-14 2020-06-23 云谷(固安)科技有限公司 Laser lift-off method and light emitting diode device epitaxial structure on sapphire substrate
CN113904215A (en) * 2021-10-09 2022-01-07 苏州长瑞光电有限公司 Wet oxidation method for vertical cavity surface emitting laser and vertical cavity surface emitting laser

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013214090A (en) * 2009-07-16 2013-10-17 Hoya Corp Mask blank, transfer mask, manufacturing method of transfer mask
JP2011215404A (en) * 2010-03-31 2011-10-27 Toppan Printing Co Ltd Photo mask blank and method for manufacturing the same
CN102891103A (en) * 2012-09-17 2013-01-23 上海华力微电子有限公司 Method for preparing top metal interconnection technology etched intermediate stop layer
CN111326409A (en) * 2018-12-14 2020-06-23 云谷(固安)科技有限公司 Laser lift-off method and light emitting diode device epitaxial structure on sapphire substrate
CN113904215A (en) * 2021-10-09 2022-01-07 苏州长瑞光电有限公司 Wet oxidation method for vertical cavity surface emitting laser and vertical cavity surface emitting laser

Also Published As

Publication number Publication date
JP3862965B2 (en) 2006-12-27

Similar Documents

Publication Publication Date Title
JP3974319B2 (en) Etching method
US6335292B1 (en) Method of controlling striations and CD loss in contact oxide etch
KR101549264B1 (en) Substrate processing method
KR100867420B1 (en) Method for fabricating semiconductor and eching system
JP2004152784A (en) Method for manufacturing fine pattern and method for manufacturing semiconductor device
CN101320224A (en) Halogen-free amorphous carbon mask etch having high selectivity to photoresist
JP2004031944A (en) Forming method of very narrow width transistor gate element by photolithography
US6716747B2 (en) Method of manufacturing a semiconductor apparatus with a tapered aperture pattern to form a predetermined line width
Desvoivres et al. Sub-0.1 μm gate etch processes: Towards some limitations of the plasma technology?
JP2000091318A (en) Manufacture of semiconductor device
KR100666892B1 (en) Method for manufacturing semiconductor device
US20020142486A1 (en) Method of fabricating semiconductor device
JP3862965B2 (en) Processing method
CN108962726B (en) Method for forming semiconductor device
US20040072438A1 (en) Method for defining alignment marks in a semiconductor wafer
US8034721B2 (en) Manufacturing method of semiconductor device
KR100326954B1 (en) Method for manufacturing a semiconductor device
JPH10261628A (en) Formation of contact hole of semiconductor device
US5932488A (en) Method of dry etching
JPH0485928A (en) Dry etching method
JP2006317981A (en) Method of repairing pattern
TWI249202B (en) Dielectric etching method to prevent photoresist damage and bird's beak
JP2001077087A (en) Manufacture and etching method of semiconductor device
US20040253823A1 (en) Dielectric plasma etch with deep uv resist and power modulation
KR100434312B1 (en) Method for making contact hole in semiconductor device

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20040401

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20040528

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050404

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050603

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060411

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060612

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060630

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060829

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20060920

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20060927

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101006

Year of fee payment: 4

LAPS Cancellation because of no payment of annual fees