JP2000514956A - 自動化された半導体処理システム - Google Patents

自動化された半導体処理システム

Info

Publication number
JP2000514956A
JP2000514956A JP10506255A JP50625598A JP2000514956A JP 2000514956 A JP2000514956 A JP 2000514956A JP 10506255 A JP10506255 A JP 10506255A JP 50625598 A JP50625598 A JP 50625598A JP 2000514956 A JP2000514956 A JP 2000514956A
Authority
JP
Japan
Prior art keywords
semiconductor
product
processing
carousel
products
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP10506255A
Other languages
English (en)
Other versions
JP2000514956A5 (ja
Inventor
デイビス,ジェフリー・エイ
カーティス,ゲイリー・エル
Original Assignee
セミトゥール・インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US08/680,463 external-priority patent/US5664337A/en
Application filed by セミトゥール・インコーポレイテッド filed Critical セミトゥール・インコーポレイテッド
Publication of JP2000514956A publication Critical patent/JP2000514956A/ja
Publication of JP2000514956A5 publication Critical patent/JP2000514956A5/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

(57)【要約】 ウェーハ(80)またはその他の半導体製品のための半導体処理システム(11)。このシステム(11)は、クリーンルームから操作可能な装置の一端部にインターフェイス部(14)を用いる。複数の処理ステーション(202)が、クリーンルームインターフェイス部(14)から離れたところに配置される。搬送装置(800)は、支持キャリア(79)からウェーハ(80)を取り外し、ウェーハ(80)およびキャリア(79)を、棚卸貯蔵部として用いられるカルーセル(720)上に配置する。ウェーハ(80)は、インターフェイス部(14)から遠ざかる方向に移動するように方向付けられたロボットコンベヤ(15)により、棚卸部(720)と処理ステーション(202)との間を往復搬送する。このシステム(14)は、処理ステーション(202)内に装填されるウェーハキャリア(73)を用いないで、ウェーハ(80)を処理する。

Description

【発明の詳細な説明】 自動化された半導体処理システム技術分野 本発明は、ウェーハの液体処理および気体処理を行うための、自動化された半 導体ウェーハ処理システムに関する。このようなシステムは、半導体ウェーハ、 データディスク、半導体基板、および極めて低い不純物レベルを要求する同様の 製品を処理するために用いられる。背景技術 半導体ウェーハの処理技術は、集積回路、データディスク、および同様の製品 が大量に生産されるのに伴い、大きな経済的意義を有するようになってきた。 集積回路およびデータディスクに用いられる特徴部分の大きさは、相当に小さ くなり、集積度が高まるほどに、容量も増大する。これは、リソグラフィ技術お よびプロセス技術が改善されたことにより実現可能となった。 不純物で汚染されるために、特徴部分の寸法を小さくするには限界があった。 これは本当のことであって、その理由は、さまざまな汚染する粒子、結晶、金属 、および有機物が最終製品に欠陥をもたらすためである。特徴部分の寸法を小さ くする上で不純物に起因する制限があるために、既知のリソグラフィ技術の解像 能力をこれまで十分に活用できなかった。 つまり、半導体ウェーハ、データディスク、半導体基板、およびプロセス中に 極めて低い不純物レベルを要求する同様の製品を処理するための、改善された方 法およびシステムが火急の必要性に迫られていた。 半導体部品を製造する上で、さまざまな製造工程において、処理すべき製品に 液体および気体の処理が施される。ウェーハの露出部分にこれらの処理流体を付 加あるいは除去する作業は、ウェーハをプロセスチャンバ内で動作させることに より、うまく行われる。プロセスは、半導体ウェーハに遠心力による処理を施す ことによりうまく処理される。このプロセスは、例えば、液体をウェーハ上に噴 霧した後、ウェーハを回転させて液体に遠心力を作用させることにより、ウェー ハ表面全体に広がる液体をはじき飛ばす場合のように、ウェーハ表面全体に広が る流体をうまくはじき飛ばすよう処理するものである。 一例を挙げると、ウェーハを洗浄した後、乾燥させる必要がある。この処理を 、おろそかにすることはできない。なぜなら、半導体ウェーハ表面上に残存する 水滴中には、後の処理を阻害し、最終製品に不具合をもたらす残渣としていくら かの粒子が残っている可能性が少なくともあるからである。遠心力を作用させる ことにより、水やその他の処理液を除去しやすくし、流体は、表面に付加された 後、外方向にはじかれ、そして表面から除去されるので、そのような残渣が生じ にくい。乾燥処理を行うことはまた、ウェーハ表面上に残る液体をより少なくし 、乾燥する速度が上がるので、有益である。これにより、処理時間を短縮し、粒 子付着による残渣または不純物の問題を低減することができる。 遠心力を用いた従来の処理装置の1つの形式において、いくつかのウェーハキ ャリアが、回転軸の周囲に間隔を隔ててほぼ円形に配置した複数のホルダまたは キャリア内に設置されている。ウェーハが載置されたキャリアを有する回転体を 、通常、処理用ボウルまたはベッセル内に配置された処理チャンバ内で回転させ る。非イオン化処理した水、高温窒素、液体および気体の両方を含むその他の処 理用化学物質の供給源に接続された噴霧ノズルまたは同様の導入口を有する流体 多肢管が、ベッセルの中心に、またはその他の周辺位置に設けられている。洗浄 処理、乾燥処理、またはその他の処理を行うために、これらの、またはその他の 流体がウェーハに与えられる。 その他の従来の回転式洗浄乾燥装置は、1つのウェーハキャリアに保持される 一塊のウェーハを乾燥させるために構成されてきた。ウェーハキャリアおよび支 持されるウェーハは、回転体の内部に保持される。回転体は、ウェーハを搭載し たキャリアを受容するための開口部を有しており、このウェーハは、その中心点 が回転軸と一致するか、ほとんど一致するようにアレイ内に配置される。一般に は、回転中に遠心力が働いたときに、ウェーハがウェーハキャリア内に納まるよ うに、少しずらして配置される。水、窒素、およびその他の処理流体は、中央部 に設けた多肢管ではなくて、側面に沿ってチャンバ内に注入される。回転体がキ ャリアおよびこれに支持されるウェーハとともに回転するときに、洗浄処理、他 の液体処理、または乾燥処理が実施される。回転体を上下逆転した位置で停止さ せる必要があるとき、ウェーハがずれ落ちないようにするために、通常、固定保 持バーがウェーハキャリアの上部開口部の近くに設けられる。通常、回転体はま た、右側を上にした状態で停止するように制御される。この種類の回転式洗浄乾 燥装置は、一般に、軸式、または軸上式の回転洗浄乾燥装置と呼ばれている。 さらに、同様の構成を有する半導体処理装置が、遠心力による化学エッチング 処理またはその他の化学処理する際にも用いられる。このようにして、必要な化 学薬品を処理チャンバに対して加圧、または噴出し、バルブによりこのような化 学薬品のチャンバ内への供給を制御する。化学処理の後に関連する洗浄乾燥処理 を行ってもよい。処理用化学薬品を用いることにより、処理が複雑なものとなる 。というのも、高い反応性化学薬品は、流量、およびその他の動的な変動要素を もって、異なる速度で色々な方向からウェーハ表面に当たる可能性があるからで ある。この変動性により、エッチング処理速度を変え、またはその他の化学処理 上の解消し難い変化を生じる。 バッチ(一塊にした状態)内における処理を均一にし、バッチとバッチとの間 で反復性よく処理することは(ばらつきを小さくすること)は、半導体を処理す る上で、特に遠心力により半導体を処理する上で、重要な課題であった。この問 題は、遠心力によりバッチで処理する場合に、ウェーハがウェーハキャリアを用 いて間隔を密にして配置して保持されるので、とりわけ重要である。処理流体を ウェーハに供給する際の本質的な変動要素に加えて、ウェーハがキャリア内にど のように保持されるかによる変動要素もある。キャリアの構造的な部分は、ウェ ーハ表面に対する流体の侵入を不可避的に制限してしまう。これは、同一のバッ チ内で処理されたものであっても、ほとんど常に、キャリア内の異なる位置にあ るウェーハに異なる処理効果をもたらしてきた。キャリアは、処理チャンバ内の 処理流体の配分による影響を抑制するように設計されてきたが、処理効果に対す る均一性と反復性に与える影響を排除することはできなかった。 ここで用いられた装置および方法は、異なる成功の度合いで実行されてきたが 、ときどき、処理中に発生する不純物または粒子付着に関する問題が生じていた 。半導体デバイス上に形成されるここの構成要素の大きさと形状がより小さく、 より高密度になるにつれて、より厳重に不純物管理することが、より困難なもの となってきた。 つまり、半導体ウェーハおよび同様の製品を処理するこの技術分野において、 不純物汚染の可能性をできるだけ抑制しながら、より均一な処理効果が得られる ような遠心力処理装置が必要とされていた。これは、半導体ウェーハが実質的に 損傷しないように行わなければならない。 半導体製品を処理する上でもうひとつ重要な点は、処理と処理の間の異なる処 理段階およびその搬送中において、半導体ウェーハを支持するために共通して用 いられるウェーハキャリアを取り扱うことと、調整することである。ウェーハキ ャリアは、しばしば不要な汚染物質を取り込みやすいものである。汚染されたキ ャリアは、処理機構の中で何度も、1つ以上のウェーハバッチを搬送するために 用いられる可能性がある。こうすると、多数のウェーハおよびバッチに汚染物質 が広がる可能性が高くなる。 これらの、その他の検討により、ここに開示される新規な半導体処理システム が考案された。このシステムによれば、ここで開示する説明および構成による固 有の、さまざまな利点と長所を享受することがてきる。図面の簡単な説明 本発明に関する1つまたはそれ以上の好適な実施例を、添付図面を参照しなが らここで説明する。画面を以下のように簡単に説明する。 図1は、本発明による好適な半導体処理システムを示す斜視図である。 図2は、図1と同様の斜視図であって、主要部品のいくつかをより分かりやす く説明するために、部分的に削除した好適な半導体処理システムを示す。 図3は、図1の処理システムの正面図である。 図4は、図1の処理システムのインターフェイス部に関する部分的な側面図で ある。 図5は、図1の処理システムの選択された構成部品を示す斜視図である。 図6は、図1の処理システムの選択された構成部品を示す平面図である。 図7は、図1の処理システムの一部を形成する、好適なカルーセル装置を示す 斜視図である。 図8は、図1の処理システムの一部を形成する、好適な製品搬送部を示す斜視 図である。 図9ないし21は、図1の処理システムが、関連する処理ステーションで処理 するための準備段階において、カルーセル装置上の半導体ウェーハをどのように 搬送するかを示す一連の図である。 図22は、図1のシステムで用いられる搬送部の斜視図である。 図23は、図1のシステムで用いられる、好適な遠心処理回転体の斜視図であ る。 図24は、基本構成をより明確に示すために、いくつかの部品を取り除いた、 図23の遠心処理回転体の断面斜視図である。 図25は、図24で示す処理の次のステップにおける、図23で示す遠心処理 回転体の断面斜視図である。基本構成を示すために、いくつかの部品を取り除い てある。 図26は、図25で示す処理の次のステップにおける、図23で示す遠心処理 回転体の断面斜視図である。基本構成を示すために、いくつかの部品を取り除い てある。 図27は、図26で示す処理の次のステップにおける、図23で示す遠心処理 回転体の断面斜視図である。基本構成を示すために、いくつかの部品を取り除い てある。 図28は、ロボットアーム部上に取り付けられた回転体および搬送部を有する 、本発明の別の実施例による側面図である。 図29は、図28の回転体の正面図である。 図30は、図29と同様の正面図であって、回転体の前部に搬送部が配置され ている。 図31は、図28で示す回転体および搬送部の一部を示す斜視図である。 図32は、図1の処理システムで用いられる好適な制御システムに関する制御 システムの概略プロック図である。本発明を実施する最良態様および本発明の開示 処理システムに関する一般事項 図1および2は、一般に、本発明の新規な態様により構成された、好適な処理 システム11を示す。処理システムは、ハウジング12と結合するフレーム13 を有している。ハウジング12およびフレーム13は、(図示しない)支持表面 に設置されている。ハウジングは外枠を形成するように構成するのが最も好まし く、この外枠は、この機械を実質的にまたは完全に包含し、そして作業スペース 18を設定し、この作業スペース内で、ウェーハ80またはその他の半導体製品 を移動させ、塵や汚染物質から保護しながら処理する。 図1は、図示しやすくするために、ハウジング12の外枠を全く示していない 。特に説明のために、上部または屋根部を削除した。屋根部は、好都合にも、一 連の(図示しない)超微細フィルタを備えることができ、このフィルタを通じて 、空気、窒素、その他の作業スペースガスが作業スペース18に供給される。 図1によれば、処理システム11は、ウェーハ80またはその他の処理すべき 半導体製品を出し入れするための機構および特徴部分を有するインターフェイス 部14を備えている。インターフェイス部はまた、ウェーハキャリア79からウ ェーハを搬送し、カルーセル部720上に載置されたウェーハおよびキャリアを 棚卸しするための機構を備えている。この処理システムの他の基本的な特徴部分 をもう少し説明した後、これら機構の好適な形態について、以下に詳細に説明す る。 処理システム11はまた、処理部8を備えている。処理部は、1つまたはそれ 以上の個別の処理ステーション19を備え、処理ステーションはさまざまな構成 を取り得る。遠心力を利用したステーション、または浸漬型のステーションを用 いることができる。本発明の好適な態様における処理ステーション19の各々は 、内部に設定された処理用チャンバを部分的に内包する処理ベッセル201を有 する遠心処理ステーションである。処理ベッセルはまた、移動可能なドア202 とぴったり合うことが好ましく、このドアは、通常示す閉じた位置と図2の1つ のステーションで示す開いた位置との間を移動させることができる。 処理ステーション19は、処理ステーションのコンソール203内に取り付け られ、このコンソールは、ステーションで実施しようとする固有の処理に用いら れる処理液または処理ガスを必要に応じて供給するための、関連する支持流体供 給源を有する。ステーション19は、すべて同じものであってもよいし、各々異 なってもよいし、あるいは、同じ処理システム内において、1つまたはそれ以上 の他の関連するステーションと組み合わされる、1つ以上の特定形式であっても よい。 図示するように、半導体製品はバッチで(一塊にした状態で)処理される。バ ッチ中のウェーハは、直線的なバッチアレイ(整列させた一塊)として配置させ て、このバッチアレイ内に、個々のウェーハまたは他の製品を、距離を置いて、 実質的に平行に、そして長手方向のバッチ中心軸(軸は図示しない)を形成する ために、ディスク形状を有するウェーハの通常の中心軸が一致するように配置す る。ウェーハの大きさは変化し得る。ウェーハの数量も変化するが、現時点では 一般には25または50枚のウェーハを有するものとする。というのも産業上の 標準的なウェーハキャリア79は、その程度の容量を有するためである。 (ロボットコンベヤ) 図1および2はさらに、一般に符号15で示すロボットコンベヤを図示する。 ロボットコンベヤ15は、コンベヤ取り付け用の梁またはレール7を有し、その 上に移動可能なコンベヤロボット部5が取り付けられ、レールに対して移動する 。コンベヤ15は、半導体ウェーハまたはその他の製品80を、処理システム内 に おいて、特に棚卸用カルーセル720と処理ステーション19の間で移動させる 。 ロボット部は、さまざまに設計することができる。1つの設計は、モンタナ州 にあるカリスペルのセミトゥール社からマグナムという商標で販売されている処 理システムの一部が利用可能である。さらに、処理システムの適当なコンベヤ部 およびその他の態様に関する詳細な説明について、出願係属中の、1994年4 月28日付出願の米国特許出願第08/236,424号、1995年3月31 日付出願の米国特許出願第08/415,240号、および1995年4月3日 付出願の米国特許出願第08/415,927号で開示されているように、実施 することができる。これらすべての特許出願の開示内容がここに一体のものとし て統合される。このような形式の装置はまた、世界知的財産権機構(WIPO) によリ公開された、対応のPCT公開WO95/30239号、およびPCT公 開WO95/30240号で記述されており、すべて一体のものとしてここに統 合される。 好適なロボット搬送部15における構成は、関節を有するアーム16を備えて いる。図5によれば、好適な関節アームは、上部アーム部741と、下部アーム 部742、およびハンド部743を有することが、より明確に図示されている。 関節アーム16は、あらゆる平面方向およびさまざまな位置に配置することがで きるハンド部および付随する掌握ヘッドを用いる。コンベヤロボット17は、掌 握部を取り付けるために用いる末端部17を有し、この掌握部は、以下に詳述す る、またはその同等物の構成を有するのが好ましい。末端部17は、半導体製品 をさまざまな個別の、または複数の作業ステーション19に搬送するために、多 様な搬送経路に沿って移動させることができる。これら多様な搬送経路の各々に ついて、以下においてより詳しく説明する。本発明は、洗浄ステーションおよび 化学処理ステーションの組み合わせにおいて有用であることを説明するが、その 他の応用例でも同じ装置が有用であり得ることを理解されたい。出し入れインターフェイス部 図1および2は、処理システム11が出し入れ部、またはインターフェイス部 14を有することが望ましいことを示す。本発明は、インターフェイス部14の ために用いられる新規な構成に、一部焦点を絞る。インターフェイス部14は、 処理装置のフレーム13および外壁構造12を用いて構成されている。、インター フェイス部は、クリーンルーム内にあるホールまたはギャラリに沿って好適に配 置された前方壁701を有する。前方壁701はインターフェイス開口部702 を有する。インターフェイス開口部702は、人間オペレータが観察できるよう に、少なくとも一部が透明であるインターフェイスドア703を有していること が望ましい。ドア703は、リニアスクリュ駆動部またはその他多くの機構であ ってもよいが、適当な自動扉駆動部で駆動されることが望ましい。前方壁701 はまた、システムのクリーンルームの端部から操作でき、さまざまな構成をとり 得る、操作制御モジュールまたはステーション704を備えていることが望まし い。図示するように、操作モジュール704は、タッチスクリーンの表示制御パ ネル705を有する。さらに、プログラム情報を制御するためのディスク駆動部 706、および図示しないが一般に符号707で示す、その他の手動で押下でき る(非常停止ボタンのような)制御ボタンが適当に設けられている。 インターフェイス14は、インタフェイス部の外枠内の上方に、カルーセル支 持用フレーム710を有すること望ましい。カルーセル支持用フレーム710は 、以下でより詳しく説明する棚卸用カルーセルを取り付けるために用いられる、 中央フレーム開口部711(図1)を備えている。カルーセル支持用フレームの 特定の形状は、カルーセルまたはその他の棚卸用保管装置が形成される特定の形 状によって、容易に変えることができる。棚卸用カルーセル 図5は、複数のウェーハ80またはその他の処理すべき半導体製品を支持する ために用いられるカルーセル棚卸機構の一部を示すものである。カルーセル装置 720は、(図6の)固定具729を用いてカルーセル支持用フレームの開口部 711内に固定されたカルーセル支持プレート721を有する。支持プレート7 21は、メンテナンスまたはその他の目的により着脱可能なカルーセルの主要ハ ウジング部722に取り付けられて、これを支持する。カルーセルの主要ハウジ ング部722は、(図7の破線で示すような)カルーセル駆動モータ747を支 持して固定する内部構造を有する。カルーセルの駆動モータの出力部は、カルー セル回転シャフト723としてある。シャフト723の下端部には、連結器74 6により下端部で連結された適当な回転位置エンコーダ745が設けてある。エ ンコーダ支持用ブラケット744がフレーム13、または適当な支持構造に固定 されて、シャフト723の回転に対してエンコーダ部分を安定化させる。 カルーセル装置はさらに、外側方向に伸び、4つの片持ち梁部を提供するため に設置された、複数のカルーセル支持アーム725を備えており、この梁部は、 好適にも、ウェーハ80およびウェーハキャリア79を支持するために用いるこ とができる。図示するように、カルーセル中央支持パネル727が敷かれる中央 スクエア部を形成するために、カルーセル支持アーム725は、正方形形状の配 置で重ね合わせるように接合される。 各カルーセル支持アーム725は、1つまたはそれ以上の支持ブラケット72 8を支持するように構成されていることが望ましい。支持ブラケット728は、 任意の適当な手法で取り付けることができる。図示するように、支持ブラケット 728は、アーム725上に載置されて、固定具により固定されている(図示せ ず)。 各支持ブラケット728は、上側あるいは第1の載置部、または支持部730 と、下側あるいは第2の載置部、または支持部731とを備えている。上側載置 部730は、内側対向の上方表面に沿って、一連の溝またはノッチ732(図6 参照)を有していることが望ましい。溝732は、個々のウェーハ80を保持す るための保持レシーバとして機能する。下側の第2支持部731は、ウェーハキ ャリア79を保持し支持するために用いられる。図示するように、下側支持部7 31は、半導体製品のキャリア支持部を形成するように構成される。製品キャリ ア支持部731は、好適にも、表面細部構造を有しており、その構造により、ウ ェーハキャリア79が支持部731に載置された後に意図しない動きをしないよ うにウェーハキャリアを保持しやすくする。こうして、カルーセル回転体が所望 の回転位置まで回転するとき、キャリアを所定位置に維持することができる。用 いられる特定の形状は、用いられるキャリアの特定の設計に従って、変えること ができる。 インターフェイス部は、広がりを有する中間水準デッキ750と、デッキの下 に伸びる部分を備えていることが望ましい。デッキ750は、(図示しない)貫 通孔または孔により吹き抜けていることが望ましく、これにより、処理システム の外枠内の上限基準値内にある濾過された空気を供給するために、(図示しない )上方にある空気供給・濾過ユニットから粉塵のない空気または作業スペースガ スを下方向に通過させることができる。この装置によると、形成された粒子また は不純物質すべては、濾過空気または作業スペースガスが下方向の流れる際に、 除去される。 図5ないし7で示す好適なカルーセルの構成によれば、約400枚のウェーハ を収容するように設計されたシステムを図示している。ウェーハは、ウェーハ2 5枚を収容できる各ウェーハキャリア79内に通常保持されている。つまり、カ ルーセル720は、ウェーハおよび16個のウェーハキャリアの両方を、カルー セル上の棚卸位置で保持することができる。このカルーセルの構成および装置に よれば、棚卸されたウェーハおよびキャリアを、カルーセルの4つの異なる回転 位置で適切に操作することができる。ウェーハ搬送部800またはロボットコン ベヤ15のいずれかを用いることにより、操作を行うことができる。この装置に よれば、さらに、ロボットコンベヤを用いて、カルーセルの1つのアームを操作 し、その間、カルーセルの他のアームを搬送補助システム800により装填また は取り出すことができる。製品搬送補助システム 半導体製品の搬送機構800について、図8でより詳細に示す。機構800は 、装置フレーム13の一部を形成するか、あるいは外枠12内に適当に支持され る補助フレーム802により好適にも支持されている。補助フレーム802は、 さまざまな構成を取ることができる。図8において、補助フレーム802は、横 方 向に移動可能な主要搬送部810が取り付けられた横方向ステージガイドレール を有することが示されている。 適当な横方向ステージ駆動部を用いて、主要搬送部810を水平方向に往った り来たり移動させることにより、横方向に移動させることができる。図示するよ うに、横方向のステージ駆動部は、関連する回転アクチュエータ、または支持レ ール803に沿って主要搬送部810を水平方向に往ったり来たり移動させる、 その他の適当な駆動部を駆動する横方向ステージ駆動モータ804を有している 。横方向ステージ駆動部は、支持フレームガイド803、および主要搬送部81 0の一部を形成する横方向ステージ従動部812を直接に駆動する。横方向ステ ージガイドおよび駆動部の多様な構成が、本発明において利用できる。 製品サブアセンブリ810は、主要構成要素811を有する。主要構成要素8 11は、横方向ステージ従動部812に対して垂直方向に移動させるために取り 付けるなどして、縦方向に上下させるように取り付けられている。横方向ステー ジ従動部812と主要構成要素811の間の連結部とは、主要構成要素811内 に取り付けられた第1の昇降アクチュエータを用いて動かされる。 横方向ステージ812および主要構成要素811は一体となって、フレームに 対して移動できるようにフレームに取り付けられた搬送第1キャリッジを形成す る。図示するように、第1キャリッジは、水平方向および垂直方向に移動するよ うに取り付けられている。第1キャリッジは、少なくとも1つの製品キャリア7 9を第1キャリッジの上で支持するための少なくとも1つの特徴部分を有するこ とが望ましい。キャリアを支持する特徴部分は、多様な選択的設計により構成す ることができるが、好適な構成については次に説明する。 製品搬送機構800はさらに、第1キャリッジの一部をなし、主要構成要素8 11に連結される2つの上部デッキ831および832を有する。図示するよう に、第1デッキ831は、主要構成要素に固定的に連結されるが、可動的に連結 してもよい。第1デッキ831は、その内部に形成される2つのウェーハキャリ ア容器833を有する。容器833は、ウェーハキャリア79の底端表面を支持 するような形状および大きさを有する。各容器833はまた、デッキ831を貫 通して開口する容器内部の開口部分または容器開口部を有している。これらの容 器開口部により、製品リフトヘッド821が容器およびデッキを通じて自由に上 下することができる。リフトヘッドはさらに、ウェーハキャリア79からウェー ハ80を持ち上げるために、キャリア79の底部に形成された孔を通じて持ち上 げる。 図示するように、第1キャリッジ上のキャリア支持部はまた、第2または上部 デッキ832を有する。第2デッキ832は、同様に、ウェーハキャリア79を 保持し、その上にキャリアを支持するための容器833を有している。以下で説 明するように昇降の際、リフトヘッド821が貫通して伸びることができるよう に、第2デッキの容器833は、同様に、開口部を有しており、以下で説明する ように昇降の際、リフトヘッド821はこれを貫通して伸びる。第1デッキに関 連するリフトヘッド821をリフトヘッドの第1セット、第2デッキに関連する リフトヘッドをリフトヘッドの第2セットと考えることができる。複数のリフト ヘッドが図示されており、好適ではあるが、択一的に、搬送機構の搬送能力は小 さくして、1つのリフトヘッドおよび1つのデッキを利用することが可能である 。 第1デッキ831および第2デッキ832は、容器833内にキャリア79を 配置しやすくするための、適当な数のキャリア位置決め部846を設けてあるこ とが望ましい。キャリア79が容器内の適切な位置に配置されたことを検出でき るように、キャリア検出部847を容器833に設けてあることが好ましい。 第1デッキ831および第2デッキ832は、ウェーハキャリアおよびキャリ アに保持されたウェーハを装填しやすくするために、構成され、取り付けられ、 そして形成されていることが好ましい。この装填ステップは、インターフェイス 開口部702を介して行われるように設計されている。この装填ステップは、両 方のデッキをこの開口部のすぐ近くに設けることにより実施されることが好まし く、これにより、ロボットオペレータまたは人間作業者は、ウェーハを搭載した キャリアを、開口部702を通じて容器833に設置することができる。これを 支援するために、図示するように、第1デッキ831および第2デッキ832が 共に開口部702の近くに配置されるように構成される。特に変更することなく 、 また、サブアセンブリ810を開口部702に向かって適切に位置決めする際、 横方向ステージの位置を超えて移動させたりしなければ、デッキ831が最も近 接する位置にある。これを図9で図示する。図9はさらに、第2デッキ832が 、第1デッキ831、または主要構成要素811の他の部品に対して滑動可能に 接続されていることを示す。図8は、ガイドレール840を用いてこれを実現す る好適な構成を示す。ガイドレール840により、2つのデッキは滑動可能に接 続され、ガイドレール840の長手方向軸で実質的に定義される方向に沿って直 線的に移動することができる。第2デッキ832は、上側デッキ駆動部またはモ ータ842を用いて、第1デッキ831に対して移動する。この駆動部は、螺旋 ねじおよびボールベアリング従動部などの直線的な駆動部を有することが望まし く、これにより上側デッキを下側デッキに対して移動させて、図9ないし12の より完全な詳細図に示すように配置する。図10は、簡単に操作できるように、 上側デッキを装填および取り外し開口部702の近くに配置した、重ね合わせた 位置関係を示す。図12は、上側デッキを下側デッキに対してずらした位置関係 を示し、これにより両方のデッキ上にウェーハキャリアを支持できるようにする 。 サブアセンブリ810はまた、少なくとも1つの第2キャリッジを有する。図 示するように、第2キャリッジは、上述したようなウェーハリフトヘッド821 を有する。リフトヘッドは、ウェーハまたは搬送すべき半導体製品のための支持 部として機能する。図示する例示的な構成では、リフトヘッドは、直立するリフ トヘッド延長ロッド820の上に支持されている。リフトヘッドおよびリフトロ ッドの一部は、図14で示すように、容器833の開口部を通して伸びている。 図示された好適な構成では、2つの第2キャリッジを設けてある。第2キャリ ッジの一方は、第1デッキ831を通じて伸びるリフトヘッドの第1セットを有 する。他方の第2キャリッジは、第2デッキ832を通じて伸びるリフトヘッド の第2セットを有する。第2キャリッジの各々は、これから説明する構成を用い て、独立して操作されることが望ましい。 第2キャリッジは、さらに、横方向の第2キャリッジ部813を有する。横方 向の第2キャリッジ部813は、両端部付近でリフトロッド820を支持する連 結バーを形成する。連結バー、リフトロッド,およびリフトヘッドが、第2キャ リッジ部の部分と同様に、上方向または下方向に移動する。これらの第2キャリ ッジ部を、第2キャリッジ動作部により移動させる。好適な構成では、これら動 作部は、螺旋ねじ駆動部のような適当な直線駆動機構を有する。図8で示す駆動 部は、ねじ部841を駆動する駆動モータ814を有する。ねじ駆動従動部84 2は、主要構成要素811の側面に形成されたガイドチャンネル843内に、回 転できないように支持されている。横方向の部材813は、固定具844を用い て駆動従動部842に固定されている。このように構成することにより、第2キ ャリッジ昇降モータ814を用いて、第2キャリッジの各々を、主要構成要素8 11に対して独立して移動させるように、第2キャリッジ部を垂直方向に移動さ せることができる。 さらに注目すべきことは、このウェーハリフトヘッド821は、ウェーハまた はその他の半導体製品のアレイが保持されるように、一連のウェーハまたは他の 半導体製品の保持溝822が設けてあることが望ましい。キャリアとカルーセルとの間のウェーハの搬送 図9ないし21は、本発明による好適な操作および方法を図示する。この節で 説明する方法は、プロセッサに装填するステップと、ウェーハ80をキャリア7 9からカルーセル720により保持されるカルーセルアレイに搬送するステップ とを有する。 図9は、この方法の最初の段階を示すものであって、ここでは搬送第1キャリ ッジの上側デッキ832を開口部702の方に位置決めすることにより、ウェー ハの搬送は制御されていた(図9では図示せず、図1参照)。図示されたキャリ ア79および支持されたウェーハ80は、上側デッキ832上に載置されるのを 待機している状態である。そしてキャリアは、開口部702を通じて1つまたは それ以上のキャリアを上側デッキ上に載置されるように、手動もしくは機械によ り操作される。載置ステップは、キャリアをデッキ上に載置し、キャリア保持容 器833内に載置するか、あるいはキャリアを搬送第1キャリッジ上に適切に配 置するために用いられるその他の形状をしたものの上に配置することによりなさ れる。 キャリアが上側デッキ832上に配置された後、上側デッキを引き戻すステッ プ、あるいは図12で示すような位置に移動させるステップによって好適に処理 される。引き戻すステップにより、下側デッキ831の上に形成されたキャリア 容器833が利用できるようになる。これにより、いま説明したのと全く同じ方 法で、キャリア容器の第2セットに対してキャリア載置する準備を整えることが できる。図13は、第2セットが下側デッキ831上にキャリア載置された様子 を示している。このようにして、搬送機構は、ウェーハ載置したウェーハキャリ ッジが十分に装填される。 図14は、ウェーハ80またはその他の半導体製品をキャリア79から分離す るステップを図示している。キャリアから製品を分離するステップは、リフトヘ ット822を持ち上げ、または上昇させることにより実施される。持ち上げまた は引き上げるステップは、搬送機構の第1キャリッジに対してヘッドを持ち上げ る第2のキャリッジ動作部814を用いて、動力供給を受けて行うことが望まし い。 図15は、次の搬送過程を示し、上側デッキ832の上方に2つの載置済みの キャリアを移動して、ウェーハをカルーセル上に設けたウェーハ支持部の上方に 配置するステップを実施する。このステップを実施するために、図示する所定の 装填位置と一致するようにカルーセルを回転方向に移動させることにより、必要 に応じてカルーセルを調整する。こうして、搬送機構の横方向ステージを、開い たウェーハ支持用ブラケット728の容器またはレシーバに向けて搬送するステ ップが実施される。ウェーハの第1セットが、まず、ブラケット728上にある ウェーハ支持部の上方の所望位置に配置される。 そして図16は、上側デッキの第2キャリッジに対する引き戻しステップが実 施された後、上側デッキのリフトヘッドが下方向に引き戻されたを示す。この引 き戻しステップにより、ウェーハを下方向に降下させ、リフトヘッド821上の 保持溝822からカルーセルのウェーハ支持部730に形成された保持溝732 に搬送することができる。 図17は、下側デッキ831から持ち上げられたウェーハが、同様にカルーセ ルウェーハ支持部に搬送される様子を示している。ウェーハの第2セットをウェ ーハの第1セットにさらに近づけて配置することにより、ウェーハキャリアの大 きさと形状が許容するスペースよりも有効にスペースを活用されていることに注 目する必要がある。これは、図17で示すように、(図16の)中間ギャップ8 50を削除することを意味する。この結果、2つの平行なカルーセルバッチアレ イを形成することになり、各アレイは、50枚のウェーハ、または各ウェーハキ ャリアから25枚で始まるその他適当な枚数のウェーハを有する。このように構 成することが望ましいのであるが、択一的に、1つのカルーセルバッチアレイを 形成するために、より小さい数または多くの数のキャリアを用いることができる 。 図18は、ウェーハサブアセンブリがカルーセルから完全に離脱して、次のカ ルーセルのアームに装填するために、載置済みウェーハキャリアの次の4グルー プを保持する用意ができている状態を示す。このような装填ステップおよび搬送 ステップを行う前に、図19で示すようにカルーセルを回転方向に移動させるス テップが行われる。カルーセルを回転させるステップはまた、配列ステップまた は位置決めステップを行い、ロボットウェーハコンベヤ15が、カルーセルバッ チアレイと相互作用できるようにする。 図20は、ロボットコンベヤ15をカルーセル保持位置に位置決めした後のコ ンベヤ15を示す。この位置決めステップにおいて、ウェーハ搬送部140が、 カルーセル上に搭載されたウェーハの下方に伸びる。そしてコンベヤは、ウェー ハをブラケット728上の支持位置から分離するための持ち上げステップを実施 する。次に、図21で示すように、コンベヤは、一連の搬送動作を実施する。こ の運搬または搬送ステップは、所望の処理ステーション19にウェーハを装填す るようにウェーハの位置に移動させるために実施される。本発明で好適に用いる ことができる搬送部140、および対応する回転体に関する好適な構成をまず検 討した後に、ウェーハを処理ステーション19に装填(設置)するステップおよ び取り出すステップについて、以下により詳細に説明する。第1の処理回転体および搬送部 本発明で用いられる好適な遠心力処理回転体の第1の実施例が、一般に図23 の符号10で図示されている。遠心力処理回転体は、上述したより大きな装置ま たは処理システム11の一部を構成している。 図24ないし27は、ここで説明する新規な動作方法を実施するための、回転 体10および製品搬送部140の各々およびこれらの組み合わせに関する多様な 特徴を示すために、回転体10および製品搬送部140の第1の好適な実施例を 示す。図22は、搬送部140だけを示すものである。図23は、回転体だけを 示すものである。 遠心力処理回転体10は、回転体フレーム20を有する。回転体フレームは、 周辺端22で形成される前部分または第1プレート21を有する。前部分21は さらに、実質的に中央に配置された開口部または孔23、および一対の取り付け 用開口部24を有する。前部分または第1プレート21は、前向きの表面25、 および反対の後向きの表面26を有している。後部分または第2プレート30が 、第1プレート21に対して実質的に平行に間隔をおいて配置するように、適当 な位置関係で取り付けられている。後部分30は、周辺端31を有し、大きな開 口部32および小さな開口部33を含んでいる。小さい開口部は、回転体フレー ム20の回転軸に対して実質的に同軸上に配置されている。 後部分はさらに、一対の取り付け用開口部34を有している。後部分30は、 実質的に平面で円形形状を有し、前部分と実質的に同じ直径寸法を有する主要部 35を有する。主要部35はさらに、外向き表面36および内向き表面37を各 々有している。 独立した前部分21および後部分30は、図示するように、回転体に対して距 離をおいた回転体フレーム部40により、実質的に同軸上に平行で間隔をおいて 配置されるような関係で、一体のものとして適当に構成される。各回転体フレー ム部40は、従来式の固定手法を用いて前部分21上に固定される第1端部41 と、同じ技術を用いて後部分30上に取り付けられた第2端部または末端部とを 有している。上述の所定方向に第1および第2プレートを配置することにより、 その間に処理空間43が形成される。 図23を参照すると最もよく分かるように、横方向に配置された一対の支持部 またはコウム(くし部)50は、回転体フレーム20により支持されており、空 間43内に配置されている。コウム50は、第1部分21および第2部分30の 内向き表面26および37の上に各々個々に固定される第1コウム5Lおよび対 向する第2コウム52を有する。第1コウム51および第2コウム52は、図示 するように、表面26および37に対して通常、実質的に外向きに伸びている。 第1コウム51および第2コウム52は、ウェーハまたはその他の処理すべき半 導体製品を保持するために設けられている。こうして、好適にも、図示するよう に、実質的に平行で距離を隔てた構成を有する形状を実現することができる。 第1および第2コウムは、従来式の固定技術を用いて前部分21および後部分 30に固定されるフレーム部分53を有する。第1および第2コウムは、波状周 辺端55で形成されるコウム部54を有する。波状周辺端55は、互いに内向き の関係に配置され、次の節でさらに詳述するように、半導体製品を保持するよう 動作することができる。周辺端には、これに接触する半導体製品を保護する多様 な材質で、あるいは多様な表面被膜を用いて形成することができる。1つの好適 な構成では、テトラ・フルオレン・エチレン・ポリマーの熱可塑性製品が用いら れる。その他の製品および構成が択一的に実施可能である。 図23はさらに、一対のベースコウム(ベースくし部)を示し、以下では第1 ベースコウム61および第2ベースコウム62と称する。これらベースコウムは 、前部分21および後部分30上に従来式の固定技術を用いて固定される。一対 のベースコウムは、平行に距離を隔てた関係で図示されており、一般に回転体の 回転軸上に配置されている。第1および第2ベースコウムは、横方向に配置され た第1コウム51および第2コウム52と相俟って、所望の処理位置で、製品を 保持し、支持し、または収容するように動作できる製品受容部またはレシーバ6 3を形成する。レシーバはさらに、半導体製品を回転体フレーム20の回転軸に 対して実質的に同軸上に配置するように、方向を揃えて構成されることが望まし い。 図25は、ベースコウムがその間に所定の断面寸法を有するギャップ64を形 成する様子を示すものである。個々のベースコウム61および62は、表面26 および37上に各々固定されるフレーム部65を有する。個々のベースコウムは さらに、保持溝および中間突起部を含む波状周辺部66を有している。 図4および5を参照すると最もよく図解されているように、遠心力処理回転体 10は、一対の保持部80を有している。保持部80を以下、第1保持部81お よび第2保持部82という。図面を観察するとよく分かるように、第1および第 2保持部は、実質的に互いに鏡像関係にあるので、以下では一方の保持部につい てのみ詳述することにする。各保持部80は、一対の端部品83を有している。 端部品を、第1端部品または前端部品および第2端部品または後端部品という。 第1端部品84は、第1端91および対向する第2端92を含む主要部90を有 する。主要部はさらに、内向き表面93および反対側の外向き表面94により形 成される。主要部90はまた、実質的な直線部95および曲線部96を有してい る。 図24で示すように、主要部90の全体的形状は、実質的に曲線的である。中 央に配置された開口部97は、直線部95に形成される。さらに、保持部100 が外向き表面94に対して通常外向きに伸びている。付勢部、またはばね102 が回転体フレーム20により支持されている。ばねは、通常の固定具で前部分2 1の後表面26に固定された第1端104と、主要部90の直線部95上の所定 位置に固定された第2端105を含む主要部103と、を有する。付勢部または ばね102の動作について、以下でより詳しく説明する。図3および4を参照す ると分かるように、主要部90は、個々の取り付け用開口部24に支持される( 図示しない)前方ピンの周りを回転運動できるように、取り付けられている。こ の取り付け用ピンはさらに、適合関係にあり、開口部97で保持される。 図25に示すように、各保持部80の第2端部品85は、第1端111および 対向する第2端112を含む主要部110を有する。主要部110はさらに、内 向き表面113および反対側の外向き表面114、直線部115、および第1端 111に配置される曲線部116により形成される。中央に配置された開口部1 17は、直線部115に形成される。後方ピン120は、開口部34の適合関係 で保持されている。後方ピン120はまた、中央開口部117に保持されること により、主要部110を後方ピン120の周りに回転させることができる。 長手方向に配置された第1部材121は、第1端部品84および第2端部品8 5の第1端91および111上に各々固定される。さらに、長手方向に配置され た第2部材122は、第1端部品84および第2端部品85の第2端92および 111の上に各々固定される。長手方向に配置された第1部材121および第2 部材122は、実質的に平行で間隔をおいた図示する関係で固定されるように、 適当な方向に向けられている。これらの部材はまた、回転体フレーム20の回転 軸と実質的に平行な関係となる方向に向いている。 長手方向に配置された第1部材121は、処理すべき半導体製品を傷付けたり 、汚染したりしないような製品で被膜された内側周辺端123を有している。 各保持部80は、第1位置またはオープン位置131(図23)と、第2位置 またはクローズ位置132(図26)との間を、搬送方向130の所定経路に沿 って移動する。図27を観察するとよく分かるように、各保持部80は、第2位 置132にあるとき、対象物受容部63上の個々の半導体製品を確実に固定する 。さらに、個々の保持部80が第2位置132にあるとき(図27)、長手方向 に配置された第2部材122は、回転体フレーム20の回転による、長手方向に 配置された各部材122に加えられる遠心力の影響の下で、動作させることがで き、これにより半導体製品に半径方向内側の力を加え、半導体製品を回転体フレ ーム20に対して実質的に同軸配置されるように固定する。 本発明の遠心力処理回転体10は、図22の符号140で一般に示す搬送部と 組み合わせて機能する。搬送部140は、アーム16の末端部17上に着脱可能 に固定されるフェイスプレート141を有する。フェイスプレートは、左側部分 143、右側部分144、および左側部分143と右側部分144を接続するブ リッジ部分145で限定される主要部142を有する。さらにフェイスプレート 141は、内側向き表面150、および外向き表面151を有する。外向き表面 は、ロボットアーム16の末端部17に対して並列関係で着脱可能に固定される 。 一対の開口部152が、フェイスプレート141内に各々形成される。この実 施例では、各開口部は、第1端153と反対側の第2端154を有する。各開口 部はさらに、垂直方向に向いた部分155と実質的に水平方向に向いた部分15 6とを有する。図24を参照すると最もよく分かるように、個々の開口部152 は、実質的な曲線形状を有している。 搬送部140は、主要部142の内向き表面150に対して、通常、実質的に 外側方向に伸びる、一対のアーム160を有している。こうして、各アームは、 第1アーム161と、実質的に同一寸法の第2アームとを有する。アーム161 および162の各々は、一般に上向きの表面を有し、その上に数多くの周期的な 波状起伏または溝163が形成されている。上向き表面は、輸送中に半導体製品 を保護し、実質的に汚染しないような製品で被膜または処理されている。 図2および7を参照すると最もよく分かるように、ギャップ164が第1アー ム161および第2アーム162の間に形成される。このギャップ164は、第 1および第2ベースコウム間に形成されるギャップ64に比してより大きいこと を理解しておく必要がある。 搬送部140は、搬送方向170の所定経路に沿って移動できる。搬送方向は 、第1成分171(図24)、第2成分172(図5および6)、および第3成 分173(図27)から構成される。第1成分171および第3成分173は、 実質的に互いに平行であり、第2成分172は、第1および第3成分に対して実 質的に直交するように配置されている。理解されることであるが、搬送部140 は、搬送方向171の第1経路に沿って搬送する間、第1経路の一端部で各々の 保持部100と協働する。搬送部140が第2成分172に沿って移動し続ける と、保持部に力を加えることにより、搬送方向130の各経路に沿って、第1位 置131から第2位置132に、保持部を効果的に移動させる。第2経路172 に沿って搬送部140が移動すると、ここでは複数のシリコンウェーハ180と して図示する半導体製品が対象物受容部63の上に搭載されるように搬送される 。 図24は、搬送部が各ウェーハまたはその他の製品を搬送して、バッチアレイ の中で距離を隔てて実質的に平行な位置関係となる様子を示す。 搬送部140は、搬送方向171の第1経路に沿って移動する間に、各保持部 が開口部152内に受容されることにより、各保持部と協働することになる。図 25で最もよく分かるように、搬送部140が第1経路171の一端部にあって 、第2経路172の基点にあるとき、各保持部は各開口部152の第1端153 に位置する。図5および6を比較すると理解されるように、搬送部141が第2 経路172に沿って移動すると、各保持部が各開口部152の側面に沿って、第 一端153から第2端154に移動する。こうして保持部100が各開口部15 2に沿って移動すると、保持部100を一般に半径方向内側に移動させることに なり、これにより(図23の)実質的に弓状形状の搬送方向130の経路が形成 される。保持部が取り得る位置の全体領域に亙って、保持部100上方に設置で きるような形状を開口部152が有していることは注目に値する。 製品または対象物の受容部63は、搬送部140と実質的に同じ方向を向く個 々のシリコンウェーハ180を運搬または収容する。図27は、搬送部164が 第2の搬送経路172に沿って移動するとき、対象物受容部63が第1アーム1 61および第2アーム162の間に形成されるギャップ164を通過する様子を 示す。複数のウェーハ180が一旦、製品受容部63の上に搭載された状態で配 置されると、搬送部140は、搬送方向173の第3経路に沿って空間43から 外に移動する。図27を観察するとよく分かるように、各保持部80が、第1位 置131および第2位置132の間を搬送方向130の経路に沿って移動すると 、長手方向に配置された第1部材がウェーハ180の周辺端181に対して接線 方向の力を加える。こうして個々のウェーハは、回転体フレーム20の回転軸に 対して、実質的に同軸上に配置するように効果的に固定される。 回転体フレーム20が回転すると、長手方向に配置された第2部材に遠心力が 作用することにより、長手方向に配置された第1部材に、さらにウェーハ180 に対して搬送する半径方向内側の力を加える。 遠心力により生じた付勢力に加えて、保持部80を付勢して移動させるように 、保持部が第1位置またはオープン位置131にあるときに動作する、ばねまた はその他の部材として付勢部102がある。保持部は、第1位置131および第 2 位置132の方向に付勢される。これは、保持部がオープン位置またはクローズ 位置に保持されるか、その所望状態を実現する。 回転体フレーム20から個々のウェーハ180を取り出すために、これまで概 観してきたプロセスを逆に行う。特に、搬送部140は、空間43内の搬送方向 173の第3経路に沿って移動させる。搬送173の第3方向の一端部で、保持 部100が開口部152に受容され、第2端154の方に移動する。そして搬送 部140は、搬送方向172の第2経路に沿って搬送する。この搬送部140の 動作により、保持部を、第2位置132から第1位置131に、搬送方向130 の経路に沿って効率的に移動させることができる。理解されることではあるが、 この移動により、長手方向に配置された部材121が、ウェーハ180に対して 働く接線方向の力から離脱することができる。 第2経路の端で、保持部100が各開口部の第1端の方に移動する。さらに、 搬送部140が第2経路172に沿って移動するとき、各アーム160がウェー ハ180を保持し、収容することにより、製品受容部63に保持されているとこ ろからウェーハを持ち上げることができる。そしてウェーハ搬送部は、搬送方向 171の第1経路に沿って空間43の外に移動し、別の作業ステーションの上に 搭載される。第1の回転体および搬送部に関する動作説明 本発明の好適な実施例における動作は、すでに明白であると信じるが、ここで 簡単に要約する。 遠心力処理回転体10は、図23を参照すると最もよく分かる。シリコンウェ ーハ180のような半導体製品を取り扱うための遠心力処理回転体は、空間43 を形成する回転体フレーム20を有する。保持部80は、回転体フレーム20で 支持されており、空間43内に配置されている。保持部80は、第1オープン位 置から第2クローズ位置まで搬送方向130の経路に沿って移動することができ る。対象物受容部63は、回転体フレーム20により支持され、空間43の所定 位置に配置されている。対象物受容部63は、遠心力処理を行うために、半導体 製品を空間43内で支持する。 本発明のさらに別の態様では、空間43を形成し、所定の回転軸を有する回転 体フレーム20からなる、半導体ウェーハ180を取り扱うための遠心力処理回 転体10を有している。一対の保持部80が回転体フレームにより支持されてい る。各保持部80は、所定軸の周りを回転させることができ、搬送方向130の 所定経路に沿って第1位置から第2位置まで移動する少なくとも1つの部材12 1を備えている。対象物保持部63は、回転体フレーム20により支持され、空 間43内に配置されている。対象物受容部は、半導体ウェーハを回転体フレーム 20の回転軸に対して実質的に同軸上に配置する。搬送部140は、搬送170 の経路に沿って、空間43に出入りするように移動することができる。搬送部1 40は、複数のシリコンウェーハ180を所定方向で支持する。搬送部140が 搬送方向170の経路に沿って移動すると、搬送部140は、保持部80と協働 し、さらに、搬送部140が保持部80と一体となってから搬送方向170の経 路に沿って移動すると、半導体ウェーハ180が対象物受容部63の上に載置さ れるような位置にウェーハを搬送する。このように搬送部140が搬送方向17 0に沿って移動すると、同時に、各保持部80の長手方向に配置された部材12 1を、搬送130の各々の経路に沿って第1位置131から第2位置132まで 移動させる。 本発明のさらに別の態様によれば、複数の半導体ウェーハ180を遠心力処理 するための方法を含む。半導体ウェーハ180を処理する方法は、空間43を定 義する回転体フレーム20を提供するステップと、回転体フレーム20により支 持され、搬送方向130の所定経路に沿って移動させることができる保持部80 を提供するステップと、搬送方向170の所定経路に沿って移動可能で、複数の シリコンウェーハ180を所定方向にして空間43に搬送する搬送部を提供する ステップと、搬送方向170の経路に沿って搬送部140を移動させるステップ と、を備え、搬送部140は、搬送方向170に沿って移動する際、保持部80 と一体となって、保持部80を搬送方向130の各経路に沿って移動させるため に、保持部80に効果的に力を加えると同時に、個々のウェーハ180を対象物 受容部63の上に搭載されるように搬送する。保持部80は、個々の半導体ウェ ーハ180を回転体フレーム20に対して実質的に所定の同軸上に固定される。 この方法はさらに、回転体フレーム20を回転運動させることにより、保持部8 0が各半導体ウェーハ180に作用する遠心力を形成するステップを有する。 したがって、本発明による遠心力処理回転体10は、従来の慣例およびその他 の装置に特有の問題を解消するような手法で、複数の半導体ウェーハのような半 導体製品を遠心力により処理することができる便利な手段を提供する。第2の回転体および搬送部に関する説明 図8ないし11は、本発明による別の好適な回転体および搬送部の組み合わせ を示すものである。この組み合わせには、上述の回転体10と類似性を有する回 転体310を有する。回転体および搬送部の構成の両方に共通する構成要素は、 符号が10から100番台の範囲であったものを、第2の実施例に関して300 番台から400番台の符号を用いて、同様の符号を付与する。対応する構成要素 の対応する参照番号は、第1実施例の参照番号に300を加えると得られる。図 面を簡単、かつ明瞭にするために、両方の実施例において、すべての構成要素に 符号が付けられているわけではない。両方の実施例で共通する特徴については、 繰り返して説明しない。以下では、第2実施例の態様における変更点または新規 な点に関連して説明する。 図28はロボット搬送部15を示し、これは第1アーム部501、第2アーム 部502、および第3アーム部503を有するが、上腕部501、前腕部502 、および手部503とも考えられる。第2実施例による搬送部440は、機械的 アーム15の末端部に取り付けられている。 搬送部は、フェイスプレート441から伸びる片持ち梁のようなアーム部46 0を有する。アーム部の上部および内側表面には、平行に間隔を隔てたバッチア レイにウェーハ180を配置させるための溝463(図31)および中間リッジ または突起部の形状をしたアレイ支持部が設けられている。 フェイスプレートは、2つの開口部452を有する保持動作部としても機能し 、 この開口部は、装置がレバーアーム521に対して保持し移動する際に、固定す る動作、または同様の動作を与えるのに適した形状をしている。レバーアーム5 21は、回転体の前部プレート321に回転可能に取り付けられている。 開口部452は、レバーアーム521を回転させるように機能する製品保持動 作部の一部を構成する。図29は、実線で示す上側の、または引っ込んだオープ ン位置と、破線で示す下側の、出っ張ったクローズ位置との間をレバーアームが どのようにして回転できるか、その様子を示すものである。これは、搬送部を下 側方向に、上側のあるいは図30で示す装填および取り外し位置から、図30の 破線531で示す下側の引っ込んだ位置に降下させることにより実施される。こ の空間で保持部を機能させるために、保持動作開口部452をレバーアームの端 延長部522の上方に配置させる。搬送部を引き上げて、レバーアームをオープ ン位置となるまで移動させる。そして搬送部を引き下げて、レバーアームを下に 移動させて、拡張位置またはクローズ位置に移動する。 図28はさらに、この搬送部440が光学的検査装置600を取り付けるため に用いることができることを示す。光学的検査装置600は、搬送部の位置およ び回転体の状態をモニタするために、好適に用いられる。光学的検査装置は、テ レビカメラまたは同様の画像検出素子601を用いる。画像検出器601は、ビ デオカメラまたはその他の適当なセンサと同様の電荷結合素子の画像検出器であ ってもよい。画像検出器601は、図28で示す視線605で部分的にのみ示唆 された視野角度に亙ってレンズに照射される光を集める集光レンズ602を備え ている。レンズ602は、搬送部のフェイスプレート441内に形成される覗き 窓611(図30)の近傍に配置される。画像検出器601は、好適にも、カメ ラ取り付け用ブラケット613を用いてフェイスプレート441に取り付けられ 、このブラケットは、垂直方向に調整できる溝のついた取り付け用開口部615 を通じて保持された適当な固定具614を用いて、フェイスプレート441に調 整可能に固定される。カメラは、択一的に、ロボット上に直接に取り付けるか、 または多様な調整可能な取り付け用部品を用いて、他の適当な位置に取りつける ことができる。画像検出器601からの出力信号は、適当な信号ケーブル620 ま たはその他の適当な画像搬送経路を介して伝達される。 カメラ601からの画像情報は、中央制御プロセッサとして機能するコンピュ ータに伝達される。画像情報は、機械の細部が適切な動作状態であることを認識 し、照合できる画像解析用のコンピュータソフトウェアを用いて活用される。こ のような画像解析用ソフトウェアは、いくつかの提供者から商業的に入手可能で ある。このソフトウェアは、レバーアームの端延長部522のような特定の形状 を認識するようにカスタム化されており、ウェーハバッチを回転体310の内部 に設置しようとしたときに、ウェーハがぶつかり破損しないように設置できるよ うに、レバーアームが上側に引っ込んでおり、下側に配置されていないことを確 認することができる。以下で説明するような画像検出用装置を用いて、その他の 変更も実施可能である。 図28は、好適な第2実施例による回転体310の詳細な側面図を示す。前方 回転部321および後方回転部330は、回転体の周りに適当な半径方向の位置 に間隔を置いて設けたいくつかの長手方向の回転体フレーム部340により連結 されている。これにより、環状の回転体フレームまたはフレームワーク320が 提供される。 回転体フレームの前方部321には、受容開口部323が設けてある。受容開 口部により、ウェーハバッチを回転体内部に設置することができる。図示する好 適な実施例では、ウェーハ180は、処理チャンバ内に設置されるキャリア、ま たは1つ以上のその他のアレイ支持部上に支持されることはない。その代わりに 、ウェーハレイは、搬送部により決定されるアレイ形状で処理チャンバ内に設置 され、回転体上のレシーバに搬送される。 レシーバは一般に、参照符号363が付与される。レシーバは、受容開口部3 23に隣接する受容空間または孔を有することが望ましい。図示する好適な構成 によれば、受容空間は、側部および後部により、回転体フレーム320内部に実 質的に包含される。回転体フレームは、ウェーハバッチアレイがレシーバに固定 されたとき、アレイに流体を作用させることができるように、数多くのオープン スペースを残している。 レシーバはまた、1つ以上のレシーバアレイ支持部350を有することが望ま しい。図示するように、アレイ支持部350は、受容溝および中間リッジまたは 中間突起部を含むコウム形状のものを有する。ウェーハの端部は受容溝に納まり 、中間突起部により両隣りのウェーハとの間隔が一定に維持される。レシーバ3 63は、4つの固定された支持部350を有し、各支持部には、ウェーハの端部 と直接に接触する支持コウムが設けてある。 回転体フレームの後方部321は、レシーバ開口部323を有する。レシーバ 開口部には、好適には切り欠き部563が設けてあり、これにより、搬送部が下 方向に移動して、ウェーハの端部が支持部350と接触して支持できるように、 搬送部に十分なクリアランスを与えることができる。搬送部を下方向に移動させ 、アーム460上の搬送部の支持部と、レシーバ支持部350内に載置されるウ ェーハの隣接部分と、の間を自由に搬送させることができるように、搬送部に十 分なクリアランスを与えておく。この下方向の搬送およびクリアランスが図30 で示されている。 回転体310はさらに、保持部380の相補的な対を有する。各保持部380 は、長手方向の主要保持部390を有しており、これは、保持前方端384およ び保持後方端385が回転動作できるように取り付けられている。前方端および 後方端は、回転体フレーム部321および330に隣接して形成された開口部を 貫通して伸びている。軸受け筒またはその他のベアリング386は、支持されな がらうまく回転できるように設けられている。前方端部384は、レバーアーム 521と連結されている。レバーアーム521および端延長部522は、オープ ン位置およびクローズ位置の間を動作させるために用いられる保持動作部の一部 として機能する。 後方端部385は、後方のレバーアーム596に連結されている。後方レバー アームには、末端部において(図示しない)球体が取り付けられている。球体に は、後方回転部330の後方表面に沿って形成される、一方または2つの(図示 しない)回り止めが設けてある。このような構成により、この保持部をオープン 位置またはクローズ位置のいずれかに維持する抑制手段が与えられる。 保持部380はまた、好適にも、固定された受容部350と同様の波状の溝お よび突起部を有する接触バーを備えている。保持部をオープン位置、クローズ位 置、またはその両方の位置に配置するために、付勢する力を保持部に加えるよう に、ばね102と同様の図示しない付勢ばねを用いることができる。 保持部380は、ウェーハまたはその他の処理すべき製品を保持して、しっか りと固定するために、保持部をクローズ位置に移動させる自動的な遠心力による 誘導が得られるように構成されることが好ましい。これは、端部で回転できるよ うに取り付けた主要保持部390に適当なバランスを与えることにより、好適に 実施される。回転体が回転すると、保持部の重心により、保持支持部がクローズ 位置に回転し、このとき支持部は、回転軸に対してほぼ内側半径方向に向く。保 持部をクローズ位置でより確実に保持し、保持部と、回転部に保持されるウェー ハまたはその他の製品との間にある戻り爪機構および機械的な係合を用いて、保 持部をその位置に確実に固定するために、保持部が半径線より少しだけ超えて回 転するように、遠心力および装置バランスを設計することがよりいっそう好まし い。第2の回転体および搬送部の動作に関する説明 この処理システムによれば、図示するウェーハ180のような一塊の半導体製 品を遠心力により処理する固有の方法を用いて処理することが望ましい。本発明 の1つの態様による新規な方法は、ここで搬送部140および440で図示する ような適当な搬送部上のバッチアレイに載置した複数の半導体製品を支持するス テップを有する。一塊の製品は、通常、円形のディスクまたはその他の形状を有 し得るパネルであってもよいが、比較的薄いウェーハ形状製品である。支持ステ ップには、処理すべきバッチアレイを形成するために、製品を平行に間隔を置い て配置するステップを含むことが望ましい。製品は、特定の状況では不規則な間 隔で配置される方が好適である場合があるが、ほぼ等間隔で配置されるのが望ま しい。製品を整列させるために周辺端で支持することができる。支持ステップは 、搬送部の支持表面に沿って形成された溝163または463のような溝または 容 器に、製品の周辺端をはめ込むことにより行われることが望ましい。支持ステッ プはまた、ウェーハまたはその他の製品を長手方向に載置する際に直立して支持 するために、溝と溝の間に形成された中間突起部に対して、製品の周辺部分を寄 り掛からせることにより行うことができる。 本発明の別の態様による新規な方法は、処理ステーション19のような処理ス テーションに対して搬送部および支持されたバッチアレイを移動させるステップ を有していてもよく、この処理ステーションは、遠心力処理中にウェーハを保持 するキャリアなしで形成されるバッチアレイを収容し支持するようになっている 。1ステップ以上からなる移動ステップは、搬送部上のバッチアレイを処理ステ ーションに移動させるステップ、および開口部203(図31)のような処理ベ ッセルの主要開口部に対してバッチアレイを整列させるステップを有する。整列 させる動作は、回転体310上のレシーバ463のような、回転体の上に形成さ れたレシーバに対してほぼ一直線上に配置されるように、搬送部上のアレイを位 置決めして方向付けることにより実施される。 移動ステップはさらに、製品のバッチアレイを処理ベッセルの主要開口部から 挿入するステップを有していてもよい。このような挿入ステップは、搬送部と、 レシーバ463のようなレシーバ内にある支持されたバッチアレイとを位置決め するステップにより実施することができる。 バッチアレイとして保持されたウェーハまたはその他の製品に与える可能性の ある損傷を最小限にするために、保持部81および82のような移動可能な製品 保持部を格納位置またはオープン位置に配置する、保持部開口部の配置ステップ を有することが望ましい。格納オープン位置にあるとき、保持部は保持部開口部 から水平方向に引き出されることにより、レシーバ開口部を通じてバッチアレイ および支持搬送部を挿入して、長手方向に対して一列に、あるいはレシーバ内の 適当な停止位置に配列することができる。保持部を開口するステップまたは配置 するステップは、前の処理サイクルが終わって、搬送部を上方向に移動させると きに実施されることが望ましく、これにより保持部の端部にある開口部152の 形状をした保持動作部を掌握して、保持部の持ち上げ動作を行うことができる。 この持ち上げ動作により、保持部は動作し開口位置に再び配置することができる 。 レシーバを装填する際、この方法はさらに、レシーバを用いて、複数の半導体 製品をレシーバの支持体上のバッチアレイ内で支持するために、バッチアレイを レシーバで保持するステップを含むことが望ましい。これは、図30の破線53 1で示すように搬送部を下げることにより実施することができる。搬送部および 支持されたウェーハをアレイの長手方向軸、および回転軸に対して横方向に下げ るステップまたは位置をずらすステップにより、搬送ステップは行われる。この 搬送ステップにより、ウェーハを搬送部からバッチレシーバの支持表面および支 持体の上に搬送することができる。この搬送ステップは、製品レシーバにある受 容溝を有する搬送部上に設けられた対応する溝に対して長手方向に配列させるス テップを含むように実施されるのが望ましい。これにより、上述したように、搬 送部上のバッチアレイにある半導体製品を支持することに関し、上述の支持ステ ップと同一のまたは実質的に類似の手法で個々の半導体製品が支持される。 別の態様において、この新規な方法は、少なくとも1つの移動可能な製品保持 部がクローズ位置に再び配置させる、あるいは移動させるステップを有すること が望ましい。これにより保持部を閉じる動作が行われる。このような閉口動作お よび関連する閉口位置において、1つ以上の製品保持部は、レシーバに載置され る複数の半導体製品を並置する。より好ましくは、製品保持部は、例えば周辺端 表面に沿って、半導体製品を直接に物理的に保持する。1つ以上の製品保持部は 、保持部の閉口配置ステップで再配置される。この保持部の閉口配置ステップは 、本発明の実施例では同時に行う動作を必要としない場合もあるが、上述した掌 握するステップに関連して同時に行われる動作または操作と同様に、図示した好 適な実施例を用いて行われる。この閉口ステップは、保持部の開口動作または上 述した開口配置ステップと相補するような手法で実施される。 この方法はさらに、搬送部を処理チャンバから格納または引き出すステップを 含む。これは、ロボット搬送部15を用いて、処理チャンバ内に向かう搬送方向 とほぼ同じ方向にある搬送ラインに沿って、搬送部を外側方向に移動させること により実施されることが望ましい。 閉口ステップにおいて、搬送部は下方向に、あるいは横方向にずらす動作モー ドに移動する。これにより、例えば、露き出しの端部または保持機構(100お よび522)に対する開口部152および452のように、搬送部と保持動作部 の開口部との間に力を加えることができ、保持部81、82および381、38 2をクローズ位置に移動させることができる。これらのクローズ位置において、 保持部の接触表面は、処理すべき製品と若干距離を置くか、物理的に直接に保持 してもよく、これにより半導体製品を拘束するステップを含む、初期のまたは予 備的な力を加え、あるいは付勢するステップを実施することができる。この予備 的に拘束し、または力を加えるステップにより、製品がレシーバの溝内に納まり 、とりわけ回転体の角速度が増大したときに、製品の振動またはふらつきの可能 性を最小限にするのに役立つ。このようなふらつきは、いくつかの処理装置では 問題となり、一般には、それ以上に好ましいものではない。 本発明のその他の態様において、この方法はさらに、実質的に閉鎖された処理 チャンバを提供するために、移動可能な処理チャンバドアを用いて、処理チャン バの開口部を閉口するステップを有する。図31で示す実施例では、処理チャン バドア202を上方向に開口部203に亙って移動させることにより行う。その 他の構成を選択的に実施することができる。 この方法はさらに、回転体および支持されたウェーハまたはその他の半導体製 品を回転させるステップを有する。回転ステップは、処理チャンバに供給される 処理流体を供給しやすいように実施されることが望ましい。液体を処理チャンバ に噴霧する液体で、または処理チャンバに放出するガスとして、処理流体を提供 することができる。回転動作は、流体を加えずに処理すべき製品の表面から液体 を吹き飛ばし、ウェーハの露出表面から液体を乾燥させやすくするのに有用であ る。遠心力による作用により、気体とうまく接触させることができ、乾燥工程、 およびその他の気体処理工程で有効である。 この新規な方法はさらに、遠心力処理の間、所望の処理位置に製品を維持また は付勢するステップを有する。これは、製品保持動作部を用いて、自動的な遠心 力による付勢作用により実施することが望ましい。この製品保持動作部は、回転 体の回転により生じる遠心力に呼応する。保持動作部は、抑制手段を回転中にク ローズ位置で固定しやすくするために、付勢ばね部102または戻り防止爪のよ うな抑制手段を有していることが望ましい。 抑制動作はまた、保持動作部のバランスを調整することにより強くすることがで き、その結果、保持部の接触表面は、中心回転軸の方を真っ直ぐに向いた半径方 向よりもさらに回転し、保持動作部をこのポイントを超えて配置し、搬送部およ び上述した開口動作により保持動作部図積極的に解放されるまで、保持部を完全 にクローズ位置で固定する動作を行うことができる。 この方法によればさらに、移動可能な処理チャンバドアを開くことにより、処 理チャンバ開口部を開くステップを有する。これは、上述したドアを閉じるステ ップと相補するような手法で行われる。 この新規な方法は、搬送部が挿入される前は必ず保持部の位置を照合するステ ップを有することが望ましい。これは、機械または処理すべき製品に与える可能 性のある損傷を防止するのに役立つ。照合ステップは、開いた処理チャンバを検 出し、一方または両方のレバーアーム521とその端部522を商業利用可能な 画像分析ソフトウェアを用いて認識する画像センサ601を使って実施するのが 最もよい。レバーアームが閉口位置にあるとき、搬送装置を挿入して、装填され るのに適した状態となる。 照合ステップは、搬送部を取り出す前に、保持動作レバーアームが所望の閉口 位置にあることを照合するためにも用いることができる。さらに照合するステッ プは、製品を回転させる前に保持部が閉口位置にあることを確認するために実施 することができる。 この新規な方法は、回転体からバッチアレイを取り出すために、未載置の搬送 部を挿入するステップを有することが望ましい。上述のように、一塊の製品が載 置されるときの搬送部に関して、一連の移動および関連ステップを実施すること により、挿入ステップは最もうまく始められる。未載置の搬送部を挿入して移動 させる場合、アーム140および160は、レシーバ支持部63および463を 回避するように、相補的な関係で挿入される。搬送部は、回転体の製品レシーバ 上にウェーハを装填した後、挿入に際しては比較的に低い状態でレシーバ開口部 に挿入される。このステップには、製品を搬送部上の持ち上げ、搬送することを 予定して、搬送部を所定位置まで長手方向に配列または位置決めするステップが 含まれる。軸配列ステップにより、製品支持部の対応する溝がずれないように揃 えられる。 別の態様による新規な方法は、レシーバ製品支持部の上に支持された製品を保 持するために、搬送部を持ち上げるステップ、または横方向にずらすステップを 有する。これにより、搬送ステップが行われ、搬送部に製品を支持させるように することができる。 搬送部を横方向にずらす動作により、回転体上の製品保持部を同時に動作させ ることが望ましい。これにより、ウェーハまたはその他の製品を自由にし、上方 向またはその他の適当に横方向にずらして、ウェーハを取り出せる方向に向け、 処理ステーションから製品を取り出せる位置に配置する。 別の態様による方法はまた、搬送部と、支持された製品バッチアレイとを処理 ステーションから取り出すステップを有する。 別の態様において、取り出されたバッチアレイは、第2の、または後続の処理 ステーションにおいて特定条件を設定できる上述の処理ステップのいくつか、ま たは全部を反復して行うように、準備し制御することができる。制御システム 図32は、処理装置11が用いる好適な制御システムを示す。制御システムは 、さまざまな機能を実行するように、例えばインテル80486または同等系の コンピュータかコンピュータボードのような商業的に利用可能なコンピュータモ ジュールを採用するモジュラー仕様を用いるのが好ましい。図32は、人間作業 者との相互作用ステーション704を示す。このような第1のステーション70 4 は、付随する通常仕様の制御プロセッサと、電気的に接続された表示制御パネル 705を有する。制御表示パネル704は、処理装置11の前部またはクリーン ルーム側から操作することができる。別の制御ステーションを、択一的に、中央 処理制御室、処理システムの中間室側、またはその他の所望の場所に設けて、追 加された入力ポート1360と接続することができる。 制御ステーションは、標準的なネットワークインターフェイスハブ1350を 用いて接続される。ネットワークハブ1350は、コンピュータファィルサーバ 1351のような中央制御部と接続されている。ハブ1350はまた、制御能力 を追加し、データを収集し、あるいは処理制御機能のモニタするために、外部の 制御用またはモニタ用ステーションとポート1360で接続することができる。 ハブ1350はさらに、ディスプレイのない同様の通常コンピュータである、 処理制御モジュール1361ないし1363と接続する。3つの処理ステーショ ン制御モジュール1361ないし1363は、各々、処理ステーション19と接 続される。同様のモジュールが、システム11で用いられるステーション19が 要する特定の数および種類に応じて追加されて用いられる。これらのステーショ ン制御モジュールにより、処理ステーションで実行すべき処理ルーチンを個別に 処理することができ、そして各処理ステーションで操作される特定のアレイに対 してなされた処理内容を示すデータを記録することができる。 処理ステーション制御モジュールは、図32の処理ステーションの符号19で ひとまとめにして称する処理ステーションや配管などと、接続して相互作用する 。 図32はさらに、インターフェイス制御部1381を示し、これはやはりコン ピュータである。インターフェイス制御部1381は、インターフェイス部の多 様な機能と電気的に接続して、動作を制御し、動作および位置に対する確認的な 信号を受信する。インターフェイス制御部1381は、好適には、インターフェ イス部と接続して、数多くの光ファイバ1386を通じて信号を受信する。この 光ファイバは、第1および第2キャリッジ1382のための位置検出器、キャリ ッジおよび昇降部の搬送路の制限手段を検出する制限スイッチ1383、および インターフェイス部で保持されたウェーハキャリッジおよびウェーハを検出する ウェーハ検出部1384から信号を伝達するために用いられる。このシステムは 、インターフェイスが制御し動作する際に用いられる、ほとんどの、あるいはす べての検出信号を信号ライン間のクロストークの問題を回避するために、光ファ イバを用いて伝達するように構成されるのが望ましい。光ファイバで伝達された 信号は、光ファイバ信号コンバータ1387により、電気信号に変換される。こ のコンバータは、コンピュータ1381と通信するための電気信号を形成する。 図32はさらに、ディスプレイなしのコンピュータ1391の形態でコンベヤ 制御モジュールを示し、これは、機械アームの駆動モータ1256、1271、 および1301、エンコーダ1220、およびその他のここでは特に図示しない 構成要素などの、コンベヤの多様な部品に電気的に接続している。 コンベヤ制御モジュールはまた、光ファイバ1396を通じて数多くの信号を 受信するのが望ましい。光ファイバ1396は、簡略化のため図32ではエンコ ーダ1220で図解する角度位置エンコーダおよびコンベヤ15のモータエンコ ーダからの信号を伝達するために用いられる。コンベヤのための制限スイッチ図 32では制限スイッチ1278として図解する。コンベヤのモータが動作してい ることを検出するためにホール効果センサ1395が用いられる。このシステム は、コンベヤを制御し動作させるのに用いられる検出信号のすべてを光ファイバ で通信することにより、信号ライン間のクロストークの問題を解消し、コンベヤ が軌道上を上下に位置移動するのに伴って移動するケーブル束をより小さくする ことができる。光ファイバで通信された信号は、コンピュータ1391に返信さ れるように接続された光ファイバ信号コンバータ1397により電気信号に変換 される。産業上の利用分野 本発明は、自動化された半導体処理システムを設計し、製造するために有用な ものである。

Claims (1)

  1. 【特許請求の範囲】 1. 半導体のパネル、ウェーハおよび基板のような半導体製品を処理するため の半導体処理装置であって、 作業スペースを実質的に内包する外枠と、 半導体製品を保持するための、外枠内に取り付けられた少なくとも1つのカル ーセルと、 少なくとも1つのカルーセル上に支持するための、製品を製品キャリアから搬 送するための少なくとも1つの製品搬送部と、 複数の処理ステーションと、この処理ステーションは、処理ステーションに対 して製品を出し入れできるように、作業スペースを開口するための操作開口部を 有し、 製品を複数の該処理ステーションおよびカルーセルに対して装填し、取り出す ために、製品を運搬するための少なくとも1つのコンベヤと、を備えることを特 徴とする半導体処理装置。 2. 請求項1の半導体処理装置であって、 少なくとも1つのカルーセルが、半導体製品を保持するために設置された複数 の製品支持部を有することを特徴とする半導体処理装置。 3. 請求項1の半導体処理装置であって、 少なくとも1つのカルーセルが、コンベヤにより搬送される多数の製品からな るバッチ内にある半導体製品を保持するために、カルーセル上に配置された複数 の製品支持部を有することを特徴とする半導体処理装置。 4. 請求項1の半導体処理装置であって、少なくとも1つのカルーセルが、 半導体製品を保持するための、カルーセル上に配置された複数の製品支持部と 、 製品キャリアを保持すための、カルーセル上に配置された複数のキャリア支持 部と、を有することを特徴とする半導体処理装置。 5. 請求項1の半導体処理装置であって、 a) 少なくとも1つのカルーセルが、 半導体製品を直接に掌握し、保持するための、カルーセル上に配置された複数 の製品支持部と、 製品キャリアを保持すための、カルーセル上に配置された複数のキャリア支持 部とを有し、 b) 少なくとも1つのコンベヤが少なくとも1つの掌握ヘッドを有し、 この掌握ヘッドが、複数の半導体製品を含むバッチを、直接に掌握すると同時 に、少なくとも1つのカルーセル上の製品支持部と複数の処理ステーションとの 間を移動させる掌握ヘッド製品支持部を有することを特徴とする半導体処理装置 。 6. 請求項1の半導体処理装置であって、 少なくとも1つのコンベヤが、半導体製品を直接に掌握する製品支持部を含む 少なくとも1つの掌握ヘッドを有することを特徴とする半導体処理装置。 7. 請求項1の半導体処理装置であって、 コンベヤが、フレーム上に移動可能に取り付けられたコンベヤ運搬キャリッジ を有することを特徴とする半導体処理装置。 8. 請求項1の半導体処理装置であって、コンベヤが機械アーム装置を有し、 この機械アーム装置が、 フレーム上に移動可能に取り付けられたコンベヤ運搬キャリッジと、 ショルダ旋回軸で回転動作できるように、コンベヤ運搬キャリッジ上に取り付 けられた上腕部と、 エルボ旋回軸で回転動作できるように、上腕部に取り付けられた前腕部と、 リスト旋回軸で回転動作できるように、前腕部に取り付けられた手部と、 手部に接続された掌握ヘッドと、を有することを特徴とする半導体処理装置。 9. 請求項1の半導体処理装置であって、複数の処理ステーションが、少なく とも1つの遠心力による処理ステーションを有することを特徴とする半導体処理 装置。 10. 請求項1の半導体処理装置であって、複数の処理ステーションが、少な くとも1つの遠心力による処理ステーションを有し、この遠心力による処理ステ ーションが、処理蓋を含む操作用開口部を有し、操作用開口部の開閉を制御でき るように、この処理蓋が取り付けられていることを特徴とする半導体処理装置。 11. 半導体のパネル、ウェーハおよび基板のような半導体製品を処理するた めの半導体処理装置であって、 作業スペースを実質的に内包する外枠と、 半導体製品を保持するための、外枠内に取り付けられた少なくとも1つのカル ーセルと、 少なくとも1つの処理ステーションと、この少なくとも1つの処理ステーショ ンが、少なくとも1つの処理ステーションに対して製品を装填し取り出せるため に作業スペースに対して開口する、少なくとも1つの開口部を有し、 少なくとも1つの処理ステーションおよび少なくとも1つのカルーセルに対し て製品を出し入れして搬送するための、少なくとも1つのコンベヤと、を備える ことを特徴とする半導体処理装置。 12. 請求項11の半導体処理装置であって、 少なくとも1つのカルーセルが、半導体製品を保持するために設置された複数 の製品支持部を有することを特徴とする半導体処理装置。 13. 請求項11の半導体処理装置であって、 少なくとも1つのカルーセルが、コンベヤにより搬送される多数の製品からな るバッチ内にある半導体製品を保持するために、カルーセル上に配置された複数 の製品支持部を有することを特徴とする半導体処理装置。 14. 請求項11の半導体処理装置であって、少なくとも1つのカルーセルが 、 半導体製品を保持するための、カルーセル上に配置された複数の製品支持部と 、 製品キャリアを保持すための、カルーセル上に配置された複数のキャリア支持 部と、を有することを特徴とする半導体処理装置。 15. 請求項11の半導体処理装置であって、 a) 少なくとも1つのカルーセルが、 半導体製品を直接に掌握し、保持するための、カルーセル上に配置された複数 の製品支持部と、 製品キャリアを保持すための、カルーセル上に配置された複数のキャリア支持 部とを有し、 b) 少なくとも1つのコンベヤが少なくとも1つの掌握ヘッドを有し、 この掌握ヘッドが、複数の半導体製品を含むバッチを、直接に掌握すると同時 に、少なくとも1つのカルーセル上の製品支持部と複数の処理ステーションとの 間を移動させる掌握ヘッド製品支持部を有することを特徴とする半導体処理装置 。 16. 請求項11の半導体処理装置であって、 少なくとも1つのコンベヤが、半導体製品を直接に掌握する製品支持部を含む 少なくとも1つの掌握ヘッドを有することを特徴とする半導体処理装置。 17. 請求項11の半導体処理装置であって、 コンベヤが、フレーム上に移動可能に取り付けられたコンベヤ運搬キャリッジ を有することを特徴とする半導体処理装置。 18. 請求項11の半導体処理装置であって、コンベヤが機械アーム装置を有 し、この機械アーム装置が、 フレーム上に移動可能に取り付けられたコンベヤ運搬キャリッジと、 ショルダ旋回軸で回転動作できるように、コンベヤ運搬キャリッジ上に取り付 けられた上腕部と、 エルボ旋回軸で回転動作できるように、上腕部に取り付けられた前腕部と、 リスト旋回軸で回転動作できるように、前腕部に取り付けられた手部と、 手部に接続された掌握ヘッドと、を有することを特徴とする半導体処理装置。 19. 請求項11の半導体処理装置であって、複数の処理ステーションが、少 なくとも1つの遠心力による処理ステーションを有することを特徴とする半導体 処理装置。 20. 請求項11の半導体処理装置であって、複数の処理ステーションが、少 なくとも1つの遠心力による処理ステーションを有し、この遠心力による処理ス テーションが、処理蓋を含む操作用開口部を有し、操作用開口部の開閉を制御で きるように、この処理蓋が取り付けられていることを特徴とする半導体処理装置 。 21. 半導体のパネル、ウェーハおよび基板のような半導体製品を処理するた めの半導体処理装置であって、 フレームと、 作業スペースを実質的に内包する外枠と、 半導体製品を保持するための、外枠内に取り付けられた少なくとも1つのカル ーセルと、 半導体製品を保持するための、実質的に作業スペース内に取り付けられる少な くとも1つのカルーセルと、 少なくとも1つの処理ステーションと、この少なくとも1つの処理ステーショ ンが、少なくとも1つの処理ステーションに対して製品を装填し取り出せるため に作業スペースに対して開口する、少なくとも1つの開口部を有し、 少なくとも1つの処理ステーションおよび少なくとも1つのカルーセルに対し て製品を出し入れして搬送するための、少なくとも1つのコンベヤと、 半導体製品を製品キャリアから少なくとも1つのカルーセルに搬送するための 、半導体製品搬送部と、を備え、この半導体製品搬送部が、 搬送部に対して移動できるようにフレーム上に取り付けられた、少なくと も1つの製品キャリアを支持するための形状を有する、少なくとも1つの第 1キャリッジと、 搬送部に対して移動できるようにフレーム上に取り付けられた、少なくと も1つの製品キャリアを支持するための形状を有する、少なくとも1つの第 2キャリッジと、を有し、 この少なくとも1つの第1キャリッジ、および少なくとも1つの第2キャ リッジは、少なくとも1つの第2キャリッジが、少なくとも1つの第1キャ リッジに対して移動して、少なくとも1つの第1キャリッジにより支持され た製品キャリアに対して製品を取り出すか再搭載できるように、取り付けら れることを特徴とする半導体処理装置。 22. 請求項21の半導体処理装置であって、 少なくとも1つのカルーセルが、半導体製品を保持するために設置された複数 の製品支持部を有することを特徴とする半導体処理装置。 23. 請求項21の半導体処理装置であって、 少なくとも1つのカルーセルが、コンベヤにより搬送される多数の製品からな るバッチ内にある半導体製品を保持するために、カルーセル上に配置された複数 の製品支持部を有することを特徴とする半導体処理装置。 24. 請求項21の半導体処理装置であって、少なくとも1つのカルーセルが 、 半導体製品を保持するための、カルーセル上に配置された複数の製品支持部と 、 製品キャリアを保持すための、カルーセル上に配置された複数のキャリア支持 部と、を有することを特徴とする半導体処理装置。 25. 請求項21の半導体処理装置であって、 a) 少なくとも1つのカルーセルが、 半導体製品を直接に掌握し、保持するための、カルーセル上に配置された複数 の製品支持部と、 製品キャリアを保持すための、カルーセル上に配置された複数のキャリア支持 部とを有し、 b) 少なくとも1つのコンベヤが少なくとも1つの掌握ヘッドを有し、 この掌握ヘッドが、複数の半導体製品を含むバッチを、直接に掌握すると同時 に、少なくとも1つのカルーセル上の製品支持部と複数の処理ステーションとの 間を移動させる掌握ヘッド製品支持部を有することを特徴とする半導体処理装置 。 26. 請求項21の半導体処理装置であって、 少なくとも1つのコンベヤが、半導体製品を直接に掌握する製品支持部を含む 少なくとも1つの掌握ヘッドを有することを特徴とする半導体処理装置。 27. 請求項21の半導体処理装置であって、 コンベヤが、フレーム上に移動可能に取り付けられたコンベヤ運搬キャリッジ を有することを特徴とする半導体処理装置。 28. 請求項21の半導体処理装置であって、コンベヤが機械アーム装置を有 し、この機械アーム装置が、 フレーム上に移動可能に取り付けられたコンベヤ運搬キャリッジと、 ショルダ旋回軸で回転動作できるように、コンベヤ運搬キャリッジ上に取り付 けられた上腕部と、 エルボ旋回軸で回転動作できるように、上腕部に取り付けられた前腕部と、 リスト旋回軸で回転動作できるように、前腕部に取り付けられた手部と、 手部に接続された掌握ヘッドと、を有することを特徴とする半導体処理装置。 29. 請求項21の半導体処理装置であって、少なくとも1つの処理ステーシ ョンが、少なくとも1つの遠心力による処理ステーションを有することを特徴と する半導体処理装置。 30. 請求項21の半導体処理装置であって、少なくとも1つの処理ステーシ ョンが、少なくとも1つの遠心力による処理ステーションを有し、この遠心力に よる処理ステーションが、処理蓋を含む操作用開口部を有し、操作用開口部の開 閉を制御できるように、この処理蓋が取り付けられていることを特徴とする半導 体処理装置。 31. 請求項21の半導体製品搬送部であって、少なくとも1つの第2キャリ ッジの少なくとも一部分は、少なくとも1つの第1キャリッジの少なくとも一部 分を超えて伸び、製品キャリアから半導体製品を持ち上げることを特徴とする半 導体処理搬送部。 32. 請求項21の半導体製品搬送部であって、複数からなる第1および第2 キャリッジを有すことを特徴とする半導体製品搬送部。 33. 請求項21の半導体製品搬送部がさらに、 移動可能な部分を含む横方向のステージを有し、横方向に移動できるように、 このステージの上に、少なくとも1つの第1キャリッジおよび少なくとも1つの 第2キャリッジが取り付けられることを特徴とする半導体製品搬送部。 34. 請求項21の半導体製品搬送部がさらに、 移動可能な部分を含む横方向のステージを有し、横方向に移動できるように、 このステージの上に、少なくとも1つの第1キャリッジおよび少なくとも1つの 第2キャリッジが取り付けられ、 少なくとも1つの第1キャリッジおよび少なくとも1つの第2キャリッジが、 製品キャリアと半導体製品を上方向および下方向に移動させるように構成される ことを特徴とする半導体製品搬送部。 35. 半導体製品を半導体キャリアから製品処理アレイまで搬送する半導体製 品搬送部であって、 フレームと、 搬送部に対して移動できるようにフレーム上に取り付けられた、少なくとも1 つの製品キャリアを支持するための形状を有する、少なくとも1つの第1キャリ ッジと、 搬送部に対して移動できるようにフレーム上に取り付けられた、少なくとも1 つの製品キャリアを支持するための形状を有する、少なくとも1つの第2キャリ ッジと、を有し、 この少なくとも1つの第1キャリッジ、および少なくとも1つの第2キャリッ ジは、少なくとも1つの第2キャリッジが、少なくとも1つの第1キャリッジに 対して移動して、少なくとも1つの第1キャリッジにより支持された製品キャリ アに対して製品を取り出すか再搭載できるように、取り付けられることを特徴と する半導体処理装置。 36. 請求項35の半導体製品搬送部であって、少なくとも1つの第2キャリ ッジの少なくとも一部分は、少なくとも1つの第1キャリッジの少なくとも一部 分を超えて伸び、製品キャリアから半導体製品を持ち上げることを特徴とする半 導体処理搬送部。 37. 請求項35の半導体製品搬送部であって、複数からなる第1および第2 キャリッジを有すことを特徴とする半導体製品搬送部。 38. 請求項35の半導体製品搬送部がさらに、 移動可能な部分を含む横方向のステージを有し、横方向に移動できるように、 このステージの上に、少なくとも1つの第1キャリッジおよび少なくとも1つの 第2キャリッジが取り付けられることを特徴とする半導体製品搬送部。 39. 請求項35の半導体製品搬送部がさらに、 移動可能な部分を含む横方向のステージを有し、横方向に移動できるように、 このステージの上に、少なくとも1つの第1キャリッジおよび少なくとも1つの 第2キャリッジが取り付けられ、 少なくとも1つの第1キャリッジおよび少なくとも1つの第2キャリッジが、 製品キャリアと半導体製品を上方向および下方向に移動させるように構成される ことを特徴とする半導体製品搬送部。 40. 半導体のパネル、ウェーハおよび基板のような半導体製品を処理するた めの半導体処理装置であって、 作業スペースを実質的に内包する外枠と、この外枠の対向する2つの両端部の 間に伸びる長手方向軸を有し、 少なくとも1つのインターフェイスポートを有する、外枠のインターフェイス 端に近接するインターフェイス部と、このインターフェイスポートを介して半導 体製品を処理装置に対して搭載し、または取り外し、 半導体製品を載置するための、外枠内にある少なくとも1つの製品棚卸部と、 複数の処理ステーションと、この処理ステーションは、処理ステーションに対 して製品を出し入れできるように、作業スペースを開口するための操作開口部を 有し、また処理ステーションは、ほぼ長手方向軸に沿って伸び、アレイ内に配置 され、 製品を、複数の処理ステーションおよび少なくとも1つの製品棚卸部に対して 搬送するための、少なくとも1つのコンベヤと、を備えることを特徴とする半導 体処理装置。 41. 請求項40の半導体処理装置のアレイ動作装置であって、 インターフェイスポートが外枠のインターフェイス端壁に設置されていること を特徴とするアレイ動作装置。 42. 半導体製品を処理するための方法であって、 少なくとも1つの製品キャリア上に載置されている複数の半導体製品を、実質 的に内包された作業スペースを形成する外枠内に設置とするステップと、 複数の半導体製品を、少なくとも1つの製品キャリアからカルーセルアレイに 設置するステップと、 半導体製品を、ウェーハコンベヤを用いてカルーセルアレイから搬送するステ ップと、 半導体製品を、作業スペースを開口する操作開口部を有する少なくとも1つの 処理ステーション内に搭載するステップと、 半導体製品を、処理ステーション内で処理するステップと、を備えることを特 徴とする処理方法。 43. 請求項42の処理方法であって、さらに、 半導体製品を、少なくとも1つの処理ステーションから取り出すステップと、 半導体製品を、少なくとも1つの処理ステーションからカルーセルアレイに再 搬送するステップを有することを特徴とする処理方法。 44. 請求項42の処理方法であって、さらに、 半導体製品がカルーセルから搬送された後に、カルーセル上の少なくとも1つ の製品キャリアを棚卸するステップを含むことを特徴とする処理方法。 45. 請求項42の処理方法であって、さらに、 半導体製品がカルーセルから搬送された後に、カルーセル上の少なくとも1つ の製品キャリアを棚卸するステップと、 半導体製品を、カルーセル上に棚卸された少なくとも1つの製品キャリアに再 搭載するステップと、を有することを特徴とする処理方法。 46. 半導体製品を、製品キャリアとカルーセル処理アレイとの間を載置する 方法であって、 半導体製品を製品キャリアから持ち上げるステップと、 持ち上げられた半導体製品を、カルーセルの上方位置に移動させるステップと 、 半導体製品をカルーセル上に下ろすステップと、を備えることを特徴とする載 置方法。 47. 請求項46の載置方法であって、さらに、 半導体製品がカルーセルから搬送された後に、カルーセル上の少なくとも1つ の製品キャリアを棚卸するステップを含むことを特徴とする処理方法。 48. 請求項46の載置方法であって、さらに、 半導体製品がカルーセルから搬送された後に、カルーヤル上の少なくとも1つ の製品キャリアを棚卸するステップと、 半導体製品を、カルーセル上に棚卸された少なくとも1つの製品キャリアに再 搭載するステップと、を有することを特徴とする処理方法。
JP10506255A 1996-07-15 1997-07-15 自動化された半導体処理システム Pending JP2000514956A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/680,463 1996-07-15
US08/680,463 US5664337A (en) 1996-03-26 1996-07-15 Automated semiconductor processing systems
PCT/US1997/012331 WO1998002910A1 (en) 1996-07-15 1997-07-15 Automated semiconductor processing systems

Publications (2)

Publication Number Publication Date
JP2000514956A true JP2000514956A (ja) 2000-11-07
JP2000514956A5 JP2000514956A5 (ja) 2005-03-10

Family

ID=24731224

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10506255A Pending JP2000514956A (ja) 1996-07-15 1997-07-15 自動化された半導体処理システム

Country Status (2)

Country Link
JP (1) JP2000514956A (ja)
WO (1) WO1998002910A1 (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050044434A (ko) 2001-11-13 2005-05-12 에프 에스 아이 인터내셔날,인코포레이티드 초소형전자 기판을 처리하는 감소의 풋프린트 공구
EP1460676A3 (en) * 2001-11-13 2005-10-26 FSI International, Inc. Reduced footprint tool for automated processing of microelectronic substrates
US6822413B2 (en) 2002-03-20 2004-11-23 Fsi International, Inc. Systems and methods incorporating an end effector with a rotatable and/or pivotable body and/or an optical sensor having a light path that extends along a length of the end effector

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5064337A (en) * 1988-07-19 1991-11-12 Tokyo Electron Limited Handling apparatus for transferring carriers and a method of transferring carriers
NL9200446A (nl) * 1992-03-10 1993-10-01 Tempress B V Inrichting voor het behandelen van microschakeling-schijven (wafers).
US5378145A (en) * 1992-07-15 1995-01-03 Tokyo Electron Kabushiki Kaisha Treatment system and treatment apparatus

Also Published As

Publication number Publication date
WO1998002910A1 (en) 1998-01-22

Similar Documents

Publication Publication Date Title
US5664337A (en) Automated semiconductor processing systems
US6712577B2 (en) Automated semiconductor processing system
KR100473929B1 (ko) 피처리기판 반송장치 및 반송방법
US6440178B2 (en) Modular semiconductor workpiece processing tool
US6672820B1 (en) Semiconductor processing apparatus having linear conveyer system
US7002698B2 (en) Semiconductor processing apparatus having lift and tilt mechanism
US6213853B1 (en) Integral machine for polishing, cleaning, rinsing and drying workpieces
US8286293B2 (en) Substrate cleaning device and substrate processing apparatus including the same
US5784797A (en) Carrierless centrifugal semiconductor processing system
US6645355B2 (en) Semiconductor processing apparatus having lift and tilt mechanism
KR101022959B1 (ko) 기판처리장치
JP2010509784A (ja) 環状に配置されているワークピースストッカ
JP2001135603A (ja) 入力モジュールを使用して半導体基板を搬送する方法および装置
US6991710B2 (en) Apparatus for manually and automatically processing microelectronic workpieces
US20060159449A1 (en) Substrate processing apparatus
US6222339B1 (en) System and method for controlling attitude of substrate
JP2001518710A (ja) 直線状のコンベアシステムを有する半導体処理装置
JP2000514956A (ja) 自動化された半導体処理システム
JPH04243741A (ja) ウエファ−移送方法と装置
US6761178B2 (en) Transferring apparatus and substrate processing apparatus
WO1998002912A1 (en) Interface apparatus for a semiconductor workpiece processing tool
EP0513967A2 (en) Automated wet chemical processing system
WO1998002907A1 (en) Control system for a semiconductor workpiece processing tool
JP2001259543A (ja) 基板洗浄システム
JP3413567B2 (ja) 基板搬送処理装置及び基板搬送処理方法

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040622

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040622

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070123

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20070420

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20070611

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070720

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20071009

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20071226

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080208

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080208

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080826