JP2000224199A - 時分割多重バス同期化信号コンセントレ―タとデ―タ伝送システム及びその方法 - Google Patents

時分割多重バス同期化信号コンセントレ―タとデ―タ伝送システム及びその方法

Info

Publication number
JP2000224199A
JP2000224199A JP2000016194A JP2000016194A JP2000224199A JP 2000224199 A JP2000224199 A JP 2000224199A JP 2000016194 A JP2000016194 A JP 2000016194A JP 2000016194 A JP2000016194 A JP 2000016194A JP 2000224199 A JP2000224199 A JP 2000224199A
Authority
JP
Japan
Prior art keywords
data
frame
bit
received
incoming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000016194A
Other languages
English (en)
Inventor
D Redman Mark
マーク・ディー・レッドマン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of JP2000224199A publication Critical patent/JP2000224199A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L12/00Data switching networks
    • H04L12/28Data switching networks characterised by path configuration, e.g. LAN [Local Area Networks] or WAN [Wide Area Networks]
    • H04L12/42Loop networks
    • H04L12/427Loop networks with decentralised control
    • H04L12/43Loop networks with decentralised control with synchronous transmission, e.g. time division multiplex [TDM], slotted rings
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04JMULTIPLEX COMMUNICATION
    • H04J3/00Time-division multiplex systems
    • H04J3/16Time-division multiplex systems in which the time allocation to individual channels within a transmission cycle is variable, e.g. to accommodate varying complexity of signals, to vary number of channels transmitted
    • H04J3/1605Fixed allocated frame structures
    • H04J3/1623Plesiochronous digital hierarchy [PDH]
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04JMULTIPLEX COMMUNICATION
    • H04J3/00Time-division multiplex systems
    • H04J3/02Details
    • H04J3/06Synchronising arrangements
    • H04J3/0635Clock or time synchronisation in a network
    • H04J3/0685Clock or time synchronisation in a node; Intranode synchronisation
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L12/00Data switching networks
    • H04L12/54Store-and-forward switching systems 
    • H04L12/56Packet switching systems
    • H04L12/5601Transfer mode dependent, e.g. ATM
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L12/00Data switching networks
    • H04L12/54Store-and-forward switching systems 
    • H04L12/56Packet switching systems
    • H04L12/5601Transfer mode dependent, e.g. ATM
    • H04L2012/5614User Network Interface
    • H04L2012/5618Bridges, gateways [GW] or interworking units [IWU]
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L12/00Data switching networks
    • H04L12/54Store-and-forward switching systems 
    • H04L12/56Packet switching systems
    • H04L12/5601Transfer mode dependent, e.g. ATM
    • H04L2012/5638Services, e.g. multimedia, GOS, QOS
    • H04L2012/5665Interaction of ATM with other protocols
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L12/00Data switching networks
    • H04L12/54Store-and-forward switching systems 
    • H04L12/56Packet switching systems
    • H04L12/5601Transfer mode dependent, e.g. ATM
    • H04L2012/5672Multiplexing, e.g. coding, scrambling
    • H04L2012/5674Synchronisation, timing recovery or alignment
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L12/00Data switching networks
    • H04L12/54Store-and-forward switching systems 
    • H04L12/56Packet switching systems
    • H04L12/5601Transfer mode dependent, e.g. ATM
    • H04L2012/5672Multiplexing, e.g. coding, scrambling
    • H04L2012/5675Timeslot assignment, e.g. TDMA

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Signal Processing (AREA)
  • Data Exchanges In Wide-Area Networks (AREA)
  • Time-Division Multiplex Systems (AREA)

Abstract

(57)【要約】 【課題】 近同期式通信デバイス内に用いることができ
るTDM直列通信及び同期化技術を提供する。 【解決手段】 フレームデータインタフェース回路は多
数のフレームデータソースから着信データフレームを受
信し、伝送バッファは、前記回路から前記着信データフ
レームを受信し、第1選択された着信データフレームを
多数個のN−ビットデータフィールドに分け、該データ
フィールド各々にM−ビット制御フィールドを付け加
え、該制御フィールドはデータレコードを含む前記第1
選択された着信データフレームと、前記各々のデータフ
ィールド及び前記制御フィールドと関連する同期化標識
を含み、受信バッファは、前記伝送バッファから前記デ
ータレコードを受信し、該レコードのうちの選択された
一つから前記第1選択された着信データフレームを再組
合せし、これと関連したタイミング信号を生じる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は一般にバスアーキテ
クチャ(architecture)に係り、特にTDM
(Time Division Multiplexi
ng)バスアーキテクチャ上でデータ伝送を同期化する
ための回路及びプロトコルに関する。
【0002】
【従来の技術】情報システム(information
system)は、近距離通信ネットワーク(LAN:
Local Area Network)構造に基礎を
置いた多数のユーザーを有する分散コンピューターシス
テム(distributedcomputer sy
stem)を支援する中央メーンフレームコンピュータ
ーシステム(centralized main fr
ame computer system)から開発さ
れてきた。デスクトップPCとネットワークサーバーの
ための演算処理能力対費用の比率が急激に落ちることに
より、LANシステムは費用効率が大きく高いことが分
かった。その結果LAN及びLANに基づいたアプリケ
ーション(application)数が大きく増えてき
た。
【0003】LANの大衆性増加に関連してユーザーに
活用可能なより多くの資源(resources)を作り
出すために、遠隔LAN、コンピュータ及び広域ネット
ワーク(Wide Area Network:WAN)
へのその他装備間の相互連結において相次いだ発展があ
った。これはLANが例えば、企業間(すなわち民間所
有の)ネットワーク内でプロセシングノード間データフ
ァイルの伝送に使用されるだけでなく例えば、公衆電話
ネットワーク(PSTN)内で音声及び映像信号の伝送に
も使用を許容する。ところが、LAN基幹(backb
one)は、単に比較的短距離用高帯域レート(high
bandwidth rate)でユーザー間にデー
タを伝送できる。長距離間機器を相互連結するために他
の通信プロトコルが開発されてきた。このようなことは
特にX.25、ISDN、フレームリレー、ATMを含
む。
【0004】ファイル伝送及び音声を含んで大部分のデ
ータ伝送は任意時間間隔にバースト(burst)で行な
われる。大部分のデータ伝送のバースト特性(burs
tynature)は、伝送機器に割り当てられた帯域
幅がそのピーク要求(peak demand)によって
決定される場合に、多くの帯域幅がデータバースト間の
“無信号(silences)”中に消耗されるというこ
とを意味する。この多様な帯域幅問題は多数ユーザーの
処理量を向上させるために統計的マルチプレキシング
(statiscal multiplexing)を用
いるX.25、フレームリレー及びATMにより部分的
に解決された。
【0005】フレームリレーシステムとATMシステム
とのような相異なるプロトコルデバイス及びT1とT3
とのような相異なる速度データライン相互間に通信する
ように許容するために、よく知られたインタフェースホ
ストが相異なるデバイス間を接続するために開発されて
きた。例えば、フレームリレー基盤ネットワークからま
たはそのようなネットワークにフレーム伝送及び受信の
ためのHDLC(High−level Data L
ink Control)インタフェースと、ATM基
盤ネットワークからまたはそのようなネットワークにセ
ル伝送及び受信のためのSAR(Segment An
d Reassembly)インタフェースとを含むフ
レームリレー/ATMインタフェースが開発されてき
た。
【0006】それで高速基幹ネットワークを通して相互
通信するフレームリレーデバイス及びATMデバイスの
ような相互連結された多様なプロトコルデバイスの混合
を含むネットワークをよく見ることができる。この高速
度の基幹ネットワークにアクセス(access)するた
めにデバイスグループ及び(または)サブネットワークか
ら伝送された低速度のデータを受信するネットワーク周
囲に(またはその近くに)通常マルチプレクサを備えるこ
とが実行される。
【0007】このアクセスの(すなわち、基幹ネットワ
ークの活用)有効処理量(throughout)を増や
すために一般にアクセスコンセントレータ(conce
ntrator)がこのようなアクセスマルチプレクサ
と置き換えられる。アクセスマルチプレキシング以外に
もアクセスコンセントレータはピークアクセス帯域幅
(すなわち、アクセスポートのピーク集合帯域幅)を許容
するために実際には基幹回路(back bone c
ircuit)に所用される帯域幅ピークを超過する半
導体メモリを用いる。これは一般的な環境の下におい
て、入力ラインが同時に伝送する時、全ての入力ライン
が同時に伝送をすることでなく短い時間間隔(すなわ
ち、統計的マルチプレキシング)があるという仮定下で
行われてきた。
【0008】名目上同一なプリミティブ(primit
ive)周波数、しかし相変らず非同期的に(すなわち、
ほぼ同期的)動作するデータ伝送リンクを含む通信ネッ
トワークは近同期式(plesiochronous)ネ
ットワークと呼ばれる。固定プリミティブ周波数を多重
化することにストリクト(strict)または固定され
た周波数セット(set)を用いるデジタルネットワーク
はデジタル階層(digital hierarchy)
と呼ばれる。近同期式プリミティブのデジタル階層構造
は近同期式デジタル階層構造(PDH:Plesioc
hronousDigital Hierarchy)
と呼ばれる。PDHネットワークは通常的に全てのデー
タラインのゲートがベースレートの倍数である離散され
た数(discret number)の固定データレー
トを含む。例えば、北アメリカでは、T1ラインは64
Kbpsの24個のベーシック(DS0)レートチャネル
を運搬してT3ラインは28(T1)または672(DS
0)DS3レートチャネルを運搬する。多数のT1ライ
ンはこれにより各T1ラインが各々異なるクロックスピ
ードで動作しながらT3ラインに多重化できる。相異な
るプリミティブデータレートを有するネットワークの多
数のソースからデータラインを多重化する固有の問題点
を克服するためにPDHネットワークは通常的にセシウ
ム(cesium)のような高精密のクロックを用いる。
【0009】マルチプレクサ、スイッチ、ルータ(ro
uter)、ブリッジ等のような多くのコンセントレー
タ及びその他通信デバイスは一グループの入力ポートデ
バイスから受信された入力信号を一グループの出力デバ
イスに適切に行くようにデザインされた相互連結回路を
備える。種々、この相互連結回路は多様な個数のインタ
フェースライン(すなわち、マルチ−ソース)から信号を
受信して合成された集合信号をシングルワイヤ上に一つ
またはそれ以上の目的地へ行くようにするマルチプレク
サの形態を取る。
【0010】さらに、バスライン上に伝送された直列デ
ータは通常的にそれ以上のプロセシングがおきる前に受
信インタフェース内に一時貯蔵される。受信データバッ
ファのサイズは普通着信フレームのサイズにより決定さ
れる。例えば、T1インタフェースでは、この受信バッ
ファが相互連結バスアーキテクチャから受信された19
3−ビットフレームの全体またはそれたけでなく多数の
連続されるインスタンス(instance)を貯蔵する
サイズに種々作られる。この受信バッファに貯蔵された
データ処理を行なうプロトコルプロセシングエンジンが
単に32−ビットプロセッサーとしでもこれは事実であ
る。受信データバッファがさらに大きくなることによ
り、ラインインタフェースカードがさらに大きくなって
複雑になる。
【0011】したがって、この技術分野で非同期フレー
ムデータストリームの高速データ多重化及び逆多重化を
行なう近同期式通信デバイス内に用いるための改善され
たTDM直列通信及び同期化技術の必要性がある。さら
に、近同期式デジタル階層構造内で同期化されたデータ
伝送にかかわる複雑性を最小化する同期化回路及び同期
化プロトコルの必要性がある。特に、多数のデータドラ
イバ及び多数のデータ受信器を相互連結するバスアーキ
テクチャ内に必要なクロックラインの数を最小化したり
無くす同期化回路及び同期化プロトコルの必要性があ
る。最後に、直列TDM媒体(medium)を通してデ
ータを伝送するインタフェース回路のメモリ要求を最小
化する同期化回路及び同期化プロトコルの必要性があ
る。
【0012】
【発明が解決しようとする課題】したがって、本発明の
目的は、非同期フレームデータストリームの高速データ
多重化及び逆多重化を遂行する近同期式通信デバイス内
に用いるための改善されたTDM直列通信及び同期化技
術を提供することにある。
【0013】本発明の他の目的は、近同期式デジタル階
層構造内で同期化されたデータ伝送にかかわる複雑性を
最小化する同期化回路及び同期化プロトコルを提供する
ことにある。
【0014】本発明のまた他の目的は、多数のデータド
ライバ及び多数のデータ受信器を相互連結するバスアー
キテクチャ内に必要なクロックラインの数を最小化した
り無くすことができる同期化回路及び同期化プロトコル
を提供することにある。
【0015】本発明のまた他の目的は、直列TDM媒体
(medium)を通してデータを伝送するインタフェー
ス回路のメモリ要求を最小化できる同期化回路及び同期
化プロトコルを提供することにある。
【0016】
【課題を解決するための手段】このような目的を達成す
るために、本発明の実施形態1を提供すると、通信デバ
イスで用いるためのデータ伝送システムは、1)多数の
フレームデータソースから着信データフレームを受信す
る機能を有するフレームデータインタフェース回路と、
2)フレームデータインタフェース回路と結合してそこ
から着信データフレームを受信し、第1選択された着信
データフレームを多数個のN−ビットデータフィールド
に分けて多数個のN−ビットデータフィールド各々にM
−ビット制御フィールドを付け加える機能を有し、M−
ビット制御フィールドはデータレコードを含む前記第1
選択された着信データフレームと、前記各々のN−ビッ
トデータフィールド及び前記付け加えたM−ビット制御
フィールドと関連する同期化標識を含む。データ伝送シ
ステムは伝送バッファと結合してそこからデータレコー
ドを受信し、受信されたデータレコードのうちの選択さ
れた一つから第1選択された着信データフレームを再組
合せし、その内の同期化標識から第1選択された着信デ
ータフレームと関連したタイミング信号を生じる機能を
有する受信バッファをさらに含む。
【0017】本発明の実施形態2によると、前記第1選
択された着信データフレームはフレームデータインタフ
ェース回路と結合されたT1ラインから受信されたT1
フレームを含む。
【0018】本発明の実施形態3によると、前記同期化
標識はT1フレームの境界を指すフレームマーカを含
む。
【0019】本発明の実施形態4によると、第1選択さ
れたデータレコード内の第1M−ビット制御フィールド
は前記第1選択されたデータレコード内第1N−ビット
データレコード内フレームマーカの位置を指す。
【0020】本発明の実施形態5によると、前記同期化
標識は同期式残余タイム・スタンプ(synchron
ous residual time stamp)を
含む。
【0021】本発明の実施形態6によると、前記第1選
択された着信データフレームはフレームデータインタフ
ェース回路と結合されたT3ラインから受信されたT3
フレームを含む。
【0022】本発明の実施形態7によると、前記フレー
ムデータインタフェース回路により受信された着信デー
タフレームのうちの少なくとも一つは、第1ビットデー
タレートで受信されてフレームデータインタフェース回
路により受信された着信データフレームのうちの少なく
とも一つは、第1ビットレートと異なる第2ビットデー
タレートで受信される。
【0023】本発明の実施形態8によると、前記フレー
ムデータインタフェース回路により受信された着信デー
タフレームはT1フレームとT3フレームを含む。
【0024】上述したことは本発明の特徴及び技術的な
長所を幅広く略述したものであるので、この技術分野の
通常的な知識を有する者は次の発明の詳細な説明をより
容易に理解することができる。本発明の追加の長所及び
特徴が本発明の請求範囲の項目を形成して下記に説明さ
れることである。この技術分野で通常的な知識を有する
者は本発明と同一な目的を行なうために本発明に開示さ
れた概念や実施形態を他の構造を設計したり修正するた
めの基盤として容易に用いることができることを認識す
べきである。またこの分野の技術でそのような均等的な
構造物が本発明の最も広い形態の範囲及び思想から外れ
ることでないことを明確に理解すべきである。
【0025】本発明の詳細な説明を述べる前に、本発明
の明細書全般に用いられる特定の単語(word)と語句
(phrase)の定義を述べる。“含む(includ
e、comprise)”という用語は、その派生語は
もちろんであり、限界のない包含(inclusion)
という意味である。“または(or)”という用語は“そ
して/または(and/or)”の意味を含む。“〜と関連
して(associated with、associ
ated therewith)”という語句は、その
派生語はもちろんであり、‘〜を含む'、‘〜に含まれ
る'、‘〜と相互連結する'、‘具備する'、‘〜に備わ
る'、‘〜に(〜と)連結される'、‘〜に(〜と)結合され
る'、‘〜と通信可能である'、‘〜と協力する'、‘挿
入する'、‘併置する'、‘〜で近似される、‘〜に(〜
と)拘束される'、‘有する'、‘〜の特性を有する'等の
意味でありうる。“コントローラ(controlle
r)”という用語は少なくとも一つの動作を制御する装
置、システムまたはそれらの部分をいって、そうした装
置はハードウェア、ソフトウェアやファームウェア(f
irmware)、またはそれらのうちの少なくとも二
つの組合せによって具現できる。いかなる特定のコント
ローラと関連した機能は近距離にしでもまたは遠距離に
しでもいずれにしても中央集中したり分散できるという
ことを有意するべきである。特定単語と語句の定義は本
発明の明細書全般に渡り提供され、この技術分野で通常
的な技術において、大部分の場合ではなくても、多くの
場合において、そのような定義が以後だけでなく従来に
もそのように定義された単語と語句の使用を適用するこ
とを理解すべきである。
【0026】
【発明の実施の形態】以下、本発明に従う好適な実施形
態を添付図面を参照しつつ詳細に説明する。下記に記述
される本特許の詳細な説明において本発明の特徴を示す
ために用いられる図1から図5と、多様な実施形態とは
本発明の範囲をいかなる方式としても限定することに解
釈されてはならなくて、これは単に一例を示すことであ
る。本発明の特徴部分がいかなる適切に配置されたデー
タ通信機器において実現できることはこの分野の通常的
な技術水準で理解できる。本発明及びその長所の理解を
より完壁にするために、後続する発明の詳細な説明は添
付された図面と関連して言及されて、ここで同一な番号
は同一な対象を指す。
【0027】下記、本発明の説明はこの分野でよく知ら
れたアクセスコンセントレータ(access con
centrator)、T1ライン、T3ライン等のよ
うな電気通信システム及び回路とATM、フレームリレ
ー(frame relay)、TDM(Time Di
vision Multiplexing)等のような
多数の電気通信プロトコルが説明される。このような電
気通信プロトコル、システム及び回路と関連した付加の
詳細は“NEWTON'S TELECOM DICT
IONARY(Flatiron発行、1998年、1
4番目改訂版)に開示される。“NEWTON'S TE
LECOM DICTIONARY”という以下の本発
明の詳細な説明に参考として完全に説明され、内容とし
て反映される。
【0028】図1は、例えばフレームリレーエンド・ユ
ーザーとATMエンド・ユーザーを含む多数のエンド・
ユーザーを連結する本発明の一実施形態によるネットワ
ークインフラストラクチャー(infrastruct
ure)100の一例を示す。ネットワークインフラス
トラクチャー100はATMユーザー121、122、
フレームリレーユーザー123、124、外部ATMネ
ットワーク150及び外部フレームリレーネットワーク
160を含む多数の機器間のスイッチング接続を提供す
るATM基幹ネットワーク(ATM back bon
e network)101を有する。ATMユーザー
121、122各々はATMセル伝送及び(または)受信
をできるいかなる装置を有することができる。同様にフ
レームリレーユーザー123、124各々はフレームリ
レーデータフレーム伝送及び(または)受信をできるいか
なる装置を有することができる。
【0029】ATM基幹ネットワーク101の高容量最
大使用のために、アクセスコンセントレータ130はフ
レームリレーユーザー123及びATMユーザー121
を含む多数のソース(source)からフレームリレー
セル及びATMセルを受けることに主に用いられる。ア
クセスコンセントレータ(AC)130は他の機器間にフ
レームリレーフレームをATMセルに変換するフレーム
リレー/ATMインタフェースを有する。このような変
換されたATMセルと、ATM機器から受信されたAT
Mセルは以後相互多重化され、これによりアクセスコン
セントレータ130の出力は比較的多くの容量(vol
ume)のタイトにパケットされたATMセルを有す
る。したがって、AC130は多くの容量のATMトラ
ヒックがATM基幹ネットワーク101に伝送されるこ
とを保証するようになる。
【0030】図1に示された参照番号123のフレーム
リレー(FR)ユーザー及び参照番号121のATMユー
ザーを含むフレームリレーユーザー及びATMユーザー
にAC130を連結する通信ラインは一般にT1及びT
3ラインを有する。
【0031】よく知られたように、T1ラインは1.5
44Mbps以上の容量を有するデジタル伝送ラインで
ある。T1回路は各々64Kbps伝送の24音声信号
を運搬する。アナログ音声信号はPCM(Pulse
Code Modulation)を用いて秒当たり8
000回の割合でサンプルされる。各々のサンプルは8
ビットのワードを有し、それにより8x8000=64K
bps DS0(Digital Service、l
evel 0)ビルディングブロック(building
block)を生成する。T1上に運搬される24音
声信号はTDM手段によるシングルビットストリーム
(single bit stream)に結合される。
TDM技術は各々の24音声信号(またはチャネル)から
一つのサンプル(8ビット)とフレーミングビット(fr
aming bits)と呼ばれる同期化一ビットを含
むT1フレームを生じる。したがって、T1フレームは
(8x24)+1=193ビットを有する。T1フレーム
は、サンプリングレート(秒当たり8000)時発生さ
れ、T1伝送レートは193x8000=1.544Mb
psに決定される。T3ラインもよく知られている。T
3ラインは44.736Mbps(通常的に、“45M
bps”と称する)のレートで28 T1ラインと多少
のオーバーヘッド(overhead)データビットを運
搬する。
【0032】アクセスコンセントレータ130内で、T
1、T3入力ライン上のビットストリームは少ない数の
高速データライン上に“コンパクト(compact)”
されて、AC130の出力上の活用可能なATM帯域幅
用途を最大化する。したがって、直列入力データストリ
ームは相異なるレートで、そしてデータ伝送がないタイ
ムギャップ(time GAP)により区分されるデータ
バースト内で受信されて、非常に少ない数のタイムギャ
ップを有する単一高速ビットストリームでAC130の
出力として伝送される。
【0033】図2は、本発明の一実施形態による多数個
のデータ受信器と多数個のデータドライバを相互連結す
るアクセスコンセントレータ(AC)130内のバスイン
フラストラクチャー200の一例を示す。AC130は
多数個のアクセスポート(一例に210a、210b、
210c)を有して、特にプロトコルプロセシングエン
ジン(PPE:Protocol Prcessing
Engine)220a、220b、220cを有す
る。アクセスポート210a−cはT1及び(または)T
3ライン入力から直列入力データストリームを読み込ん
で、入力データをバッファリングし、以後PPE220
a−cのうちの選択されたいずれか一つにこれを高速で
伝送する。アクセスポート210a−cにより発生され
たデータストリームはPPE220a−cのうちの正し
い箇所にデータストリームを行くようにするアドレッシ
ング情報を含む。PPE220a−cはアクセスポート
210a−cから受けたデータをフレームリレーのよう
なそれの元のプロトコルからATM基幹ネットワーク1
01に用いられるATMプロトコルに変換する。プロト
コル変換が完了した後に、PPE220a−cはアクセ
スコンセントレータ130内の他のプロセシングモジュ
ール(図示せず)にこの変換されたデータを中継する。A
C130は結局(eventually)この変換された
データをATM基幹ネットワーク101に送る。AC1
30はまたATM基幹ネットワーク101からATMデ
ータを受信し、受信したATMデータをPPE220a
−cを用いて逆方向(reversedirectio
n)に処理する。
【0034】アクセスポート210a−cとプロトコル
プロセシングエンジン220a−cを相互連結するバス
アーキテクチャはTDMデータの直列ストリームを運搬
する多数個のシングルソース−マルチドロップ(sin
gle source−multi drop)T3ラ
インを有する。例えば、参照番号230のバスラインは
単に一つのソース、すなわちアクセスポート210aの
主データ出力と、アクセスコンセントレータ130内の
一部または全てのプロトコルプロセシングエンジンの入
力上の多数の目的地(destination)(または
ドロップ)と連結される。同様に、参照番号240のバ
スラインは単に一つのソース、すなわちアクセスポート
210bの主データ出力と多数の目的地、すなわち一部
または恐らく全てのPPE220a−cの入力と連結さ
れる。最終的に、参照番号250のバスラインは単に一
つのソース、アクセスポート210cの出力とPPE2
20a−cの入力上の多数の目的地と連結される。
【0035】上述したバスアーキテクチャはシングル、
特に分離されたドライバ(すなわち、アクセスポート2
10a−c)及びマルチドロップ受信器(PPE220a
−c)を利用するのでシングルポイントフォールト(si
ngle point fault)に最小限の感度(s
ensitivity)を提供する。これは単一ソース/
マルチドロップアーキテクチャとして記述できる。した
がって、T3バスラインがロジック1レベルまたはロジ
ック0レベルに固定されると、これに影響を受けたアク
セスポート210及び対応したT3バスラインは残って
いるアクセスポート及びT3ラインプロトコルプロセシ
ングエンジンにTDMデータストリームを伝送すること
を遮らない。このような方式でこのバスアーキテクチャ
は直列データストリームのSTDM(Spaced an
d Time Division Multiplex
ing)を提供する。
【0036】このバスアーキテクチャは、アクセスポー
ト210a−cとPPE220a−cとの間にM:N形
態(すなわち、マルチソース/マルチドロップ)で結合さ
れる“バックアップ(backup)”バスライン260
の手段によりもっと堅固になる。参照番号260のバス
ラインは、全てのドライバ(すなわちアクセスポート2
10a−c)の2次データ出力及び全ての受信器(すなわ
ち、PPE220a−c)の2次データ入力と連結され
る。参照番号230、240または250のバスライン
のような主1:N(シングルソース/マルチドロップ)バ
スラインのうちの一つに欠陥(fault)が生じる時
に、欠陥が発生されたバスラインと連結されたアクセス
ポートは受信器にTDMデータ伝送を続けるためにこの
バックアップライン260上に切替(switch)す
る。
【0037】たとえバックアップバスライン260が全
てのドライバのスタブ(stub)と連結されてそれによ
ってリフレクション(reflection)により起き
た高ビット誤率(high bit error ra
te)を遭うようになっても、これはバックボーンバス
ライン260により提供される付加的信頼性のために適
用する程の妥協案である。バックアップバスライン26
0は単に主バスライン230、240または250のう
ちの一つの結合以後にのみ用いられ、単に欠陥があるラ
インドライバカードが置き換えられることができる際ま
で所用される。本発明の一実施形態では、このドライ
バ、アクセスポート210a−cは伝送途中リフレクシ
ョン及びエラーを最小化するためにバックボーンバスラ
イン260上に送られるTDMデータストリームの伝送
レートを訂正可能である。
【0038】図2に示され上述されたバスアーキテクチ
ャは、アクセスポート210a−cの出力とPPE22
0a−c上の入力を連結することに用いられる。ところ
が、アクセスポート210a−cとPPE220a−c
とは両方向(bidirectional)デバイスであ
る。このような状態によって、ATMデータはATM基
幹ネットワーク101から伝送されて、PPE220a
−c内で適切なプロトコルにATMデータを再び変換す
るプロセスが行なわれて、以後アクセスポート210a
−cに伝送される。PPE220a−cの出力からアク
セスポート210a−c入力上にデータ伝送は、図2に
示され上述したものと類似の、“逆方向”バスアーキテ
クチャ手段により行なわれる。それは、各々のPPE2
20a−cの一つの出力は、1:N(シングルソース/マ
ルチドロップ)形態のT3バスライン手段により全ての
アクセスポート210a−cの一つの入力と連結され
る。本発明の実施形態において、PPE220a−c各
々の出力上の1:N(シングルソース/マルチドロップ)
バスラインは実際的に二重直列(dual seria
l)T3ラインであり、これにより二倍のDS3容量を
提供する。なぜならこれはプロトコルプロセシングエン
ジン220a−cの出力ビットレートが種々のアクセス
ポート210a−cの出力ビットレートより高いためで
ある。したがって、逆方向バスアーキテクチャは直列T
3ラインを用いる。
【0039】図2に示された“前方向(forward
direction)”バスアーキテクチャの説明を
単純明瞭にするために、そして逆方向アーキテクチャの
図示及び詳細な説明は重複されて不要であるために逆方
向バスアーキテクチャは図2に示さない。
【0040】この状態によって、アクセスコンセントレ
ータ130は、フレームリレー及びATMフォーマット
を含む相異なるフォーマットであり外部ユーザーから、
そしてATM基幹ネットワーク101からデータを受信
する。アクセスポート210a−cのうちのいずれか一
つとこれと対応するPPE220a−c目的地間に伝送
されるデータ及びそのタイミングの保全(integr
ity)を維持するために、本発明は、シングル直列デ
ータライン上に多数の同期する直列データストリームの
通信及び伝達されたフレームレートの演繹的認識(a
priori knowledge)とフレーム情報か
ら目的地でオリジナルソースタイミング復旧のための唯
一のプロトコルを提供する。本発明は、6−ビット制御
フィールド(control field)により各々増
加される分離された32−ビットデータフィールドで受
信したデータを再フォーマット(re−format)す
る。この6−ビット制御フィールドは、アクセスポート
210a−cからPPE220a−cにフレームパル
ス、ナルタイムスロットインジケータ(null ti
me−slot indicaior)、SRTS(Sy
nchronous Residual Time S
tamps)インジケータ等のようないかなるプロトコ
ル信号の伝送を行なうためにアクセスコンセントレータ
130によって用いられる。
【0041】図3は、本発明の一実施形態によるアクセ
スコンセントレータ130内のアクセスポート210と
プロトコル変換プロセシングエンジン220との間のデ
ータ伝送のためのTDMフレーム300の一例を示す。
データは8−ビットフレームマーカ(marker)31
0、28個のタイムスロット321−348及び8−ビ
ット検査合計(check sum)360を含む108
0−ビットTDMフレーム300として伝送される。こ
の図3に示したように、タイムスロット1からタイムス
ロット28まで任意に符号が与えられた各々のタイムス
ロット321−348は、38−ビットデータレコード
(data record)を有する。38−ビットデー
タレコードはデータビットD0−D31でなる32ビッ
トデータフィールドと制御ビットC1−C6でなる6ビ
ット制御フィールドとを含む。
【0042】多数の外部T1及び(または)T3ラインか
らまたはATM基幹ネットワーク101からアクセスコ
ンセントレータ130により受信されたデータはアクセ
スポート210及びPPE220においてより小さい3
2−ビットデータフィールドに分けられて6ビット制御
フィールドが各レコードに付け加えられる。上述したこ
とにより、制御コードはインジケータ、そのうちで特に
フレーム境界(frame boundary)の位置、
マルチフレーム境界、SRTSインジケータ等に用いら
れる。
【0043】6−ビット制御コードは、64個の可能な
二進値を有することができる。本発明の一実施形態では
この6−ビット制御コードは下記表1のテーブルによっ
て定義される。
【0044】
【表1】
【0045】マルチフレーム境界は連続的なデータ記録
内の連続的なフレームマーカの伝送により区分される。
このテーブル1の直列データチャネル(SDC:Ser
ial Data Channel)はSRTS信号/値
通信のために用いられる。
【0046】上述されたプロトコルに用いられる38−
ビットデータレコードは最小データ貯蔵要求とこれと対
立する帯域幅間の有効な妥協案を提供する。本発明の他
の一実施形態ではデータフィールド及び制御フィールド
のためのサイズが変更できる。例えば、12−ビット、
28−ビット、124−ビットまたは252−ビットデ
ータフィールドが与えられることができ、また4−ビッ
ト、5−ビット、7−ビットまたは8−ビットの制御フ
ィールドがまた用いられることができる(すなわち、説
明のために前のコード実施形態の使用はNビットコード
を最大(2N−4)ビットのデータフィールド幅に抑制す
る)。6−ビットまたは7−ビット制御フィールドを用
いながら124−ビットまたは252−ビットにデータ
フィールドを増やすことは帯域幅効率を増加させること
であるが、より大きいメモリ要求及び増加された待ち時
間(latency)の損失がある。4−ビットまたは5
−ビット制御フィールドを使用すると12−ビット、2
0−ビット、24−ビット等にデータフィールドを減少
させることはメモリ要求を最小化して、待ち時間を減ら
すが、それによる減少された帯域幅効率の損失がある。
【0047】図4は、本発明の一実施形態によるデータ
同期及びクロック復旧インタフェース490の一例を示
す。インタフェース490は、上述したアクセスポート
210とPPE220との間のような選択されたバスラ
インを通してTDMフレーム300のようなTDMフレ
ームの伝送に用いられる。このインタフェース490は
アクセスポートTDMインタフェース(TIF)400、
410、フレーマ(framer)401、411、PP
E TDMインタフェース(TIF)450、460、A
AL1 SAR(ATM Adaptatin Lay
er 1 Segmentation And Rea
ssembly)コントローラ451、およびHDLC
(High−level Data Link Con
trol)コントローラ461を含む。参照番号400
のアクセスポートTIFはTDM OUT RX(受信)
バッファ402、TDM IN TX(送信)バッファ4
03、“GAP3クロック”と呼ばれるギャップのある
クロックプロセッサーをさらに含む。参照番号410の
アクセスポートTIFはTDM OUT RXバッファ
412、TDM IN TXバッファ413をさらに含
む。参照番号450のPPE TIFはTDM OUT
RXバッファ453、TDM IN TXバッファ4
52及びGAP1クロックをさらに含む。終わりに、参
照番号460のPPE TIFはTDM OUT RX
バッファ463、TDM IN TXバッファ462及
びGAP2クロックをさらに含む。
【0048】アクセスポート210及びPPE220内
のバッファ名における“IN”という用語は外部機器か
らATM基幹ネットワーク101内にこのコンセントレ
ータ130を通してデータを運搬するデータ経路を確認
することに通常的に用いられる。それゆえ、フレーマ4
11の半分の部分と、TDM IN TXバッファ41
3、TDM IN RXバッファ463及びHDLCコ
ントローラ461の半分の部分が“IN”データ経路を
形成する。同様に、フレーマ401の半分の部分と、T
DM IN TXバッファ403、TDM IN RX
バッファ453及びAAL1 SARコントローラ45
1の半分の部分がまた“IN”データ経路を形成する。
【0049】アクセスポート210及びPPE220内
のバッファ名における“OUT”という用語はATM基
幹ネットワーク101から外部機器にこのコンセントレ
ータ130を通してデータを運搬するデータ経路を確認
することに通常的に用いられる。それゆえ、フレーマ4
11の半分の部分と、TDM OUT RXバッファ4
12、TDM OUT TXバッファ462及びHDL
Cコントローラ461の半分の部分が“OUT”データ
経路を形成する。同様に、フレーマ401の半分の部分
と、TDM OUT RXバッファ402、TDM O
UT TXバッファ452及びAAS1 SARコント
ローラ451の半分の部分が“OUT”データ経路を形
成する。
【0050】フレーマ411は例えば、T3ラインまた
は8 T1ラインのような、多数のフレームリレー着信
(incoming)ラインからフレームされたデータを
受信する。8 T1ラインの場合に、フレーマ411は
各々のT1ラインから192−ビットフレームデータ及
びフレームパルス/ビットを検出する。フレーマ411
は共同に表示された出力Cのように多数の出力を有す
る。復旧されたフレームデータとフレームパルスはフレ
ーマ411の出力CからアクセスポートTIF410内
のTDM IN TXバッファ413に伝送されて、名
目上1.544MbpsT1クロック(8 T1ライン
の場合8クロック)各々はフレーマ411の出力Dから
出力される。T3ラインの場合には、フレーマ411の
出力CはアクセスポートTIF410の内のTDM I
N TXバッファ413へシングルT3データを送り、
シングル45MbpsT3クロックはフレーマ411の
出力Dから出力される。
【0051】アクセスポートTIF410は、フレーマ
411から受信したT1及びT3フレームデータ(フレ
ームパルス/ビットを含む)をTDM IN TXバッフ
ァ413内の32−ビットデータフィールド内に貯蔵す
る。アクセスポートTIF410は、またこのテーブル
のプロトコル定義によって各々の32−ビットデータフ
ィールドに6−ビット制御フィールドを付け加える。3
8−ビットデータレコードは、1080−ビットTDM
フレーム300(図3に図示)内に分類される。1080
−ビットTDMフレームは、TDM IN TXバッフ
ァ413からPPE TIF460内のTDM IN
RXバッファ463にバスライン230、240、25
0または260(図2に図示)のうちの一つを通して伝送
される。“BUS CLOCK”信号は、60Mbps
レートでTDM IN TXバッファ413及びTDM
IN RXバッファ463をドライブする。更に、
“FRAME PULSE”信号は各々の1080−ビ
ットTDMフレーム300の終わり(または開始)を示す
ためにTDM IN TXバッファ413及びTDMI
N RXバッファ463に提供される。
【0052】TDM IN RXバッファ463は、各
々の32ビットデータフィールドを再び、例えば8 T
1ラインのためのT1フレームにリフォーム(refo
rm)したり全ての32−ビットデータフィールドをシ
ングルT3フレームにリフォームするようになる。T1
/T3フレームは、以後T1データフレームまたはT3
データフレームをATM基幹ネットワーク101に伝送
されるATMセルに変換するHDLC461の入力Cに
送られる。フレームデータはGAP2クロックに提供さ
れる“HIGH SPEED CLOCK”信号の手段
によりTDM IN RXバッファ463から排出され
る。このクロックはフレーマ411により受信されたT
1またはT3よりやや高いクロックレートを用いてその
自体にTDM IN RXバッファ463内のデータを
若干オーバーサンプルするようになる。例えば、フレー
マ411が1.544MHz、レートでT1ラインを受
信するのであれば高速クロック信号は1.55MHzの
値を有することである。
【0053】高速クロック信号がTDM IN RXバ
ッファ463がフレーマ411及びTDM IN TX
バッファ413により充填されることより早くこれを空
けることによって、TDM IN RXバッファ463
内のデジタルPLL(Phase Lock Loop)
はチャネルデータがバス上に到着されるレートよりさら
に遅かったりさらに速いクロックであるか否かにより各
チャネルが決定されることに関連してバッファの“充填
された(fill)”状態をモニターする。すなわち、チ
ャネル充填された状態はデジタルPLL(DPLL)の位
相エラー信号になる。これは以後、チャネルに要求され
る値で高速クロックを効果的に減速するためにHDLC
461の入力DとTDM IN RXバッファ463に
提供されるGAP2クロック信号を“ギャップ(GA
P)”するGAP2クロックにゲートパルス信号(gat
e pulse signal)を送る。各々のGAP
2クロック信号(8 T1ラインの場合8クロック)に周
期的なギャップ(バッファのチャネル充填された状態に
拘束される)を挿入することにより、多数のT1ライン
データフレームは、以後正確に同一な1.544MHz
レートでフレーマ411によりこの個々のT1データフ
レームを生成するHDLC461内に個別的に伝送され
る。
【0054】逆方向では、HDLC461はATM基幹
ネットワーク101からデータを受信して、これを多数
の出力、HDLC461上に共同に表示された出力Aで
PPE TIP460内TDM OUT TXバッファ
462に送る。この出力Aはフレーマ411に接続され
た出力ラインによって、例えば、8 T1ラインデータ
ストリーム環境またはT3ラインデータストリームの同
一環境を含むことができる。T1ラインの場合には、H
DLC461とTDM OUT TXバッファ462の
入力Bは“INTERNAL BIT CLOCK”に
表示される高精密の1.544MHzネットワーククロ
ック信号を受信する。これは、TDMOUT TXバッ
ファ462レジスタ内のT1データクロックとして用い
られる。
【0055】T1データは、図3に示されたように、1
080−ビットTDMフレーム300の二つの並列スト
リーム内にTDM OUT TXバッファ462内で再
フォーマットされる。PPE220がアクセスポート2
10に高速データでデータを出力できることによって、
1080−ビットTDMフレームの二並列ストリームは
TDM OUT TXバッファ462から逆方向バスア
ーキテクチャを通してTDM OUT RXバッファ4
12に伝送される。したがって、この図2に記載された
逆方向バスアーキテクチャは二つのDS3信号環境を支
援できる。TDM OUT RXバッファ412とフレ
ーマ411は1.544MHz“INTERNAL B
IT CLOCK”信号を受信して、これを例えば、フ
レーマ411内に8 T1ラインデータストリームを伝
送することに用いる。フレーマ411は以後このデータ
を外部フレームリレーユーザーに再び送る。
【0056】フレーマ401、TDM IN TXバッ
ファ403、TDM IN RXバッファ453及びA
AL1 SAR451を例えば8 T1ラインまたはT
3ラインからATM基幹ネットワーク101に“インバ
ウンド(inbound)”方向にデータを伝送する。フ
レーマ401、TDM IN TXバッファ403、T
DM IN RXバッファ453及びAAL1 SAR
451は、上述した対応するフレーマ411、TDM
IN TXバッファ413、TDM IN RXバッフ
ァ463及びHDLC461の動作と同一な方式で動作
する。
【0057】ところが、フレーマ401、TDM IN
TXバッファ403、TDM IN RXバッファ4
53及びAAL1 SAR451は“INTERNAL
BIT CLOCK”がATMネットワークからTD
Mネットワーク内にデータ出力に用いられないATMサ
ーキットエミュレーション(CE:CircuitEm
ulation)モードで動作する。その代わり、オリ
ジナルデータソースクロックが周波数−ロック(fre
quency−lock)された出力複製(output
replica)はソースから引出した情報を用いて
発生される。これはデータソース/目的地クロックが
“INTERNAL BIT CLOCK”から独立さ
れることを許容する。このために要求されるプロセシン
グを記述することにおいて、ATMネットワークに入出
する完全なデータ経路を考える必要がある。
【0058】このプロセスは、アクセスポートTIF4
00内のTDM IN TXバッファ403から始め
る。SRTSコード発生プロセシングは、オリジナルソ
ースクロックを再生(regeneration)するた
めにネットワーク内で要求される情報/コードを引出
す。必然的にこれはソースクロックとローカルネットワ
ーク参照クロック間の周波数内の即刻差測定と、このよ
うな差を運搬する“コード(codes)”発生を伴う。
これは前方向バスアーキテクチャのアクセスポート21
0側上にTDM IN TXバッファ403内で行なわ
れて、その結果的な制御コードはバスアーキテクチャを
通してT1ライン(またはT3ライン)データのようにP
PE220側に伝送される。
【0059】8 T1ライン(またはT3ライン)からデ
ータストリームは、フレーマ410出力Cに出力されて
伝送される。T1/T3データは32−ビットデータフ
ィールドに分類されて6−ビット制御フィールドはTD
M IN TXバッファ403により付け加えられる。
このような6−ビット制御フィールドのうちの或るもの
(すなわち、選択されたコーディング、空ではなくフレ
ームを備えないコードワードからの(6−ビット制御フ
ィールド))は、またテーブル1に示されたようにSR
TS信号情報を運搬するSDCiビットを有する。38
−ビットデータレコードは、TDM IN TXバッフ
ァ403から出力されて“BUS CLOCK”信号及
び“FRAME PULSE”信号を用いて60MHz
でTDMIN RXバッファ453に伝送される。
【0060】TDM IN RXバッファ453に貯蔵
されたデータレコードは例えば、“HIGH SPEE
D CLOCK”信号によりドライブされる8 GAP
1クロックにより排出される。“HDSL SPEED
CLOCK”信号がTDMIN RXバッファ453
内データレコードをややオーバーサンプルすることによ
り、TDM IN RXバッファ453は、この受信ク
ロック信号に周期的ギャップを与えるためにGAP1ク
ロックにゲートパルス(gate pulse)信号を送
る。これにより受信T1ラインの正確な1.544Mb
psレートで有効GAP1クロックレートが縮まる。T
1/T3データは、T1/T3データをATM基幹ネット
ワーク101に伝送するAAL1 SAR451の入力
C上に受信される。AAL1 SAR451は、ATM
セルのAAL1ATMヘッダを形成するようにSRTS
情報を用いてATMセルをATM基幹ネットワーク10
1に伝送する。
【0061】“アウトバウンド(out bound)”
方向で、AAL1 SAR451、TDM OUT T
Xバッファ452、TDM OUT RXバッファ40
2及びフレーマ401は、ATM基幹ネットワーク10
1から外部ATMユーザーデバイスに結合されたT1ラ
イン及びT3ラインにデータを伝送する。AAL1SA
R451、TDM OUT TXバッファ452、TD
M OUT RXバッファ402及びフレーマ401
は、“INTERNAL BIT CLOCK”信号が
データ出力に用いられないという点を除いて上述された
対応するフレーマ411、TDM OUT TXバッフ
ァ412、TDM OUT RXバッファ462及びH
DLC461の動作と同一な方式で動作する。反対に、
AAL1
【0062】SAR451、TDM OUT TXバッ
ファ452、TDM OUT RXバッファ402及び
フレーマ401は、“復旧された(recovere
d)”ソースクロック信号がSRTS(synchron
ous residual time stamp)信
号から導出されるATMサーキットエミュレーション
(CE:Circuit Emulation)モードで
動作する。このSRTS信号は、ATM基幹ネットワー
ク101を経てエンド・ユーザーソースデバイスから、
またはATMユーザー121のような外部エンド・ユー
ザーから受信される。
【0063】アウトバウンド方向で、ソースクロック信
号は逆方向バスアーキテクチャのPPE TIF450
側であるか或いはアクセスポートTIF400側上にS
RTS情報から復旧できる。一実施形態に、TDM O
UT TXバッファ452は、AAL1 SAR452
から受信されたSRTS情報を抽出してこれを1080
−ビットフレーム情報の一部としてTDM OUT R
Xバッファ402に伝送できる。TDM OUT RX
バッファ402内で、受信されたSRTS情報は以後ネ
ットワーク参照クロック及びオリジナルカスタマー(c
ustomer)ソースクロックを再生するためにデジ
タルまたはアナログPLL(PhaseLock Lo
op)により使用される。
【0064】代りに、AAL1 SAR451は、ユー
ザーATMセルからSRTS情報を復旧し、復旧された
ユーザークロック信号をAAL1 SAR451の出力
B上に出力する。TDM OUT TXバッファ452
は、以後単にATMトラヒックをTDM OUT RX
バッファ402に伝送する。TDM OUT RXバッ
ファ402は、以後図4に示されたようにオリジナルデ
ータストリームタイミングを再生するためにGAP3ク
ロックを用いることができる。GAP3クロックは、ま
たオリジナルユーザーソースクロックの“平坦化(sm
oother)”(すなわち、より少ないジッタ(jit
ter)がある)バージョン(version)を再生する
ためにジッタ減衰器(attenuator)を通過する
ようになる。
【0065】図5は、本発明の一実施形態による信号コ
ンセントレータ130内のデータ伝送動作を示した流れ
図500である。この一実施形態のデータ伝送は、フレ
ームデータがATM基幹ネットワーク101、または外
部フレームリレー及び(または)ATMユーザーデバイス
から信号コンセントレータ130に入っていく一般化さ
れた標識状況である。
【0066】まず、ネットワークインフラストラクチャ
ー100のような近同期式デジタル階層構造ネットワー
ク(plesiochronous digital
hierachy network)内の多数のソース
から受信された着信ソースデータフレームは、TDM
IN TXバッファ403、TDM IN TXバッフ
ァ413、TDM OUT TXバッファ452、TD
M OUT TXバッファ462のうちのいずれか一つ
のようなソースバッファに貯蔵される(501動作過
程)。以後貯蔵された着信データフレームは32−ビッ
トデータフィールドのようなより小さいN−ビットデー
タフィールドに分けられる(すなわち、セグメントに分
けられるなど)(502動作過程)。
【0067】このソースバッファは、各N−ビットデー
タフィールドに6ビット制御フィールドのようなM−ビ
ット制御フィールドを付け加えてデータレコードを形成
する。ここでM−ビット制御フィールドは、該N−ビッ
トデータフィールド内のタイミング情報の位置を指した
りSRTS情報を有するN−ビットデータフィールドを
指す(503動作過程)。ソースバッファは、以後データ
レコードのグループをTDMフレームに組合せる(50
4動作過程)。ソースバッファは、TDMフレームをT
DM IN RXバッファ463、TDM IN RX
バッファ453、TDM OUT RXバッファ41
2、TDM OUT RXバッファ402のうちのいず
れか一つのような目的地バッファに伝送する(505動
作過程)。目的地バッファは、各データフィールドと関
連した制御フィールドを利用してTDMフレーム内デー
タフィールドからオリジナルソースデータフレームを再
構成(reconstruct)して、各ソースデータフ
レームと関連したクロック信号/フレームパルス/フレー
ムマーカを再生する(506動作過程)。
【0068】以上のように、本発明が詳しく記述された
が、本発明の最も広い形態の思想及び範囲内でこの分野
の通常的な技術内で多様な変更、代用及び取り替えがあ
り得ることを理解するべきである。
【0069】
【発明の効果】以上から述べてきたように、本発明は、
近同期式通信デバイス内に用いることができるTDM直
列通信及び同期化技術を提供する。特に、本発明は近同
期式デジタル階層構造内で同期化されたデータ伝送にか
かわる複雑性を最小化でき、多数のデータドライバ及び
多数のデータ受信器を相互連結するバスアーキテクチャ
内に必要なクロックラインの数を最小化でき、直列TD
M媒体を通してデータを伝送するインタフェース回路の
メモリ要求を最小化できる。
【図面の簡単な説明】
【図1】 本発明の一実施形態による多数のエンド・ユ
ーザー(end user)を相互連結するネットワーク
インフラストラクチャーの一例示図である。
【図2】 本発明の一実施形態による多数個のデータ受
信器と多数個のデータドライバを相互連結するための図
1に示されたアクセスコンセントレータ内のバスインフ
ラストラクチャーの一例示図である。
【図3】 本発明の一実施形態による図2に示されたア
クセスコンセントレータ内のアクセスポートとプロトコ
ル変換エンジン間のデータ伝送のためのTDMフレーム
の一例示図である。
【図4】 本発明の一実施形態によるデータ同期化及び
クロック復旧インタフェースの一例示図である。
【図5】 本発明の一実施形態による信号コンセントレ
ータ内のデータ伝送動作流れ図である。
【符号の説明】
100 ネットワークインフラストラクチャー 101 ATM基幹ネットワーク 121,122 ATMユーザー 123,124 フレームリレーユーザー 130 アクセスコンセントレータ 150 外部ATMネットワーク 160 外部フレームリレーネットワーク 200 バスインフラストラクチャー 210a〜210c アクセスポート 220a〜220c プロトコルプロセシングエンジン 230,024,250 主バスライン 260 バックアップバスライン 300 1080−ビットTDMフレーム 310 フレームマーカ 321〜348 タイムスロット 360 検査合計 400,410 アクセスポートTDMインタフェース
(TIF) 401,411 フレーマ 450,460 PPE TDMインタフェース(TI
F) 451 AAL1 SARコントローラ 461 HDLCコントローラ 402,412 TDM OUT RXバッファ 403,413 TDM IN TXバッファ 452,462 TDM OUT TXバッファ 453,463 TDM IN RXバッファ 490 データ同期及びクロック復旧インタフェース

Claims (20)

    【特許請求の範囲】
  1. 【請求項1】 通信デバイスで用いるためのデータ伝送
    システムにおいて、 多数のフレームデータソースから着信データフレームを
    受信する機能を有するフレームデータインタフェース回
    路と、 前記フレームデータインタフェース回路と結合してそこ
    から前記着信データフレームを受信し、第1選択された
    着信データフレームを多数個のN−ビットデータフィー
    ルドに分け、前記多数個のN−ビットデータフィールド
    各々にM−ビット制御フィールドを付け加える機能を有
    し、前記M−ビット制御フィールドはデータレコードを
    含む前記第1選択された着信データフレームと、前記各
    々のN−ビットデータフィールド及び前記付け加えたM
    −ビット制御フィールドと関連する同期化標識を含むよ
    うになる伝送バッファと、 前記伝送バッファと結合してそこから前記データレコー
    ドを受信し、前記受信されたデータレコードのうちの選
    択された一つから前記第1選択された着信データフレー
    ムを再組合せし、その内の同期化標識から前記第1選択
    された着信データフレームと関連したタイミング信号を
    生じる機能を有する受信バッファを含むことを特徴とす
    るデータ伝送システム。
  2. 【請求項2】 前記第1選択された着信データフレーム
    は、前記フレームデータインタフェース回路と結合され
    たT1ラインから受信されたT1フレームを含むことを
    特徴とする請求項1に記載のデータ伝送システム。
  3. 【請求項3】 前記同期化標識は、前記T1フレームの
    境界を指すフレームマーカを含むことを特徴とする請求
    項2に記載のデータ伝送システム。
  4. 【請求項4】 第1選択されたデータレコード内の第1
    M−ビット制御フィールドは、前記第1選択されたデー
    タレコード内の第1N−ビットデータレコード内の前記
    フレームマーカの位置を指すことを特徴とする請求項3
    に記載のデータ伝送システム。
  5. 【請求項5】 前記同期化標識は、同期式残余タイム・
    スタンプを含むことを特徴とする請求項1に記載のデー
    タ伝送システム。
  6. 【請求項6】 前記第1選択された着信データフレーム
    は、前記フレームデータインタフェース回路と結合され
    たT3ラインから受信されたT3フレームを含むことを
    特徴とする請求項1に記載のデータ伝送システム。
  7. 【請求項7】 前記フレームデータインタフェース回路
    により受信された前記着信データフレームのうちの少な
    くとも一つは、第1ビットデータレートで受信され、前
    記フレームデータインタフェース回路により受信された
    前記着信データフレームのうちの少なくとも一つは、前
    記第1ビットレートと異なる第2ビットデータレートで
    受信されることを特徴とする請求項1に記載のデータ伝
    送システム。
  8. 【請求項8】 前記フレームデータインタフェース回路
    により受信された前記着信データフレームは、T1フレ
    ームとT3フレームとを含むことを特徴とする請求項7
    に記載のデータ伝送システム。
  9. 【請求項9】 信号コンセントレータにおいて、 多数のフレームデータソースから着信データフレームを
    受信し、前記多数のフレームデータソースに発信データ
    フレームを伝送する機能を有するフレームデータインタ
    フェースと、 ATMネットワークから着信ATMセルを受信し、前記
    ATMネットワークに発信ATMセルを伝送する機能を
    有するATMデータインタフェースと、 前記フレームデータインタフェースと結合してそこから
    前記着信データフレームを受信し、選択された着信デー
    タフレームを多数個のN−ビットデータフィールドに分
    けて、そこから各々当たり前記多数個のN−ビットデー
    タフィールドのうちの一つとM−ビット制御フィールド
    とを含む多数個のデータレコードを生じる機能を有し、
    前記M−ビット制御フィールドは、前記選択された着信
    データフレームのうちの一つと関連した同期化標識とを
    含むようになり、前記多数個のデータレコードを含む合
    成データフレームを生じる機能を有する伝送バッファ
    と、 前記伝送バッファと結合してそこから前記合成データフ
    レームを受信し、前記合成データフレーム内の前記多数
    個のデータレコードから前記選択された着信データフレ
    ームを再組合せし、前記多数個のデータレコード内の前
    記同期化標識から前記選択された着信データフレームと
    関連したタイミング信号を生じる機能を有する受信バッ
    ファとを含むことを特徴とする信号コンセントレータ。
  10. 【請求項10】 前記選択された着信データフレーム
    は、前記フレームデータインタフェースと結合された多
    数個のT1ラインから受信された多数個のT1フレーム
    を含むことを特徴とする請求項9に記載の信号コンセン
    トレータ。
  11. 【請求項11】 前記同期化標識は、前記多数個のT1
    フレームのうちの一つの境界を指すフレームマーカを含
    むことを特徴とする請求項10に記載の信号コンセント
    レータ。
  12. 【請求項12】 第1選択されたデータレコード内の第
    1M−ビット制御フィールドは、前記第1選択されたデ
    ータレコード内第1N−ビットデータレコード内の前記
    フレームマーカの位置を指すことを特徴とする請求項1
    1に記載の信号コンセントレータ。
  13. 【請求項13】 前記同期化標識は、同期式残余タイム
    ・スタンプを含むことを特徴とする請求項9に記載の信
    号コンセントレータ。
  14. 【請求項14】 前記選択された着信データフレーム
    は、前記フレームデータインタフェースと結合されたT
    3ラインから受信された多数個のT3フレームを含むこ
    とを特徴とする請求項9に記載の信号コンセントレー
    タ。
  15. 【請求項15】 前記フレームデータインタフェースに
    より受信された前記着信データフレームのうちの少なく
    とも一つは、第1ビットデータレートで受信され、前記
    フレームデータインタフェースにより受信された前記着
    信データフレームのうちの少なくとも一つは、前記第1
    ビットレートと異なる第2ビットデータレートで受信さ
    れることを特徴とする請求項9に記載の信号コンセント
    レータ。
  16. 【請求項16】 前記フレームデータインタフェースに
    より受信された前記着信データフレームは、T1フレー
    ムとT3フレームとを含むことを特徴とする請求項15
    に記載の信号コンセントレータ。
  17. 【請求項17】 通信デバイスで用いるための通信デバ
    イスの入力インタフェースから通信デバイスの出力イン
    タフェースにデータ伝送方法において、 多数個のフレームデータソースから前記入力インタフェ
    ース上に着信データフレームを受信する過程と、 前記着信データフレームを多数個のN−ビットデータフ
    ィールドに分ける過程と、 多数個のN−ビットデータフィールドのうちの一つと、
    前記着信データフレームと関連した同期化標識と、標識
    を含むM−ビット制御フィールドとを各々当たり含む多
    数個のデータレコードを生じる過程と、 多数個のデータレコードを含む合成データフレームを生
    じる過程と、 前記合成データフレームをこの出力インタフェースに伝
    送する過程と、 前記受信されたデータレコードの選択された一つから前
    記着信データフレームを再組合せし、その内の同期化標
    識から前記着信データフレームのうちの少なくとも一つ
    と関連したタイミング信号を生じる過程とを含むことを
    特徴とするデータ伝送方法。
  18. 【請求項18】 前記着信データフレームは、前記入力
    インタフェースと結合された多数個のT1ラインから受
    信されたT1フレームを含むことを特徴とする請求項1
    7に記載のデータ伝送方法。
  19. 【請求項19】 前記同期化標識は、少なくとも一つの
    T1フレームの少なくとも一つの境界を指す少なくとも
    一つのT1フレームマーカを含むことを特徴とする請求
    項18に記載のデータ伝送方法。
  20. 【請求項20】 第1選択されたデータレコード内の第
    1M−ビット制御フィールドは、前記第1選択されたデ
    ータレコード内の第1N−ビットデータレコード内の前
    記少なくとも一つのT1フレームマーカの位置を指すこ
    とを特徴とする請求項19に記載のデータ伝送方法。
JP2000016194A 1999-01-26 2000-01-25 時分割多重バス同期化信号コンセントレ―タとデ―タ伝送システム及びその方法 Pending JP2000224199A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US238.003 1999-01-26
US09/238,003 US6782007B1 (en) 1999-01-26 1999-01-26 TDM bus synchronization circuit and protocol and method of operation
CNB001011987A CN1135778C (zh) 1999-01-26 2000-01-25 时分多路复用总线同步信号集中器、数据传输***和方法

Publications (1)

Publication Number Publication Date
JP2000224199A true JP2000224199A (ja) 2000-08-11

Family

ID=33160312

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000016194A Pending JP2000224199A (ja) 1999-01-26 2000-01-25 時分割多重バス同期化信号コンセントレ―タとデ―タ伝送システム及びその方法

Country Status (4)

Country Link
US (1) US6782007B1 (ja)
JP (1) JP2000224199A (ja)
KR (1) KR100342566B1 (ja)
CN (1) CN1135778C (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7680499B2 (en) 2005-09-16 2010-03-16 Hon Hai Precision Industry Co., Ltd. System and method for managing incoming call in dual mode phone

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6650660B1 (en) * 1999-07-27 2003-11-18 Pluris, Inc. Apparatus and method for synchronization of multiple data paths and recovery from lost synchronization
US6898213B1 (en) * 2000-10-16 2005-05-24 Iprad Ltd. Circuit emulation service (CES) over IP
US8271672B1 (en) * 2001-08-31 2012-09-18 Juniper Networks, Inc. Guaranteed bandwidth memory apparatus and method
US7698454B1 (en) * 2001-11-26 2010-04-13 Juniper Networks, Inc. Interfacing with streams of differing speeds
US7558260B2 (en) * 2003-03-07 2009-07-07 Optimum Communication Services, Inc. Byte-timeslot-synchronous, dynamically switched multi-source-node data transport bus system
US7535894B2 (en) * 2003-02-26 2009-05-19 Nokia Corporation System and method for a communication network
JP4207912B2 (ja) * 2005-03-24 2009-01-14 セイコーエプソン株式会社 データ転送制御装置及び電子機器
CN1848715B (zh) * 2005-04-05 2010-06-16 中兴通讯股份有限公司 实现同步串行数据在tdm网透传的方法、***及处理装置
US8238333B2 (en) * 2006-05-29 2012-08-07 Freescale Semiconductor, Inc. Method for transmitting data and a device having data transmission capabilities
WO2007138385A1 (en) * 2006-05-29 2007-12-06 Freescale Semiconductor, Inc. Method for transmitting data from multiple clock domains and a device having data transmission capabilities
US7986713B2 (en) * 2006-12-09 2011-07-26 Mark Henrik Sandstrom Data byte load based network byte-timeslot allocation
JP5047607B2 (ja) * 2006-12-26 2012-10-10 パナソニック株式会社 ストリーム記録装置、ストリーム記録方法、記録システム、および記録再生システム
US8804760B2 (en) * 2008-06-12 2014-08-12 Mark Henrik Sandstrom Network data transport multiplexer bus with global and local optimization of capacity allocation
US8619821B2 (en) 2011-03-25 2013-12-31 Invensense, Inc. System, apparatus, and method for time-division multiplexed communication
US9014215B2 (en) * 2011-09-22 2015-04-21 Aviat U.S., Inc. Systems and methods for synchronization of clock signals
EP2645257A3 (en) 2012-03-29 2014-06-18 Prelert Ltd. System and method for visualisation of behaviour within computer infrastructure
US10146732B2 (en) * 2013-01-22 2018-12-04 Apple Inc. Time-division multiplexed data bus interface
US20160350002A1 (en) * 2015-05-29 2016-12-01 Intel Corporation Memory device specific self refresh entry and exit

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4208650A (en) * 1978-01-30 1980-06-17 Forney Engineering Company Data transmission system
US5204882A (en) * 1990-12-14 1993-04-20 Bell Communications Research, Inc. Service clock recovery for variable bit rate services
US5533017A (en) * 1994-05-02 1996-07-02 Advanced Micro Devices, Inc. Line interface device for fast-packet switching network
JPH07307737A (ja) * 1994-05-11 1995-11-21 Fujitsu Ltd Atm−uni・lan間通信方法及び通信装置
JP2868416B2 (ja) * 1994-08-11 1999-03-10 日本電気株式会社 Atmセル転送方式
US5619500A (en) * 1994-09-01 1997-04-08 Digital Link Corporation ATM network interface
JPH09270797A (ja) * 1996-03-29 1997-10-14 Nec Corp 等時性データのセル転送方式
US6122281A (en) * 1996-07-22 2000-09-19 Cabletron Systems, Inc. Method and apparatus for transmitting LAN data over a synchronous wide area network
KR19990004964A (ko) * 1997-06-30 1999-01-25 유기범 Atm 교환기의 프레임 릴레이 가입자 정합장치
DE19757195A1 (de) * 1997-12-22 1999-06-24 Philips Patentverwaltung Verfahren zum Übertragen eines asynchronen Datenstroms über einen synchronen Datenbus, sowie Schaltungsanordnung zur Durchführung des Verfahrens
JP3751432B2 (ja) * 1998-02-02 2006-03-01 三菱電機株式会社 データ伝送システム
US6473430B2 (en) * 1998-06-03 2002-10-29 Samsung Electronics Co., Ltd. Systems and methods for connecting frame relay devices via an ATM network using a frame relay proxy signaling agent

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7680499B2 (en) 2005-09-16 2010-03-16 Hon Hai Precision Industry Co., Ltd. System and method for managing incoming call in dual mode phone

Also Published As

Publication number Publication date
KR20000052546A (ko) 2000-08-25
US6782007B1 (en) 2004-08-24
CN1135778C (zh) 2004-01-21
KR100342566B1 (ko) 2002-07-04
CN1296347A (zh) 2001-05-23

Similar Documents

Publication Publication Date Title
US5521928A (en) Time slot exchanger mechanism in a network for data communication having isochronous capability
US6466572B1 (en) Distributed ethernet hub
KR100342566B1 (ko) 티디엠 버스 동기화 신호 콘센트레이터와 데이터 전송시스템 및 그 방법
US7356042B2 (en) Distributed ethernet hub
JP3636456B2 (ja) 等時性リンクプロトコル
JP3539747B2 (ja) スイッチング機構における等時性データのローカルループバック
US5940456A (en) Synchronous plesiochronous digital hierarchy transmission systems
Gallagher Digital Time Division Switching for Military Communications
EP0161300B1 (en) Multimode data communication system
JPS62108639A (ja) 確率時分割多重方式
JPH0669941A (ja) ローカル・エリア・ネツトワーク用ハブ装置
JP3299749B2 (ja) デジタル送信ネットワーク用のネットワークインターフェイス方法及びネットワークインターフェイス
EP0722237A1 (en) Method of transmitting voice signals in a packet switching network
US6195346B1 (en) Method and system for processing an HDLC message
US4592050A (en) Apparatus and method for providing a transparent interface across a satellite communications link
US6272138B1 (en) Method and apparatus for reducing jitter or wander on internetworking between ATM network and PDH network
US6490294B1 (en) Apparatus and method for interconnecting isochronous systems over packet-switched networks
US6757244B1 (en) Communication bus architecture for interconnecting data devices using space and time division multiplexing and method of operation
JP2791233B2 (ja) 交換インターフェイス方式及び同期デジタルデータ通信網通信方法
JPH06261044A (ja) 等時性fifo化を用いてケーブル長遅延に適応する装置及び方法
JPH07123255B2 (ja) 端末クロック生成回路を有するループ通信システム