GB2320134A - Salicide electrodes for semiconductor devices - Google Patents

Salicide electrodes for semiconductor devices Download PDF

Info

Publication number
GB2320134A
GB2320134A GB9625264A GB9625264A GB2320134A GB 2320134 A GB2320134 A GB 2320134A GB 9625264 A GB9625264 A GB 9625264A GB 9625264 A GB9625264 A GB 9625264A GB 2320134 A GB2320134 A GB 2320134A
Authority
GB
United Kingdom
Prior art keywords
layer
polysilicon
metal
semiconductor
semiconductor substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
GB9625264A
Other versions
GB9625264D0 (en
Inventor
Water Lur
Tony Lin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
United Microelectronics Corp
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to GB9625264A priority Critical patent/GB2320134A/en
Priority to GB0113671A priority patent/GB2360128A/en
Priority to SG1996011580A priority patent/SG64959A1/en
Priority to DE19651831A priority patent/DE19651831A1/en
Priority to NL1004810A priority patent/NL1004810C2/en
Publication of GB9625264D0 publication Critical patent/GB9625264D0/en
Publication of GB2320134A publication Critical patent/GB2320134A/en
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/66583Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with initial gate mask or masking layer complementary to the prospective gate location, e.g. with dummy source and drain contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76889Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances by forming silicides of refractory metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • H01L29/4933Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a silicide layer contacting the silicon layer, e.g. Polycide gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • H01L29/66598Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET forming drain [D] and lightly doped drain [LDD] simultaneously, e.g. using implantation through the wings a T-shaped layer, or through a specially shaped layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

Salicide (self-aligned silicide) electrodes are formed using a process that does not require oxide spacer structures alongside polysilicon gate electrodes and wiring lines. A shaped polysilicon electrode 58 is formed having protrusions. LDD source/drain regions 66 are formed in semiconductor substrate 10 by ion implantation using the polysilicon gate electrode as a mask. Physical vapor deposition is used to deposit a metal layer 70, e.g. of titanium, having discontinuities under the protrusions. A first rapid thermal anneal is performed to cause the metal to form a metal silicide over the polysilicon electrode 58. Unreacted metal is etched and then a second rapid thermal anneal is performed to convert the metal silicide to its lowest resistivity phase, the metal silicide extending laterally beyond the edges of the electrode protrusions. Gate electrodes 58 and wiring lines 60 having this structure generally are formed having lower stress in the silicide layers, producing salicide structures having lower resistance than gate electrodes and wiring lines formed using conventional salicide techniques.

Description

2320134
Background of the Invention.
1 Field of the Invention.
The present invention relates to semiconductor devices incorporating electrodes consisting of a layer of polysilicon covered by a self-aligned layer of metal silicide.
2. Description of the Related Art.
As line widths and geometries for semiconductor devices are made smaller, the polysilicon electrodes that form the gates of MOS devices and wiring lines within serniconductor devices become undesirably resistive. Multilayer electrodes in which a layer of polysilicon is covered by one or more layers of metals or metal silicides are used to provide electrodes having a lower resistance than electrodes consisting solely of polysilicon. Silicide electrodes may consist, for example, of a layer of polysilicon having a thickness of approximately 1000 A to 3000 A covered by titanium silicide to a thickness of greater than 100 A.
1 A typical implementation of such a multilayer electrode is the so-called self-all-ned silicide structure, illustrated in idealized form in Figs. 1-4. Figs. 1-4 show cross-sectional views of MOS devices at an early staize of manufacture. The illustrated INIOS devices are formed on a P-type substrate 10 and include thick field oxide regions 12 to provide isolation from other, adjacent
MOS devices, A gate oxide layer 14, formed by thermal oxidation, covers the active device region of the illustrated device and a polysilicon gate electrode 16 is formed on the gate oxide layer 14. The polysilicon gate electrode 16 is formed by depositing a layer of undoped polysilicon over the substrate, typically using low pressure cherrcal vapor deposition (LPCVD), implanting and activating impurities into the polysilicon to render it conductive, and patteming the polysilicon using photolithography. Polysilicon wiring line 18 is formed on the field oxide region
12 at the same time as the gate electrode 16.
Doped source/drain regions 20 are formed on either side of the polysilicon gate electrode to define the channel recion of the illustrated MOS transistor. Generally, a lightly doped drain (LDD) structure is used in small design rule MOS transistors of the type that are primarily used in modem memory and logic devices. LDD source/drain regions 20 are typically formed in a two step process, beginning with a relatively low level dopant implantation made self-aligned to a polysilicon gate electrode 16 as illustrated in Fig. 1. Subsequently, spacer oxide regions 22 (Fig.
2) are formed on either side of the gate electrode by first depositing a layer of CVD oxide over the Fig. 1 structure and then anisotropically etching back the oxide layer to expose the substrate over the source/drain regions 20. Etching back the CVD oxide layer produces the spacer oxide regions 22 on either side of the polysilicon gate electrode 16. This process also provides spacer regions 24 on either side of the polysilicon wiring line 18, if the wiring line 18 is exposed during 2 the oxide deposition and etch back process. After the spacer oxide regions 22 are provided on either side of the polysilicon gate electrode 16, a second, heavier ion implantation is made into the source/drain regions 20 self-aligned to the spacer oxide regnons 22 (not shown).
The structure illustrated in Fig. 2 includes a polysilicon gate electrode 16 and a polysilicon wii-ing line 18. For smaller line widths, even highly doped polysilicon is sufficiently resistive to diminish the performance of MOS circuits due to decreased signal levels and longer RC time constants. To reduce the resistance of these gate electrodes and wiring lines, further processing of the Fig. 2 device continues to convert the gate electrode 16 and wiring line 18 into silicide structures using self-aligned silicide (salicide) techniques. Although a variety of different silicides are known to be acceptable, the silicide most commonly used at this time is titanium silicide, and that structure is described herein. Referring now to Fig. 3, silicide lines are formed by first sputtering a layer of titanium over the surface of the device to a thickness of, for example, 500 This titanium layer 26 is converted Into titanium silicide at the surface of the polysilicon layers 16, 18 and at the exposed portions of the substrate, including the source/drain regions 20, in a two step process. In the first process step, the device is subjected to a rapid thermal anneal (RTA) by heating the device to a temperature of up to about 700'C for about thirty seconds, converting the titanium layer 26 into titanium silicide (nominally TIS'2) where the titanium layer is in contact with a silicon (crystalline or polycrystalline) surface. The device is then etched using a wet etch consisting of H,O., and NH,01-1 diluted in water, removing unreacted titanium from the surface of the device, exposing the oxide regions of the device. Layers of titanium silicide 30, 32 are left over the polysilicon gate electrode 16 and over the wiring line 18, When the source/drain regions are exposed during the silicidation process, titanium silicide regions 34 are also formed on the surface of the source/drain regions 20. Such titanium silicide regions 34 provide lower sheet resistance over the source/drain regions and provide better contacts to the source/drain rep-ions Titanium silicide contacts on the source/drain regions are thus preferred so long as the amount of silicon consumed in the silicidation process does not alter the gate performance or result in excessive Junction leakage at the source/drain regions.
After the unreacted titanium is etched from the device, further processing is necessary to provide suitable self-aligned silicide (salicide) structures for the gate electrodes and wiring lines of the device. The process steps described to this point form a relatively high resistivity phase of titanium silicide on the silicon surfaces, so that the illustrated salicide structure does not have as low of resistivity as is desirable. It is accordingly necessary to expose the device to a second rapid thermal anneal at a temperature in excess of 800'C for at least ten seconds to convert the titanium silicide to the lower resistivity phase of titanium silicide. The device is then subjected to further processing to complete the fabrication.
A number of the processing steps necessary to the formation of salicide structures are critical. For example, if the temperature control is poor for the initial RTA step of converting the titanium in contact with silicon to titanium silicide, then it is possible that the temperature of the device may get high enough for rapid silicon transport laterally along the titanium layer (26 in Fig.
3), which could convert titanium to titanium silicide in undesirable regions. For example, if silicon is transported along the portion of the titanium layer extending over the oxide spacers 22 on either side of the gate electrode 16, then a "stringer" may be formed bridging between the gate electrode and the source/drain regions 20. Such a stringer 36 bridging between the gate silicide layer 30 and the source/drain silicide region 34 is illustrated in Fig. 5. The formation of the Fig. 5 4 structure is obviously undesirable in that it shorts the gate to the source/drain region and renders the transistor inoperative.
For smaller device geometries. gate electrodes and wiring lines become narrower and it becomes increasingly more necessary to provide sufficiently low resistivity gate electrodes and wiring lines within memory and logic devices. On the other hand, as narrower gate electrodes and wiring lines are implemented, it is increasingly more difficult to form appropriate salicide electrode structures. In particular, it is difficult to provide the low resistivity phase of titanium silicide for narrow line width gate electrodes and wiring lines. It is accordingly desirable to develop better designs and more robust processing techniques for forming low resistance salicide structures.
Brief Description of the Drawings.
Figs. 1-4 illustrate the process steps for forming a salicide structure in accordance with conventional teachings.
Fig. 5 illustrates a stringer formed on a transistor, shorting the gate to the drain of the transistor.
Fig. 6 illustrates a difficulty in producing acceptable salicide structures.
Figs. 7-15 illustrate stages in the manufacture of MOS devices incorporating salicide structures in accordance with the present invention.
Suniniary of Ihe Preferred Embodinients.
A first aspect of the present invention provides a semiconductor circuit having a wiring fine and a MOS device incorporating a gate electrode. The MOS device is formed over a semiconductor substrate. Each of the wiring line and the gate electrode have a salicide structure comprising a polysilicon lower layer having sidewalls and a layer of metal silicide overlying the polysilicon lower layer and extending laterally beyond each of the sidewalls of the polysilicon lower layer.
Another aspect of the invention provides a senconductor circuit comprising a semiconductor substrate, a layer of insulating material on the semiconductor substrate, and a polysilicon structure on the layer ofinsulating material formed to have two sidewalls extending above the semiconductor substrate. A layer of conductive material is formed on the polysilicon structure extending laterally beyond both sidewalls of the polysilicon structure.
Still another aspect of the invention provides a semiconductor circuit comprising a semiconductor substrate and a layer of insulating material on the semiconductor substrate. A polysilicon structure is formed on the layer of insulating material so as to have two sidewalls extending above the semiconductor substrate. A layer of conductive material on the polysilicon structure extends laterally beyond both sidewalls of the polysilicon structure, and a first LDD source/drain region formed within the semiconductor substrate having a first lightly doped region and a first heavily doped region, the first lightly doped region having a boundary adjacent to a lower edge of a first one of the sidewalls of the polysilicon structure and the first heavily doped region having a boundary formed self aligned to a first edge of the layer of conductive material.
6 A different aspect of the present invention includes a method of forming a semiconductor device including a MOS transistor, including the steps of forming an insulator on a semiconductor substrate and forming a shaped polystlicon electrode on the insulator, the shaped polysilicon electrode having protrusions extending laterally over the semiconductor substrate. The method includes the further steps of forming, by ion implantation, LIDD sourceldrain regions within the substrate on either side of the shaped polysilicon electrode using the protrusions of the shaped polysilicon electrode as a mask for the ion implantation to define the IDE) source/drain region dopant distribution, and forrrng a metal silicide layer over the shaped polysilicon electrode.
For a particularly preferred embodiment of this aspect of the invention, the step of forming the shaped polysilicon electrode structure includes the steps of depositing a first layer of maskin 9 matenal on the semiconductor device and a second layer of masking material on the first layer of masking material and forming an opening by removing a portion of the first and the second layers of masking material. The second layer of masking material is etched laterally so that the opening is wider at the second layer than at the first layer. Polysilicon is deposited within the opening and the first and second layers of masking material are removed.
Still another aspect of the invention provides a method of making a semiconductor device by providing a semiconductor substrate and providing a layer of insulating material over at least a portion of the semiconductor substrate. A shaped polysilicon structure is formed over the layer of insulating material, the shaped polysilicon structure having protrusions extending laterally over a surface of the semiconductor substrate. A metal layer is deposited on the shaped polysilicon structure and the semiconductor device is annealed to produce a layer of metal silicide on the shaped polysilicon structure.
7 Detailed Description of the Preferred Embodiments.
Preferred embodiments of the present invention form semiconductor devices incorporating salicide (self-allgned stlicide) structures in a process that preferably does not form oxide spacer structures alongside the polysilicon gate electrodes and wiring lines. Rather, a shaped polysilicon gate electrode is formed having an upper surface that can be converted to a silicide such as titanium stlicide. The shaped polysilicon electrode preferably includes protrusions that extend away from the body of the electrode and extend over the silicon substrate. The polysilicon gate electrode may, for example, have a cross section in the shape of a "t". By first performing low dopant level ion implantation at an angle to reach the substrate regions shadowed by the protrusions from the gate electrode, an appropriate light ion implantation can be made for the source/drain regions. A subsequent, high dopant level Ion implantation is made using an implantation direction perpendicular to the surface of the substrate so that the protrusions extending from the gate electrode act as a mask for the high dopant level ion implantation that completes the source/drain structure. In this way, a lightly doped drain (LDD) type structure can be formed for both of the source/drain regions without using spacer oxide regions. Gate electrodes and wiring lines having this structure are more consistently of a higher quality, and generally have lower resistance than gate electrodes and wiring lines formed using conventional salicide techniques.
The present inventors believe that the observed improvements in salicide electrode and wiring line formation and performance achieved by using preferred embodiments of the present invention relate to forming the salicide structure so that the silicide layer of the gate electrode is grown in such a manner as to have a low level of stress. It becomes 'Increasingly difficult to form 8 T acceptable low resistivity salicide electrodes and wiring lines when these structures are made using polysilicon lines that are less than one half micron across. In particular, the resistivity of P-ate electrodes and wiring lines rises precipitously for line xvidths of less than one halt' micron.
he increase in the resistivity for smaller line widths reflects the fact that the second annealing step conventionally used to produce the low resistivity phase of silicide can be ineffective for such narrow line widths. To understand why this happens, it is useful to consider a more realistic model of what happens during the formation of conventional salicide structures.
Fig. 6 illustrates a mechanism that is believed to explain the difficulty in converting silicide layers formed on narrow line width polysilicon layers to the low resistivity silicide phase. Fig, 4, discussed above, shows a well defined titanium silicide layer extending uniformly across a polysilicon gate electrode. This is an idealized representation of what is produced during the rapid thermal anneal that converts titanium in contact with a layer of silicon into a layer of titanium silicide. The inventors have observed that this process step more typically forms a titanium silicide structure 38 such as that illustrated in Fig. 6. Near the edge of the polysilicon gate electrode, the gate oxide spacers 22 appear to "clamp" the edges of the titanium silicide layer 38, limiting the growth of the titanium silicide layer which typically must expand to a thickness greater than the silicon layer consumed during the growth process. Thus, titanium silicide grows most freely near the center of the gate electrode so that the thickest part of the titanium silicide layer 38 is formed above the center of the polysilicon gate electrode 16. Titanium silicide along the edges of the layer 38 has a high level of stress, as it is formed, while the more central portion of the titanium silicide has a relatively lower level of stress. If the width of the titanium silicide layer 3) 8 is sufficiently small, then a considerable level of stress will exist even at the center of the 9 titailluffl Sillclde]aver 38. If too high of a stress level exists across the entire titanium silicide]aver as it is formed, then a subsequent annealing step may not be successful in converling enough of the titanium silicide layer 38 to the low resistivity phase A sallcide structure in,,hlch the as grown silicide layer has too high of a level of stress may thus produce an undesirably resistive salicide structure which is poorly suited for use as a gate electrode or a wiring line.
The present inventors accordingly believe that, at least for small line widths, it is desirable to form salicide structures using silicide layers having a reduced stress level. Salicide structures that are formed incorporating a reduced stress silicide layer and a preferred method of making such structures are now described with reference to Figs. 7-15. While these figures illustrate par---ticularly preferred embodiments of the present invention within MOS transistors and wiring lines in a particular configuration of a semiconductor device, embodiments of the present invention can be used to form gate electrodes and wiring lines in a wide variety of sedconductor devices. In addition, while the description of the following embodiments emphasizes the formation of NMOS devices, salicide structures in accordance with the present invention may be implemented to advantage in PMOS devices as well. This is true whether the polysilicon of the PMOS gate is doped N-type or P-type. Although it is possible to use the salicide structure described herein only for the gate electrodes (or, conversely, only for the wiring lines) of a device, it is presently believed that it is most desirable to use the described salicide structure for all of the first level polysilicon lines, at least for those devices in which high conductivity electrodes and wiring lines are desirable.
Fig. 7 Illustrates in cross section a small portion of a semiconductor circuit incorporating a MOS device at an early stage in the manufacturing process. A P-type substrate 10 is provided and device isolation reulons such as field oxide regions 12 are provided as necessary A pad oxide is grown thermally or deposited by chemical vapor deposition (CVD) over the active device regions of the de.,.Ice to a thickness of between about 50 to 300 A. The channel threshold adjust implantation is then performed in the typical manner using, for example, boron or boron fluoride ions for NMOS devices or, for example, arsenic or phosphorus ions for PMOS devices to a dose of between about 3 x 1C Ions/cm2 to about 5 x 1V ions/cm' at an energy of between about 5 to KeV. Next, a senies of layers of material are deposited at least over the regions of the device where salicide gate structures and wiring lines are to be formed. The series of layers will be patterned into a form or mask structure to be used in forming a shaped polysilicon line which will undergo further processing to form a salicide structure. As such, it is possible to use a variety of different combinations of layers to provide the desired form or mask structure. In a preferred embodiment, a layer 42 of silicon nitride S'3N4 is deposited first, a layer 44 of silicon oxide S'02 'S deposited next, and then a second layer 46 of silicon nitride is deposited. Each of these layers can be deposited using one of the conventional CVI) processes well known in the art, with each of the layers having a thickness of between about 1000 A to 3000 A. The total thickness of the layers is preferably about 3000 A, but this may be readily varied to form salicide structures of different thicknesses.
After the layers 42, 44, 46 that will be formed into the polysilicon form have been deposited, photolithography is performed to provide openings through the three layers at the regions where salicide structures are to be formed. This photolithography may use a mask that is the reverse of the conventional first polysilicon mask pattern so that, after the photoresist is exposed and removed, openings through the photoresist will leave the layer 46 exposed over the 11 re.gions where the salicide structures are to be formed. Then. the layers 42, 44 and 46 are etched in a substantially anisotropic manner using, for example, plasma etching with SF, and He for the Si,N, layers 46 and 42 arid using CHF, and 0, for the SIO, layer 44. Afler the photoresist is stripped, the device will appear as shown in Fig. 8 with an opening 48 over the illustrated active device region and an opening 50 on top of the field oxide region 12. A lateral etch of the middle
S102 laver 44 is then performed by dipping the device in a dilute HF solution (e.g., 1IF:H,0 1: 10) for between about two to about seven minutes. This will result in an undercut 52 being formed laterally across layer 44 within opening 48 and an undercut 54 being formed across layer 44 within opening 50. The undercut etch will also result in the removal of the pad oxide 40 where it is exposed to the dilute HT solution, as well as a slight undercut beneath layer 42. The extent of the undercut of layer 44 determines how far polysilicon protrusions will overhang the substrate for the shaped polysilicon structure that is to be formed. Accordingly, as will be described in greater detail below, the extent of the undercut will establish the position of the edge of the heavily doped portion of the LDD source/drain regions of the device. Thus, the extent of the undercut may desirably be adjusted in accordance with the particular structure that is desired for the source/drain regions. The presently preferred extent of the undercuts 52, 54 is between about 500 to about 2000 A.
A-fter the undercut etching has been performed, the substrate 10 will be exposed within the opening 48. A gate oxide layer 56 (Fig. 10) is then thermally grown in the conventional manner to a thickness of between about 30 A to about 300 A. Polysilicon is deposited by CVD to a sufficient depth to extend above the first layer 42 and more preferably to extend above layer 44.
The thickness of the polysillcon layer will typically be about the thickness of the three layers 42, 12 44 and 46. CVD polysilicon will readily deposit within the undercut regions 52, 54 (Fig. 9) to form shaped polysilicon structures 58, 60 as shown in Fig, 10. The polysilicon structures are preferably doped insifu during deposition by the addition of the appropriate dopant gas during the CVD process, or the polysilicon structures may alternately be doped later by ion implantation.
The stack of layers 42, 44, 46 'Is then removed using conventional etchants, such as hot H3PQ, for the S13N, layer 46 and 42 and a dilute FIF (in H,O) solution for the SiO, layer 44, to provide the structure shown in Fig. 11.
Next, the anti-punchthrough implantations are formed and the lightly doped portions of the source/drain regions are formed. These implantations are made in a self-aligned manner using the protrusions 62 extending from the polysilicon electrode 58 as a mask during oblique angle ion implantation. The implantation angles are readily determined by the length by which the protrusions 62 extend over the surface of the substrate 10 and the angle necessary for the implantation to have "line of sight" to the base of the polysilicon electrode 58. Typically, the implantation angle will be between about 15' to about 60'. The anti- punchthrough implantations 64 and lightly doped drain implantations 66 are made in the well known manner using implantations of boron, boron fluoride, arsenic or phosphorus ions to a dose of between about 5 x 10' ions/cm' to about 2 x 10' ionS/CM2 at an energy of between about 5 to 80 KeV. The resulting structure is illustrated in Fig. 12.
The heavily doped portions of the source/drain regions are then formed by implantation perpendicular to the surface of the substrate (i.e., no tilt angle), using the protrusions 62 extending from the polysilicon electrode 58 as a mask for the heavy implantation. Because the edge of heavily doped region is determined by where the "shadows" of the protrusions 62 fall on 11) the substrate, the heavily doped regions (68, Fig 13) are formed selfaligned to the protrusions Typically, the heavily doped regions are formed by an implantation of boron, boron fluorlde, arsenic, antimony or phosphorus ions to a dose of between about 1 x 1V ions/cm2 to about 1 ions/cm2 at an energy of between about 5 to 200 KeV. The source/drain regions are then activated by heating the device to a temperature of between about 8000C to 1 1000C for between seconds (RTA, higher temperature) and 60 minutes (lower temperature).
Next, the silicide portion of the salicide structure is formed. As is known "In the art, acceptable silicide layers can be formed using a number of different base metals, including titanium, cobalt, nickel, platinum and palladium. At the present time, titanium silicide is the most widely implemented, but both cobalt and nickel silicides are believed to have desirable characteristics for reduced line width devices. The processing steps characteristic to each of these different silicides are well known and reported in the literature. Accordingly, while the following description is made in terms of titanium silicide, other silicides can also be utilized in this process, as is known in the art.
After thermal activation of the dopants, the device Is as illustrated in Fig. 13. The native (thermal) oxide formed in this process is removed using a dilute F1F solution, and then a thin layer of the metal to be silicided is deposited over the device using physical vapor deposition (e.g., sputtering). In the illustrated embodiment, titanium is deposited to a thickness of bet-ween about A to 800 A, producing thin layers 70 over the surface of the device, as shown in Fig. 14. The thickness of metal to be deposited is determined by balancing the need to deposit sufficient titanium to form a uniform layer with sufficient metal to provide a desirably conductive titanium silicide layer against the need to leave sufficient silicon below the sificided structures. Excessive 14 silicon consumption during silicidation can lead to unacceptable J unction leakage froni the source/drain rep-ions, aniong other problems. As is illustrated in Fig. 14, there is poor rnetal coverage in the region where the substrate 'Is shadowed by the protrusions 62 from the polysilicon electrode 58.
The discontinuffies in the metal layer 70 adjacent the gate electrode ensure that bridging (such as that illustrated in Fig. 5) should not occur. Thus, it is possible to perform the initial silicidation at a temperature sufficiently high to produce the low resistivity phase of titanium silicide. Accordingly, titanium silicide could be formed by performing a rapid thermal anneal (RTA) of the Fig. 14 device at a temperature of about 750'C for about twenty seconds. A subsequent etch would remove the unreacted titanium. In this process, however, there may be considerable silicon transport along the titanium layer 70, which could result in titanium silicide stringers extending over portions of the device in an undesirable fashion. It is therefore still likely preferred that silicidation be per-formed in a two-step process. Regardless, the existence of the discontinuities in the sputtered titanium layer reduce the criticality of the temperature and other controls for the processing steps in the two-step annealing process. Preferably, the Fig. 14 structure is subjected to a first RTA at a temperature within the range of 600-750'C, more preferably of about 700'C, for 10 to 120 seconds, more preferably 20 to 60 seconds, in a nitrogen ambient. For cobalt silicide, a temperature of about 550-600'C is preferably used for the initial silicidation step. Titanium nitride, titanium-rich titanium silicide, titanium oxide and unreacted titanium are then etched from the surface ofthe device in a solution of NH,01H, H,0, and H20 (for example, at a ratio of 1. 1: 5), leaving titanium silicide layers 72 over the heavily doped portions 68 of the source/drain regions. Titanium silicide regions 74, 76 also remain over the polysilicon portion 58 of the gate electrode and over the polysilicon portion 60 of the v'-lring line. The remaining titanium silicide is then converted to the lower resistivity phase in a RTA at a temperature within a range of about 70WC to 900'C for between about 10 to 60 seconds Most preferably, the second RTA is per-formed at a temperature of about 85WC for about 20 seconds.
In this embodiment, the titanium silicide regions 74, 76 are less constrained than in the conventional salicide process. Ideally, the titanium silicide will be essentially unconsti.ained in the vertical direction, since there are no spacer oxide regions to vertically compress the titanium silicide in the regions where the silicon is consumed. The titanium silicide regions 74, 76 are thus formed with much lower stress levels than occur in the conventional silicidation process (Illustrated in Figs. 1-4). There will still be stress introduced into the titanium silicide layer along the horizontal direction due to the mismatch between the titanium silicide and the underlying (unconsumed) silicon, but the structure should nevertheless have a much reduced stress level after the initial silicidation process than in conventional salicide processes. As such, the second RTA has a much improved likelihood of converting the titanium silicide to the preferred low resistivity phase. The titanium sificide structures 74, 76 have approximately the same width (i.e., approximately 500 A to approximately 2000A) as the silicon protrusions 62 that exist prior to the silicidation process.
Subsequent processing proceeds in the conventional manner, with the deposition of a interpolysilicon or pre-metal dielectric layer such as atmospheric pressure CVI) S102 or borophosphosilicate glass (BPSG) over the Fig. 15 structure. Thus, CV1) S102 or BPSG will typically be disposed adjacent the lower sidewalls of the polysilicon electrode 58 (between the protrusions of silicide layer 74 and the substrate 10) and adjacent the lower sidewalls of 16 polysilicon wining line 60 (between the protrusions of silicide layer 76 and the field oxide 12). Vias are formed through the CV1) S10, or WSG down to the sificide regions as necessary, forming polysilicon or metal contacts and first metal or second polysilicon wiring lines and interconnects. The remaining structures and processes are conventional and so are not described further herein. It should be noted that certain configurations of gate electrodes, wiring lines and silicided regions of the substrates sometimes include additional layers of conductive materials such as refractory metals or rtrides of metals (e.g., titanium nitride) formed on top of the salicide structure.
The present invention has been described in terms of certain preferred embodiments. The invention is not, however, limited to the specific embodiments described, but also includes such modifications and variations as fall within the scope of the following claims.
17

Claims (1)

1. A semiconductor circuit comprising,a semiconductor substratel. a layer of insulating material on the semiconductor substrate; a polysilicon structure on the layer of insulating material formed to have two sidewalls ex-tending above the semiconductor substrate; and a layer of conductive material on the polysilicon structure extending laterally beyond both sidewalls of the polysilicon structure.
2. The semiconductor circuit of claim 1, wherein the layer of conductive material is a metal silicide.
3. The semiconductor circuit of claim 2, wherein the metal silicide comprises silicon and at least one metal from the group consisting of titanium, cobalt, rlickel, platinum and palladium.
18 4. A semiconductor circuit comprising:
a semiconductor substrate.
a layer of insulating matenial on the semiconductor substrate; a polysilicon structure on the layer of insulating material formed to have two sidewalls extending above the semiconductor substrate; a layer of conductive material on the polysilicon structure extending laterally beyond both and sidewalls of the polysilicon structure, a first LDD source/drain region formed within the semiconductor substrate having a first lightly doped region and a first heavily doped region, the first lightly doped region having a boundary adjacent to a lower edge of a first one of the sidewalls of the polysilicon structure and the first heavily doped region having a boundary formed self aligned to a first edge of the layer of conductive material.
5.
metal silicide.
The semiconductor circuit of claim 4, wherein the layer of conductive material is a 6. The serrdconductor circuit of claim 5, wherein the metal silicide comprises silicon and at least one metal from the group consisting of titanium, cobalt, rckel, platinum and palladium.
7. The sedconductor circuit of claim 6, wherein the metal silicide is in a phase having a lower resistivity than other phases of the metal silicide.
19 8. The semiconductor circuit of claim 5, wherein the layer of conductive material is titanium silicide.
9. The semiconductor circuit of claim 5, further comprising a second LIDD source/drain region formed within the semiconductor substrate having a second lightly doped region and a second heavily doped region, the second lightly doped region having a boundary adjacent to a lower edge of the second of the sidewalls of the polysilicon structure and the second heavily doped region having a boundary formed self aligned to a second edge of the layer of conductive material.
10. A semiconductor circuit having a wiring line and a MOS device incorporating a gate electrode, the MOS device formed over a semiconductor substrate, the wiring line and the gate electrode each having a salicide structure comprising: a polysilicon lower layer having sidewalls; a layer of metal silicide overlying the polysilicon lower layer and extending laterally beyond each of the sidewalls of the polysilicon lower layer.
11. The semiconductor circuit of claim 10, wherein the layer of metal silicide extends laterally at least 500 A beyond the upper edge of each of the sidewalls of the polysilicon lower layer.
12. The semiconductor circuit of claim 11, wherein the NIOS device further comprises a first and a second LIDD source/drain region formed within the semiconductor substrate on either side of the gate electrode, each of the first and second LIDD source/drain regions having a lightly doped region and a heavily doped region, each of the lightly doped regions having a boundary adjacent to a lower edge of the sidewalls of the polysilicon lower layer and each of the heavily doped regions having a boundary formed self aligned to an edge of the layer of metal silicide.
13.
titanium, cobalt or nickel.
The semiconductor circuit of claim 12, wherein the metal silicide comprises 14. A method of making a semiconductor device, comprising the steps of.
providing a semiconductor substrate and providing a layer of insulating material over at least a portion of the semiconductor substrate; forming a shaped polysilicon structure over the layer of insulating material, the shaped polysilicon structure having protrusions extending laterally over a surface of the semiconductor substrate; depositing a metal layer on the shaped polysilicon structure; and annealing the semiconductor device to produce a layer of metal silicide on the shaped polysilicon structure.
15. The method of claim 14, wherein the metal layer is deposited by physical vapor deposition.
21 16. The method of claim 14, wherein the metal layer is deposited in such a manner that there is a discontinuity in the deposited metal layer at or near the protrusions of the polysilicon structure.
17. The method of claim 14, wherein the step of annealing the semiconductor device consists of a rapid thermal anneal performed at a temperature within the range of 600'C to 75CC.
18. The method of claim 17, wherein the rapid thermal anneal is performed at a temperature of about 70CC, 19, The method of claim 17, wherein the rapid thermal anneal continues for a time between 10 to 120 seconds.
20. The method of claim 18, wherein the rapid thermal anneal continues for 20 to 60 seconds.
21. The method of claim 14, further comprising the step of etching the de.ice in a solution of NH,OH, H20. and H20 subsequent to the step of annealing the serniconductor device.
22. The method of claim 21, further comprising a second step of annealing the semiconductor device at a temperature of about 850'C for about 20 seconds.
22 23. The method of claim 14, further comprising a second step of annealing the semiconductor device at a temperature of greater than 7000C for about 10 to about 120 seconds.
24. A method of forming a semiconductor device including a MOS transistor, the method of making the MOS transistor comprising the steps of forming an insulator on a semiconductor substrate; forming a shaped polysilicon electrode on the insulator, the shaped polysilicon electrode having protrusions extending laterally over the semiconductor substrate; forming by ion implantation LDD source/drain regions within the substrate on either side of the shaped polysilicon electrode using the protrusions of the shaped polysilicon electrode as a mask for the ion implantation to define the LDD source/drain region dopant distribution; and forming a metal silicide layer over the shaped polysilicon electrode.
23 25. The method of claim 24, wherein the step of forming the shaped polysilicon electrode structure comprises the steps of depositing a first layer of masking material on the semiconductor device and a second layer of masking material on the first layer of masking material., forming an opening by removing a portion of the first and the second layers o'. masking material., laterally etching the second layer of masking material so that the opening is wider at the second layer than at the first layer; depositing polysilicon within the opening; and removing the first and second layers of masking material.
26. The method of claim 25, further comprising the step of depositing a third layer of masking material on the second layer of masking material before the step of forming an opening.
27, The method of claim 26, wherein the first and third layers of masking material are formed of the same material.
28. The method of claim 27, wherein the second layer of masking material comprises silicon oxide.
29. The method of claim 26, wherein the polysilicon is deposited by chenlical vapor deposition and is doped in situ.
24 30. The method of claim 24, wherein the step of forming a metal silicide layer comprises the steps of depositing a layer of metal on the semiconductor device; annealing the semiconductor device to form metal silicide on the shaped polysilicon electrode; and etching unreacted metal from the semiconductor device.
31. The method of claim 30, wherein the deposited metal is selected &om the group consisting of titanium, cobalt, nickel, platinum and palladium.
GB9625264A 1996-12-04 1996-12-04 Salicide electrodes for semiconductor devices Withdrawn GB2320134A (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
GB9625264A GB2320134A (en) 1996-12-04 1996-12-04 Salicide electrodes for semiconductor devices
GB0113671A GB2360128A (en) 1996-12-04 1996-12-04 Method of making semiconductor device with salicide electrode
SG1996011580A SG64959A1 (en) 1996-12-04 1996-12-09 An improved salicide process technology
DE19651831A DE19651831A1 (en) 1996-12-04 1996-12-13 Semiconductor device
NL1004810A NL1004810C2 (en) 1996-12-04 1996-12-18 Improved salicide process technology.

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
GB9625264A GB2320134A (en) 1996-12-04 1996-12-04 Salicide electrodes for semiconductor devices
DE19651831A DE19651831A1 (en) 1996-12-04 1996-12-13 Semiconductor device
NL1004810A NL1004810C2 (en) 1996-12-04 1996-12-18 Improved salicide process technology.

Publications (2)

Publication Number Publication Date
GB9625264D0 GB9625264D0 (en) 1997-01-22
GB2320134A true GB2320134A (en) 1998-06-10

Family

ID=27216922

Family Applications (1)

Application Number Title Priority Date Filing Date
GB9625264A Withdrawn GB2320134A (en) 1996-12-04 1996-12-04 Salicide electrodes for semiconductor devices

Country Status (3)

Country Link
DE (1) DE19651831A1 (en)
GB (1) GB2320134A (en)
NL (1) NL1004810C2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6648987B1 (en) * 1998-11-18 2003-11-18 Forschungszentrum Julich Gmbh Method for producing nanostructures in thin films
US6832093B1 (en) 1998-10-30 2004-12-14 Nokia Mobile Phones Ltd. Method and system for restricting the operation of a radio device within a certain area

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1567808A (en) * 1976-07-15 1980-05-21 Nippon Telegraph & Telephone Semiconductor devices and method of manufacturing the same
GB2139418A (en) * 1983-05-05 1984-11-07 Standard Telephones Cables Ltd Semiconductor devices and conductors therefor
WO1986006877A1 (en) * 1985-05-03 1986-11-20 American Telephone & Telegraph Company Polycide process in semiconductor fabrication
JPS621276A (en) * 1985-06-26 1987-01-07 Nec Corp Mos type semiconductor device
EP0507446A2 (en) * 1991-03-05 1992-10-07 STMicroelectronics, Inc. Structure and method for self-aligned contact formation

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0611074B2 (en) * 1985-03-20 1994-02-09 三菱電機株式会社 Method for manufacturing semiconductor device
JPS624317A (en) * 1985-07-01 1987-01-10 Nec Corp Manufacture of semiconductor integrated circuit
JPH04180633A (en) * 1990-11-15 1992-06-26 Kawasaki Steel Corp Manufacture of semiconductor device
JPH05267324A (en) * 1992-03-17 1993-10-15 Nec Yamagata Ltd Manufacture of mos semiconductor device
US5434093A (en) * 1994-08-10 1995-07-18 Intel Corporation Inverted spacer transistor
JPH08191147A (en) * 1995-01-12 1996-07-23 Fujitsu Ltd Semiconductor device and manufacture thereof
JPH08241988A (en) * 1995-03-03 1996-09-17 Hitachi Ltd Semiconductor integrated circuit device and fabrication thereof
JPH08264771A (en) * 1995-03-22 1996-10-11 Toshiba Corp Semiconductor device and its manufacture

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1567808A (en) * 1976-07-15 1980-05-21 Nippon Telegraph & Telephone Semiconductor devices and method of manufacturing the same
GB2139418A (en) * 1983-05-05 1984-11-07 Standard Telephones Cables Ltd Semiconductor devices and conductors therefor
WO1986006877A1 (en) * 1985-05-03 1986-11-20 American Telephone & Telegraph Company Polycide process in semiconductor fabrication
JPS621276A (en) * 1985-06-26 1987-01-07 Nec Corp Mos type semiconductor device
EP0507446A2 (en) * 1991-03-05 1992-10-07 STMicroelectronics, Inc. Structure and method for self-aligned contact formation

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Patent Abstracts of Japan [E-511], Vol 11, No 169 & JP 62 001276 A *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6832093B1 (en) 1998-10-30 2004-12-14 Nokia Mobile Phones Ltd. Method and system for restricting the operation of a radio device within a certain area
US6648987B1 (en) * 1998-11-18 2003-11-18 Forschungszentrum Julich Gmbh Method for producing nanostructures in thin films

Also Published As

Publication number Publication date
GB9625264D0 (en) 1997-01-22
NL1004810C2 (en) 1998-06-19
DE19651831A1 (en) 1998-06-18

Similar Documents

Publication Publication Date Title
US5981383A (en) Method of fabricating a salicide layer of a device electrode
US6013569A (en) One step salicide process without bridging
US4384301A (en) High performance submicron metal-oxide-semiconductor field effect transistor device structure
US6153485A (en) Salicide formation on narrow poly lines by pulling back of spacer
US5953614A (en) Process for forming self-aligned metal silicide contacts for MOS structure using single silicide-forming step
US6093628A (en) Ultra-low sheet resistance metal/poly-si gate for deep sub-micron CMOS application
EP0139371B1 (en) Process for manufacturing a mos integrated circuit employing a method of forming refractory metal silicide areas
US5350698A (en) Multilayer polysilicon gate self-align process for VLSI CMOS device
US5346836A (en) Process for forming low resistance contacts between silicide areas and upper level polysilicon interconnects
US5464782A (en) Method to ensure isolation between source-drain and gate electrode using self aligned silicidation
US5702972A (en) Method of fabricating MOSFET devices
US6150243A (en) Shallow junction formation by out-diffusion from a doped dielectric layer through a salicide layer
US6630721B1 (en) Polysilicon sidewall with silicide formation to produce high performance MOSFETS
JPH0620079B2 (en) Method for forming refractory metal silicide layer
US6015753A (en) Method of forming a self-aligned silicide
US6133124A (en) Device improvement by source to drain resistance lowering through undersilicidation
US6165857A (en) Method for forming a transistor with selective epitaxial growth film
EP0404372B1 (en) Method for forming polycrystalline silicon contacts
US6015740A (en) Method of fabricating CMOS devices with ultra-shallow junctions and reduced drain area
US5981365A (en) Stacked poly-oxide-poly gate for improved silicide formation
KR100191359B1 (en) Method of manufacturing a semiconductor devcie
US6339245B1 (en) Nitride overhang structure for the silicidation of transistor electrodes with shallow junctions
US6200871B1 (en) High performance self-aligned silicide process for sub-half-micron semiconductor technologies
US5858846A (en) Salicide integration method
US5913124A (en) Method of making a self-aligned silicide

Legal Events

Date Code Title Description
WAP Application withdrawn, taken to be withdrawn or refused ** after publication under section 16(1)