EP2092031A1 - Planarization composition for metal surfaces comprising an alumina hydrate abrasive - Google Patents

Planarization composition for metal surfaces comprising an alumina hydrate abrasive

Info

Publication number
EP2092031A1
EP2092031A1 EP06844778A EP06844778A EP2092031A1 EP 2092031 A1 EP2092031 A1 EP 2092031A1 EP 06844778 A EP06844778 A EP 06844778A EP 06844778 A EP06844778 A EP 06844778A EP 2092031 A1 EP2092031 A1 EP 2092031A1
Authority
EP
European Patent Office
Prior art keywords
slurry
planarization
solids
cmp
abrasive
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP06844778A
Other languages
German (de)
French (fr)
Inventor
Ivan Petrovic
Sharad Mathur
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
BASF SE
Original Assignee
BASF SE
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by BASF SE filed Critical BASF SE
Publication of EP2092031A1 publication Critical patent/EP2092031A1/en
Withdrawn legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions

Definitions

  • the present invention relates to a novel slurry for chemical- mechanical planarization (CMP) .
  • CMP chemical- mechanical planarization
  • the present invention is applicable to manufacturing high speed integrated circuits having submicron design features and high conductivity interconnect structures with high production throughput.
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • PECVD plasma-enhanced chemical vapor deposition
  • ECP now electrochemical plating
  • Planarizing a surface is a process where material is removed from the surface of the substrate to form a generally even planar surface. Planarization is useful in removing undesired surface topography and surface defects, such as rough surfaces, agglomerated materials, crystal lattice damage, scratches, and contaminated layers or materials. Planarization is also useful in forming features on a substrate by removing excess deposited material used to fill the features and to provide an even surface for subsequent levels of metallization and processing.
  • CMP chemical mechanical planarization
  • a substrate carrier or planarization head is mounted on a carrier assembly and positioned in contact with a planarization pad in a CMP apparatus.
  • the carrier assembly provides a controllable pressure to the substrate urging the substrate against the planarization pad.
  • the pad is moved relative to the substrate by an external driving force.
  • the CMP apparatus effects planarization or rubbing movement between the surface of the substrate and the planarization pad while dispersing a planarization composition, or slurry, to effect both chemical activity and mechanical activity.
  • the abrasive article can be a fixed abrasive article, such as a fixed abrasive planarization pad, which may be used with a CMP composition or slurry that does not contain abrasive particles.
  • a fixed abrasive article typically comprises a backing sheet with a plurality of geometric abrasive composite elements adhered thereto.
  • Abrasives which are most extensively used in the semiconductor CMP process are silica (S1O2), alumina (AI2O3), ceria (Ce ⁇ 2>, zirconia (ZrO ⁇ ), and titania (Ti ⁇ 2), which can be produced by a fuming or a sol-gel method, as described in U.S. Pat Nos. 4,959,1 13; 5,354,490; and 5,516,346 and WO 97/40,030.
  • a composition or a slurry comprising mangania (Mri2 ⁇ 3) ⁇ European Pat. No. 816,457) or a silicon nitride (SiN) (European Pat. No. 786,504).
  • U.S. 6,508,952 discloses a CMP slurry containing any commercially available abrasive agent in particle form, such as Si ⁇ 2, AI2O3, ZTOZ, CeCh, SiC, Fe2 ⁇ 3, Ti ⁇ 2, S ⁇ 3N4, or a mixture thereof.
  • abrasive particles normally have a high purity, a high surface area, and a narrow particle size distribution, and thus are suitable for use in abrasive compositions as abrasive agents.
  • U.S. 4,549,374 discloses planarization semiconductor wafers with an abrasive slurry prepared by dispersing montmorillonite clay in deionized water. The pH of the slurry is adjusted by adding alkali such as NaOH and KOH.
  • US Patent 5,693,239 teaches a CMP planarization composition comprising water; 1 -50 weight percent alpha-alumina or alpha-aluminum oxide; the remainder of the solids being of a substantially less abrasive composition chosen from the group consisting of aluminum hydroxides, gamma-alumina, delta-alumina, amorphous alumina, and amorphous silica. See also US Patents 4,956,01 5; 6,037,260; and 6,475,607. However, we believe that the presence of aluminum oxide at even ⁇ 5 weight percent in the solids portion of a CMP slurry may scratch the metal surface of the wafer. ⁇ ⁇ . • . / • . !
  • Japanese Kokai Patent Publication: 2000-246649 teaches a planarization pad containing 5-50 % by w.eight of boehmite abrasive particles. The reference teaches that if the beohmite weight percent exceeds 50, the pad' s cushioning property drops.
  • the slurry used with the planarization pad contained 1 -1 5 weight percent of fine particles such as boehmite. See also Japanese Kokai Patent Publication 2000-246620.
  • the present invention provides CMP abrasive slurry that is substantially free of anhydrous aluminum oxide (generic formula AI2O3) and comprises liquid portion and solids portion wherein said solids portion comprising:
  • Figure 1 is a TEM of one embodiment of the present invention.
  • FIG. 2 illustrates one embodiment of the present invention.
  • FIGS 3-7 are thermograms (TGA/DTA or TGA/DSC) for boehmites useful in the present invention.
  • the present invention uses a component having the formula AI2O3-XH2O where x ranges from 1 to 3.
  • x is 1 in the preceding formula, the resulting product is known as diaspore and has a Mohs' hardness of about 6.5-7.
  • x ranges from greater than 1 to 2, i.e., 1.1 , 1 .2, 1 .3, 1.4, 1 .5, 1.6, 1 .7, 1 .8, 1.9, or 2
  • the resulting products are known as boehmite or pseudoboehmite and have a Mohs' hardness of about 2.5-3.
  • the resulting products are known as gibbsite, doyleite, nordstrandite (all with Mohs' hardness of about 2.5-3), or bayer ⁇ te.
  • the component is boehmite or pseudoboemite.
  • At least one non-spherical component having the formula AbOa-xHbO includes but is not limited to the following mixtures of phases: AI2O3-1 .2H- 2O and AI2O3 L6H2O, AI2O3-I .2H2O and AI2O3 2H2O, and AI2O3L6H2O and Al 2 ⁇ 3-2H 2 O, and AI2O3. I .5H2O and AI2O3.3H2O.
  • One useful commercially available mixture is about 80 weight percent boehmite and 20 weight percent gibbsite.
  • x in the above formula AI2O3.XH2O may be conveniently determined by commercially available thermal analysis instruments (e.g., TGA, TGA/DTA, TGA/DSC).
  • TGA thermal analysis instruments
  • TGA/DTA TGA/DTA
  • TGA/DSC thermal analysis instruments
  • the sample in powdered form, without any special pre-treatment (drying or humidification) was heated from room temperature to about 1 200 0 C at a rate of 20°C/min in 1 0O mL/min flow of dry air.
  • a sol sample was left to dry in the fume hood for approximately two days, and then heated as described above.
  • the x may be more than 2 or less than 1 for boehmite or pseudobeohmite, and may be greater or smaller than 3 for gibbsite, doyleite, nordstrandite or bayerite.
  • Other useful technique to identify these alumina hydrate phases is powder X-ray diffraction (XRD).
  • XRD powder X-ray diffraction
  • the boehmite is usually produced by a method wherein gibbsite or the like is subjected to hydrothermal treatment under pressure at a temperature of about 250 0 C or by a method wherein an organoaluminum compound of the formula AI(0R)3 wherein R is an alkyl group is hydrolyzed.
  • non-spherical as used herein means particles having a morphology wherein at least one dimension (height, length and/or width) is substantially larger than another.
  • a non- spherical particle morphology may be plate-like, sheet-like, needle- like, capsule-like, laminar-like, or any other of a myriad of shapes having at least one dimension substantially larger than another.
  • Such morphology distinguishes over spherical particles which are substantially round in appearance and do not have noticeable elongated surfaces as disclosed in US Patent 6,562,091 .
  • non-spherical particles provide much larger effective contact, i.e., planarization surface.
  • the present non-spherical particle expected to be positioned flat during.the : planarization process advantageously is in contact with the polished surface through the largest face.
  • the polish uniformity and overall planarity are expected to be improved. Such improvements include reduced erosion, dishing, and field oxide loss.
  • the greater planarization area of the non-spherical particle allows the use of lower abrasive content in the slurry. This provides a positive effect on particle related defects such as scratch and particle residue.
  • the non-spherical particle will positively contribute to non-Prestonian behavior of the fully formulated slurry, i.e. , that the slurry will not show a linear increase of the planarization rate with applied pressure. This may be significant for low pressure planarization such as less than 2-3 ps ⁇ and also for planarization of next generation copper and low or ultra low k dielectric devices with planarization pressures as low as less than one psi.
  • FIGS 3-7 show examples of thermal analysis- thermogravimetric analysis (TGA) and differential thermal analysis (DTA) or differential scanning calorimetry (DSC) charts of possible alumina hydrate abrasives useful in the present invention. They were obtained using a TA instruments SDT Q600 analyzer by heating the sample from room temperature to 1200 0 C at a heating rate of 20°C/min in 100 ml/min flow of dry air. The results show in Figures 3-7 that a distinct three step weight loss (TGA curve - left Y axis) with correspondence endothermic peaks as shown by DTA or DSC curve (right Y axis) associated with the water loss.
  • TGA thermal analysis- thermogravimetric analysis
  • DTA differential thermal analysis
  • DSC differential scanning calorimetry
  • the first weight loss varies from about 1 to 25 weight percent and is typically associated with a DTA/DSC peak between about 60 0 C and 120 0 C;
  • the second weight loss is more consistent ranging from about 1 2 to 16 weight • percent with associated very sharp DTA/DSC peaks in the range 460°C to 51 5 0 C.
  • the third weight loss in all cases less than 2 percent, is a very gradual one, taking place at temperatures above 600 0 C, with a very broad endotherm in the range of 740 0 C to about 905 0 C.
  • the present non-spherical particles comprises boehmite substantially throughout the core and surface of the particle.
  • Useful boehmite is commercially available from Sasol. Examples of useful DISPERAL ® acid dispersible boehmite alumina systems are in the following Table 1 :
  • CA TAPALTM Useful boehmite is also commercially available from Sasol as CA TAPALTM.
  • CA TAPAL A, B, C1 or D is spray dried alumina with increasing crystallite sizes from 40 Angstroms to 70 Angstroms.
  • CA TAPAL 200 has a 400 Angstroms crystallite size.
  • Figure 1 shows a TEM of a Sasol boehmite.
  • non-spherical abrasive particle 10 comprises core 1 2 that is at least partially coated with aluminum hydroxide layer 14.
  • Useful core material 12 includes those disclosed in our pending patent application US Serial 1 0/792738 filed March 5, 2004 incorporated herein by reference in its entirety. Laminar clays such as kaolin, vermiculite and montmorillonite (that can be exfoliated) and modifications of such clays that preserve the clay shape such as acid leached kaolin, mica, talc, graphite flake, glass flake, and synthetic polymer flake are useful.
  • non-spherical particles are primary in the slurry.
  • the phrase " non-spherical particle" as used herein does not cover a non-spherical agglomeration of spherical particles.
  • the present abrasive particles are preferably softer than the silica, alumina or ceria abrasives typically used for CMP. Accordingly, the non- spherical abrasive particles have a Mohs hardness of about 1 -5 to 6.
  • Table 4 sets forth the various metals and abrasive particles:
  • non-spherical abrasive having a Mohs hardness between about 1 -6 is hard enough to provide the necessary mechanical action of a CMP slurry, yet defects such as scratching, dishing, and over planarization action can simultaneously be avoided.
  • the non-spherical particle abrasive will comprise up to 20 by weight percent of the slurry although abrasive solids contents up to 60 wt.% may be prepared. More typically, amounts of less than 15% by weight and more preferably, an abrasive content in amounts of from 0.5-8 wt.% are utilized. Kaolin clay particles are preferred for core material 12.
  • hydrous kaolin can be utilized, it has been found that if the kaolin has been calcined, a better planarization rate results. However, the overall performance of hydrous kaolin is better than calcined kaolin and thus, hydrous kaolin is preferred. Calcination of the kaolin to undergo a strong endothermic reaction associated with dehydroxylation results in metakaolin. Kaolin clay calcined under conditions more severe than those used to convert kaolin to metakaolin, i.e., kaolin clay calcined to undergo the characteristic kaolin exothermic reaction, results in the spinel form of calcined kaolin and also mullite if more extreme conditions are utilized.
  • calcination of the hydrous kaolin at temperatures of 1200°F and higher results in the dehydroxylation of hydrous kaolin to metakaolin.
  • Calcination temperatures of 1400-2200° F can be used to produce a kaolin clay that has been calcined through its characteristic exotherm to spinel form kaolin.
  • formation of mullite occurs.
  • Any and all of these forms of kaolin clay can be utilized as the abrasive of this invention. All of these materials are available commercially from the present assignee, Engelhard Corporation, Iselin, New Jersey.
  • Hydrous kaolin is typically prepared through combination of unit operations that modify the particle size distribution and remove coloring impurities from kaolin. These unit operations are facilitated by using aqueous suspensions of kaolin in water. Examples of unit operations that change the particle size distribution are centrifuges, delamination or milling devices and selective flocculation. Examples of unit operations that result in removal of coloring impurities are flotation and magnetic separation. Further, reductive and/or oxidative bleaching can be used to render coloring impurities colorless. In addition, filtration may be utilized to substantially remove water from kaolin following which the high solids filtration product slurry can be spray dried. The spray dried portion can be added back to the high solids filter product slurry to further raise the solids content of the slurry.
  • the filtration product may not be dispersed and thus the filtercake can be dried and pulverized to obtain what is referred to as acid dried kaolin product in the industry. Additionally, the kaolin may be modified by thermal or chemical treatments. Typically, the kaolin is pulverized prior to and after the calcinations operation. Treated kaolin can be slurried to further effect modifications to the particle size distribution through the unit operations mentioned above.
  • ⁇ magnesium hydroxide ⁇ magnesium hydroxide
  • hydrotalcite ⁇ magnesium hydroxide
  • nanotalc ⁇ magnesium hydroxide
  • the preceding materials are commercially available.
  • Other useful non-spherical abrasive particles are disclosed in commonly assigned US Patent 6, 187,710 incorporated herein by reference in its entirety. This patent teaches in one embodiment clay minerals made up of elementary three-layer platelets consisting of a central layer of octahedrally oxygen-surrounded metal ions (octahedron layer), which layer is surrounded by two tetrahedrally surrounded, silicon atom-containing layers (tetrahedron layer), characterized in that the dimensions of the clay particles vary from 0.1 micron to one micron.
  • octahedron layer octahedron layer
  • silicon atom-containing layers tetrahedron layer
  • the octahedron layer at most 30 at. % of the metal ions has been replaced by ions of a lower valency and in the tetrahedron layers, at most 15 at. % of the silicon ions has been replaced by ions of a lower valency.
  • the patent teaches in another embodiment that the silicon (germanium) in the tetrahedron layer can be replaced by trivalent ions.
  • aluminum, chromium, iron (III), cobalt (III), manganese (III), gallium, vanadium, molybdenum, tungsten, indium, rhodium, and/or scandium are preferably present as trivalent ions.
  • magnesium, zinc, nickel, cobalt (II), iron (II), manganese (II), and/or beryllium are preferably present in the octahedron layer.
  • silicon and/or germanium is present as tetravalent component and preferably, aluminum, boron, gallium, chromium, iron (III), cobalt (III), and/or manganese (III) are present as trivalent component.
  • the aluminum hydroxide layer material 14 may be as described above.
  • the partial aluminum hydroxide coating generally has a thickness of about up to 0.5 micron. Any known coating process may be used for coating the aluminum hydroxide 14 onto core material 12.
  • CMP slurry compositions include abrasives for mechanical action and at least one of: oxidizers, acids, bases, complexing agents, surfactants, dispersants, and other chemicals for providing a chemical reaction such as oxidation on the surface to be polished.
  • Non-limiting examples of available bases include KOH, NhUOH, and FUNOH. Acids also can be added, which can be exemplified by H3PO4, CH3COOH, HCI, HF and so on. Available as such supplementary oxidizing agents are H2O2, KIO3, HNO3, H3PO4, K 2 Fe(CN) 6 , Na 2 Cr 2 O 7 , KOCI, Fe(NOs) 2 , NH2OH, and DMSO. Divalent acids, such as oxalic acid, malonic acid, and succinic acid can be used as additives for the planarization composition of the present invention.
  • Additional suitable acid compounds that may be added to the slurry composition include, for example, formic acid, acetic acid, propanoic acid, butanoic acid, pentanoic acid, hexanoic acid, heptanoic acid, oxtanoic acid, nonanoic acid, lactic acid, nitric acid, sulfuric acid, malic acid, tartaric acid, gluconic acid, citric acid, phthalic acid, pyrocatechoic acid, pyrogallol carboxylic acid, gallic acid, tannic acid, and mixtures thereof.
  • Suitable corrosion inhibitors that may be added to the slurry composition include, for example, benzotriazole, 6-tolytriazole, 1 - (2,3-dicarboxypropyl) benzotriazole, and mixtures thereof.
  • Carboxylic acids may also impart corrosion inhibition properties to the slurry composition.
  • fluorine-containing compounds may be added to the slurry composition. Suitable fluorine-containing compounds include, for example, hydrogen fluoride, perfluoric acid, alkali metal fluoride salt, alkaline earth metal fluoride salt, ammonium fluoride, tetramethylammonium fluoride, ammonium bifluoride, ethylenediammonium difluoride, diethylenetriammonium trifluoride, and mixtures thereof.
  • Suitable chelating agents that may be added to the slurry composition include, for example, ethylenediaminetetracetic acid (EDTA), N-hydroxyethylethylenediaminetriacetic acid (NHEDTA), nitrilotriacetic acid (NTA), diethylklenetr ⁇ aminepehtacetic acid (DPTA), ethanoldiglycinate, and mixtures thereof.
  • EDTA ethylenediaminetetracetic acid
  • NHEDTA N-hydroxyethylethylenediaminetriacetic acid
  • NTA nitrilotriacetic acid
  • DPTA diethylklenetr ⁇ aminepehtacetic acid
  • ethanoldiglycinate and mixtures thereof.
  • the chelating agents may aid in the softening of the metallic surface or even help to protect low lying features or surfaces of particular composition. The idea of protection mechanisms may lead to significant improvements.
  • Suitable amines that may be added to the slurry composition include, for example, hydroxylamine, monoethanolamine, diethanolamine, triethanolamine, diethyleneglycolamine, N- hydroxylethylpiperazine, and mixtures thereof.
  • Suitable surfactant compounds that may be added to the slurry composition include, for example, any of the numerous nonionic, anionic, cationic, or amphoteric surfactants known to those skilled in the art.
  • the pH of the slurry is vital to the performance of all slurry components.
  • the acidity level of a solution can control reaction rates at the surface, formation constants of metal complexing agents, rates of surface oxidation, solution ionic strength, aggregation size of slurry particles, and more. Examination of various acids, bases, and pH buffers are a prospective area for CMP development.
  • a boehmite slurry may be conveniently prepared by dispersing a boehmite abrasive in water, and adjusting the pH, if needed, by adding acid or a base. This mixture is then agitated for a period of time to ensure desired solids dispersion and form a particle slurry.
  • active CMP slurry components such as oxidizer or other complexing agent, chelating agent, passivating agent and surfactant are added. Other active components may be also added on as needed basis to ensure optimal performance of the fully formulated CMP slurry.
  • the pH of the final slurry then may be adjusted by adding acid or base.
  • Copper metal has a smaller intrinsic resistance and capacitance than Cu/AI alloy, which is currently used as the conducting medium. Therefore, a smaller electrical potential is required to send a signal through a copper line, reducing the tendency for electrical spillover. In effect, by using Cu-only, the circuit pathways can be placed closer together.
  • Cu also has disadvantages. Copper does not adhere well to oxide surfaces. Copper is also susceptible to bulk oxidation as, unlike WO3 or AI2O3, a CuO or CuO ⁇ surface layer still allows O2 and H2O to penetrate into the bulk metal. Moreover, Cu atoms are mobile and can migrate into the Si ⁇ 2 wafer material ultimately causing the transistors in the circuit to fail. Therefore, a thin layer of low dielectric material, typically composed of tantalum, tantalum nitride, or titanium nitride, is placed between the wafer oxide and conducting Cu layers. The buffer layer promotes Cu adhesion, prevents oxidation of the bulk Cu metal, prevents Cu ion contamination of the bulk oxide, and further lowers the dielectric between the circuits (i.e. allows the circuits to be even more closely spaced).
  • STI shallow trench isolation
  • An STI structure is usually formed by thermally growing an oxide layer on a silicon substrate and then depositing a silicon nitride layer on the thermally grown oxide layer. After deposition of the silicon nitride layer, a shallow trench is formed through the silicon nitride layer and the thermally grown oxide layer and partially through the silicon substrate using, for example, any of the well-known photolithography mask and etching processes.
  • a layer of a dielectric material such as silicon dioxide is then typically deposited using a chemical vapor deposition process to completely fill the trench and cover the silicon nitride layer.
  • a CMP process is used to remove that portion of the silicon dioxide layer covering the silicon nitride layer and to planarize the entire surface of the article.
  • the silicon nitride layer is intended to function as a planarization stop that protects the underlying thermally grown oxide layer and silicon substrate from being exposed during CMP processing.
  • the silicon nitride layer is later removed by, for example, dipping the article in an HF acid solution, leaving only the silicon dioxide filled trench to serve as an STI structure. Additional processing is usually then performed to form polysilicon gate structures.
  • Cu and accompanying low dielectric buffer layer demand enhanced performance from planarization techniques.
  • the new techniques are called Cu-CMP but in principle do not differ significantly from previous planarization methods.
  • the CMP process must be able to remove the soft Cu metal overburden, yet limit Cu dishing, scratching, and removal of the low dielectric buffer layer. Simultaneously, tolerances are more rigorous because of more closely spaced circuit patterns. The ability to produce layers that are thin, flat, and defect free is of paramount importance.
  • a dual damascene process starts with the deposition of a dielectric layer, typically an oxide layer, disposed over circuitry formed in a single crystal body, for example silicon.
  • the oxide layer is etched to form a trench having a pattern corresponding to a pattern of vias and wires for interconnection of elements of the circuitry.
  • Vias are openings in the oxide through which different layers of the structure are electrically interconnected, and the pattern of the wires is defined by trenches in the oxide.
  • metal is deposited to fill the openings in the oxide layer. Subsequently, excess metal is removed by planarization.
  • a dual damascene structure has a trench in an upper portion of a dielectric layer and a via terminating at the bottom of the trench and passing through a lower portion of the dielectric layer.
  • the structure has a step between the bottom of the trench and a sidewall of the via at the bottom of the trench.
  • the abrasive particles of the current invention can be used in CMP of copper in applications other than logic (such as microprocessors) or memory (such as flash memory) devices where copper is used in the interconnect metallic layers.
  • logic such as microprocessors
  • memory such as flash memory
  • improving the thermal and electrical characteristics of the packaging of the device may involve use of a copper layer that needs to be planarized.
  • the structure of the interconnect copper layer in the integrated circuit device and the copper layer in packaging may be different leading to different requirements on thickness of layer to be removed, planarity, dishing and defectivity.
  • Micro-Electro Mechanical Systems (MEMS) may have a copper layer that may require planarization using CMP.
  • Abrasive particles of the current invention can be used in CMP slurries for this application also. A review of CMP processing is provided in " Advances in

Abstract

The present invention provides CMP abrasive slurry that is substantially free of aluminum oxide and comprises liquid and solids wherein the solids comprises: (a) in an amount of at least about 90 weight percent based on the solids, at least one non-spherical component having formula Al2O3-xH2O where x ranges from 1 to 3; and (b) up to about one weight percent based on the solids portion of submicron alpha-alumina. The CMP abrasive slurry may be used to polish metallic or dielectric surfaces in computer wafers.

Description

PLANARIZATION COMPOSITION
Background of the Invention
The present invention relates to a novel slurry for chemical- mechanical planarization (CMP) . The present invention is applicable to manufacturing high speed integrated circuits having submicron design features and high conductivity interconnect structures with high production throughput.
In the fabrication of integrated circuits and other electronic devices, multiple layers of conducting, semiconducting, and dielectric materials are deposited on or removed from a surface of a substrate. Thin layers of conducting, semiconducting, and dielectric materials may be deposited by a number of deposition techniques. Common deposition techniques in modern processing include physical vapor deposition (PVD), also known as sputtering, chemical vapor deposition (CVD), plasma-enhanced chemical vapor deposition (PECVD), and now electrochemical plating (ECP).
As layers of materials are sequentially deposited and removed, the uppermost surface of the substrate may become non- planar across its surface and require planarization. Planarizing a surface, or " planarization" a surface, is a process where material is removed from the surface of the substrate to form a generally even planar surface. Planarization is useful in removing undesired surface topography and surface defects, such as rough surfaces, agglomerated materials, crystal lattice damage, scratches, and contaminated layers or materials. Planarization is also useful in forming features on a substrate by removing excess deposited material used to fill the features and to provide an even surface for subsequent levels of metallization and processing. Chemical mechanical planarization, or chemical mechanical planarization (CMP), is a common technique used to planarize substrates. CMP utilizes a chemical composition, typically a slurry or other fluid medium, for selective removal of material from substrates. Considerations in CMP slurry design are discussed in Rajiv K. Singh et al., " Fundamentals of Slurry Design for CMP of Metal and Dielectrics Materials", MRS Bulletin, pages 752-760 (October 2002). In conventional CMP techniques, a substrate carrier or planarization head is mounted on a carrier assembly and positioned in contact with a planarization pad in a CMP apparatus. The carrier assembly provides a controllable pressure to the substrate urging the substrate against the planarization pad. The pad is moved relative to the substrate by an external driving force. Thus, the CMP apparatus effects planarization or rubbing movement between the surface of the substrate and the planarization pad while dispersing a planarization composition, or slurry, to effect both chemical activity and mechanical activity.
Conventional slurries used for CMP processes contain abrasive particles in a reactive solution. Alternatively, the abrasive article can be a fixed abrasive article, such as a fixed abrasive planarization pad, which may be used with a CMP composition or slurry that does not contain abrasive particles. A fixed abrasive article typically comprises a backing sheet with a plurality of geometric abrasive composite elements adhered thereto. Abrasives which are most extensively used in the semiconductor CMP process are silica (S1O2), alumina (AI2O3), ceria (Ceθ2>, zirconia (ZrO∑), and titania (Tiθ2), which can be produced by a fuming or a sol-gel method, as described in U.S. Pat Nos. 4,959,1 13; 5,354,490; and 5,516,346 and WO 97/40,030. There has recently been reported a composition or a slurry comprising mangania (Mri2θ3) {European Pat. No. 816,457) or a silicon nitride (SiN) (European Pat. No. 786,504).
U.S. 6,508,952 discloses a CMP slurry containing any commercially available abrasive agent in particle form, such as Siθ2, AI2O3, ZTOZ, CeCh, SiC, Fe2θ3, Tiθ2, SΪ3N4, or a mixture thereof. These abrasive particles normally have a high purity, a high surface area, and a narrow particle size distribution, and thus are suitable for use in abrasive compositions as abrasive agents.
U.S. 4,549,374 discloses planarization semiconductor wafers with an abrasive slurry prepared by dispersing montmorillonite clay in deionized water. The pH of the slurry is adjusted by adding alkali such as NaOH and KOH.
Demands for electrical processing speed have continued to increase requiring higher and. ..higher circuit densities and ■ performance. It is now desirable. to fabricate chips with 8 or more layers of circuit patterns. In principal the requirement for more layers does not change the nature of planarization, but it does require more rigorous specifications from the planarization method. Defects such as scratches and dishing must be lessened or eliminated. An issue that further increases the technical demand is the move toward 300 mm wafers. The larger wafer makes it more difficult to maintain uniformity over larger length scales as compared to an 8", or 200 mm, wafer.
Besides adding layers, increased circuit density can be achieved by decreasing the space between the individual pathways. Pathways cannot be too close as electrical spillover can occur across the S1O2 dielectric (the wafer oxide) effectively shorting out the connection. Recent technological advancements permitting the fabrication of very small, high density circuit patterns on integrated circuits have placed higher demands on isolation structures. US Patent Applicatfon Publication 2003/01 29838 {filed December 28, 1 999) discloses the following non-plate-like abrasive materials: iron oxide, strontium titanate, apatite, dioptase, iron, brass, fluorite, hydrated iron oxide, and azurite. US Patent 5,693,239 teaches a CMP planarization composition comprising water; 1 -50 weight percent alpha-alumina or alpha-aluminum oxide; the remainder of the solids being of a substantially less abrasive composition chosen from the group consisting of aluminum hydroxides, gamma-alumina, delta-alumina, amorphous alumina, and amorphous silica. See also US Patents 4,956,01 5; 6,037,260; and 6,475,607. However, we believe that the presence of aluminum oxide at even < 5 weight percent in the solids portion of a CMP slurry may scratch the metal surface of the wafer. ■ ■ . . / . ! • Japanese Kokai Patent Publication: 2000-246649 teaches a planarization pad containing 5-50 % by w.eight of boehmite abrasive particles. The reference teaches that if the beohmite weight percent exceeds 50, the pad' s cushioning property drops.
The slurry used with the planarization pad contained 1 -1 5 weight percent of fine particles such as boehmite. See also Japanese Kokai Patent Publication 2000-246620.
US Patent 5,906,949 teaches a CMP slurry containing abrasive particles mainly made of boehmite for planarizing dielectric films such as Siθ2 under pH basic conditions. We believe that this patent' s Example 3 results in a boehmite surface coated alumina.
US Patent 6,562,091 teaches that a spherical shaped boehmite did not scratch a wafer during CMP processing; the spherical particles preferably had a diameter of less than approximately 50 nm. This was in contrast to the prior art teaching that an angulated silica particle may scratch a wafer surface during CMP processing. Summary of the Invention
The present invention provides CMP abrasive slurry that is substantially free of anhydrous aluminum oxide (generic formula AI2O3) and comprises liquid portion and solids portion wherein said solids portion comprising:
(a) in an amount of at least about 90 weight percent based on said solids portion, at least one non-spherical component having formula AI2O3 XH2O where x ranges from 1 to 3; and
(b) up to about one weight percent based on the said solids portion of submicron alpha-alumina.
Brief Description of the Drawings
Figure 1 is a TEM of one embodiment of the present invention.
Figure 2 illustrates one embodiment of the present invention.
Figures 3-7 are thermograms (TGA/DTA or TGA/DSC) for boehmites useful in the present invention.
Detailed Description of the Invention
The present invention uses a component having the formula AI2O3-XH2O where x ranges from 1 to 3. When x is 1 in the preceding formula, the resulting product is known as diaspore and has a Mohs' hardness of about 6.5-7. When x ranges from greater than 1 to 2, i.e., 1.1 , 1 .2, 1 .3, 1.4, 1 .5, 1.6, 1 .7, 1 .8, 1.9, or 2, the resulting products are known as boehmite or pseudoboehmite and have a Mohs' hardness of about 2.5-3. When x is 3 in the preceding formula, the resulting products are known as gibbsite, doyleite, nordstrandite (all with Mohs' hardness of about 2.5-3), or bayerϊte. Preferably, the component is boehmite or pseudoboemite.
Examples of the phrase " at least one non-spherical component having the formula AbOa-xHbO" as used herein includes but is not limited to the following mixtures of phases: AI2O3-1 .2H- 2O and AI2O3 L6H2O, AI2O3-I .2H2O and AI2O3 2H2O, and AI2O3L6H2O and Al2θ3-2H2O, and AI2O3. I .5H2O and AI2O3.3H2O. One useful commercially available mixture is about 80 weight percent boehmite and 20 weight percent gibbsite.
The value of x in the above formula AI2O3.XH2O may be conveniently determined by commercially available thermal analysis instruments (e.g., TGA, TGA/DTA, TGA/DSC). In Figures 3-6, the sample in powdered form, without any special pre-treatment (drying or humidification), was heated from room temperature to about 1 2000C at a rate of 20°C/min in 1 0O mL/min flow of dry air. In Figure 7, a sol sample was left to dry in the fume hood for approximately two days, and then heated as described above. It is apparent that in certain instances the x, as determined by these common thermal analysis techniques, may be more than 2 or less than 1 for boehmite or pseudobeohmite, and may be greater or smaller than 3 for gibbsite, doyleite, nordstrandite or bayerite. Other useful technique to identify these alumina hydrate phases is powder X-ray diffraction (XRD). The boehmite is usually produced by a method wherein gibbsite or the like is subjected to hydrothermal treatment under pressure at a temperature of about 2500C or by a method wherein an organoaluminum compound of the formula AI(0R)3 wherein R is an alkyl group is hydrolyzed. The term " non-spherical" as used herein means particles having a morphology wherein at least one dimension (height, length and/or width) is substantially larger than another. Thus, a non- spherical particle morphology may be plate-like, sheet-like, needle- like, capsule-like, laminar-like, or any other of a myriad of shapes having at least one dimension substantially larger than another. Such morphology distinguishes over spherical particles which are substantially round in appearance and do not have noticeable elongated surfaces as disclosed in US Patent 6,562,091 .
The advantages of the present non-spherical particle over the spherical particle of US Patent 6,562,091 are as follows. First, for a given loading of abrasive solids in a slurry, non-spherical particles provide much larger effective contact, i.e., planarization surface.
This results in higher material removal rates. The rationale for this is that a substantially spherical particle has in the extreme a point contact with the surface to be polished. In sharp contrast, the present non-spherical particle expected to be positioned flat during.the : planarization process advantageously is in contact with the polished surface through the largest face. Also, since the applied pressure from the polisher will be transferred onto the wafer through the surface rather than through the point, the polish uniformity and overall planarity are expected to be improved. Such improvements include reduced erosion, dishing, and field oxide loss. Secondly, the greater planarization area of the non-spherical particle allows the use of lower abrasive content in the slurry. This provides a positive effect on particle related defects such as scratch and particle residue. Thirdly, the non-spherical particle will positively contribute to non-Prestonian behavior of the fully formulated slurry, i.e. , that the slurry will not show a linear increase of the planarization rate with applied pressure. This may be significant for low pressure planarization such as less than 2-3 psϊ and also for planarization of next generation copper and low or ultra low k dielectric devices with planarization pressures as low as less than one psi.
Figures 3-7 show examples of thermal analysis- thermogravimetric analysis (TGA) and differential thermal analysis (DTA) or differential scanning calorimetry (DSC) charts of possible alumina hydrate abrasives useful in the present invention. They were obtained using a TA instruments SDT Q600 analyzer by heating the sample from room temperature to 12000C at a heating rate of 20°C/min in 100 ml/min flow of dry air. The results show in Figures 3-7 that a distinct three step weight loss (TGA curve - left Y axis) with correspondence endothermic peaks as shown by DTA or DSC curve (right Y axis) associated with the water loss. The first weight loss varies from about 1 to 25 weight percent and is typically associated with a DTA/DSC peak between about 600C and 1200C; The second weight loss is more consistent ranging from about 1 2 to 16 weight percent with associated very sharp DTA/DSC peaks in the range 460°C to 51 50C. The third weight loss, in all cases less than 2 percent, is a very gradual one, taking place at temperatures above 6000C, with a very broad endotherm in the range of 7400C to about 9050C. While the overall weight loss at 12000C observed in Figures 3-6 is consistent with x in the above formula Al2θ3»xH2θ in the range of 1 -2, Figure 7 shows an overall weight loss of 38.5 percent corresponding to x > 3. This shows that the value of x as determined by routine thermal analysis may vary significantly for similar samples and is sensitive to sample treatment prior to the measurement.
Unlike the boehmite surface coating of US Patent 5,906,949' s Example 3, the present non-spherical particles comprises boehmite substantially throughout the core and surface of the particle. Useful boehmite is commercially available from Sasol. Examples of useful DISPERAL® acid dispersible boehmite alumina systems are in the following Table 1 :
Table 1
Examples of useful DISPERAL® and DISPAL® liquid boehmite alumina systems are in the following Table 2
Table 2
Examples of useful DISPERAL® and DISPAL® water dispersible boehmite alumina systems are in the following Table 3:
Table 3
Useful boehmite is also commercially available from Sasol as CA TAPAL™. CA TAPAL A, B, C1 or D is spray dried alumina with increasing crystallite sizes from 40 Angstroms to 70 Angstroms. CA TAPAL 200 has a 400 Angstroms crystallite size. Figure 1 shows a TEM of a Sasol boehmite.
Another embodiment of the present invention is shown in Figure 2. fn Figure 2, non-spherical abrasive particle 10 comprises core 1 2 that is at least partially coated with aluminum hydroxide layer 14. Useful core material 12 includes those disclosed in our pending patent application US Serial 1 0/792738 filed March 5, 2004 incorporated herein by reference in its entirety. Laminar clays such as kaolin, vermiculite and montmorillonite (that can be exfoliated) and modifications of such clays that preserve the clay shape such as acid leached kaolin, mica, talc, graphite flake, glass flake, and synthetic polymer flake are useful.
These non-spherical particles are primary in the slurry. Thus, the phrase " non-spherical particle" as used herein does not cover a non-spherical agglomeration of spherical particles. In addition to having a non-spherical morphology, the present abrasive particles are preferably softer than the silica, alumina or ceria abrasives typically used for CMP. Accordingly, the non- spherical abrasive particles have a Mohs hardness of about 1 -5 to 6. For reference, Table 4 below sets forth the various metals and abrasive particles:
Table 4
It is believed that a non-spherical abrasive having a Mohs hardness between about 1 -6 is hard enough to provide the necessary mechanical action of a CMP slurry, yet defects such as scratching, dishing, and over planarization action can simultaneously be avoided. In general, the non-spherical particle abrasive will comprise up to 20 by weight percent of the slurry although abrasive solids contents up to 60 wt.% may be prepared. More typically, amounts of less than 15% by weight and more preferably, an abrasive content in amounts of from 0.5-8 wt.% are utilized. Kaolin clay particles are preferred for core material 12. While hydrous kaolin can be utilized, it has been found that if the kaolin has been calcined, a better planarization rate results. However, the overall performance of hydrous kaolin is better than calcined kaolin and thus, hydrous kaolin is preferred. Calcination of the kaolin to undergo a strong endothermic reaction associated with dehydroxylation results in metakaolin. Kaolin clay calcined under conditions more severe than those used to convert kaolin to metakaolin, i.e., kaolin clay calcined to undergo the characteristic kaolin exothermic reaction, results in the spinel form of calcined kaolin and also mullite if more extreme conditions are utilized. Generally, calcination of the hydrous kaolin at temperatures of 1200°F and higher results in the dehydroxylation of hydrous kaolin to metakaolin. Calcination temperatures of 1400-2200° F can be used to produce a kaolin clay that has been calcined through its characteristic exotherm to spinel form kaolin. At the higher temperatures, e.g. above 19000 F, formation of mullite occurs. Any and all of these forms of kaolin clay can be utilized as the abrasive of this invention. All of these materials are available commercially from the present assignee, Engelhard Corporation, Iselin, New Jersey.
Hydrous kaolin is typically prepared through combination of unit operations that modify the particle size distribution and remove coloring impurities from kaolin. These unit operations are facilitated by using aqueous suspensions of kaolin in water. Examples of unit operations that change the particle size distribution are centrifuges, delamination or milling devices and selective flocculation. Examples of unit operations that result in removal of coloring impurities are flotation and magnetic separation. Further, reductive and/or oxidative bleaching can be used to render coloring impurities colorless. In addition, filtration may be utilized to substantially remove water from kaolin following which the high solids filtration product slurry can be spray dried. The spray dried portion can be added back to the high solids filter product slurry to further raise the solids content of the slurry. The filtration product may not be dispersed and thus the filtercake can be dried and pulverized to obtain what is referred to as acid dried kaolin product in the industry. Additionally, the kaolin may be modified by thermal or chemical treatments. Typically, the kaolin is pulverized prior to and after the calcinations operation. Treated kaolin can be slurried to further effect modifications to the particle size distribution through the unit operations mentioned above.
Other useful non-spherical abrasive particles for core material 1 2 are brucite {magnesium hydroxide), hydrotalcite, and nanotalc. The preceding materials are commercially available. Other useful non-spherical abrasive particles are disclosed in commonly assigned US Patent 6, 187,710 incorporated herein by reference in its entirety. This patent teaches in one embodiment clay minerals made up of elementary three-layer platelets consisting of a central layer of octahedrally oxygen-surrounded metal ions (octahedron layer), which layer is surrounded by two tetrahedrally surrounded, silicon atom-containing layers (tetrahedron layer), characterized in that the dimensions of the clay particles vary from 0.1 micron to one micron. In the octahedron layer, at most 30 at. % of the metal ions has been replaced by ions of a lower valency and in the tetrahedron layers, at most 15 at. % of the silicon ions has been replaced by ions of a lower valency. The patent teaches in another embodiment that the silicon (germanium) in the tetrahedron layer can be replaced by trivalent ions. In the octahedron layer, aluminum, chromium, iron (III), cobalt (III), manganese (III), gallium, vanadium, molybdenum, tungsten, indium, rhodium, and/or scandium are preferably present as trivalent ions. As divalent ions, magnesium, zinc, nickel, cobalt (II), iron (II), manganese (II), and/or beryllium are preferably present in the octahedron layer. In the tetrahedron layer, silicon and/or germanium is present as tetravalent component and preferably, aluminum, boron, gallium, chromium, iron (III), cobalt (III), and/or manganese (III) are present as trivalent component. The aluminum hydroxide layer material 14 may be as described above. The partial aluminum hydroxide coating generally has a thickness of about up to 0.5 micron. Any known coating process may be used for coating the aluminum hydroxide 14 onto core material 12.
In general, CMP slurry compositions include abrasives for mechanical action and at least one of: oxidizers, acids, bases, complexing agents, surfactants, dispersants, and other chemicals for providing a chemical reaction such as oxidation on the surface to be polished.
Non-limiting examples of available bases include KOH, NhUOH, and FUNOH. Acids also can be added, which can be exemplified by H3PO4, CH3COOH, HCI, HF and so on. Available as such supplementary oxidizing agents are H2O2, KIO3, HNO3, H3PO4, K2Fe(CN)6, Na2Cr2O7, KOCI, Fe(NOs)2, NH2OH, and DMSO. Divalent acids, such as oxalic acid, malonic acid, and succinic acid can be used as additives for the planarization composition of the present invention.
Additional suitable acid compounds that may be added to the slurry composition include, for example, formic acid, acetic acid, propanoic acid, butanoic acid, pentanoic acid, hexanoic acid, heptanoic acid, oxtanoic acid, nonanoic acid, lactic acid, nitric acid, sulfuric acid, malic acid, tartaric acid, gluconic acid, citric acid, phthalic acid, pyrocatechoic acid, pyrogallol carboxylic acid, gallic acid, tannic acid, and mixtures thereof.
Suitable corrosion inhibitors that may be added to the slurry composition include, for example, benzotriazole, 6-tolylytriazole, 1 - (2,3-dicarboxypropyl) benzotriazole, and mixtures thereof.
Carboxylic acids, if added, may also impart corrosion inhibition properties to the slurry composition. To increase the selectivity of tantalum and tantalum compounds relative to silicon dioxide, fluorine-containing compounds may be added to the slurry composition. Suitable fluorine-containing compounds include, for example, hydrogen fluoride, perfluoric acid, alkali metal fluoride salt, alkaline earth metal fluoride salt, ammonium fluoride, tetramethylammonium fluoride, ammonium bifluoride, ethylenediammonium difluoride, diethylenetriammonium trifluoride, and mixtures thereof.
Suitable chelating agents that may be added to the slurry composition include, for example, ethylenediaminetetracetic acid (EDTA), N-hydroxyethylethylenediaminetriacetic acid (NHEDTA), nitrilotriacetic acid (NTA), diethylklenetrϊaminepehtacetic acid (DPTA), ethanoldiglycinate, and mixtures thereof. The chelating agents may aid in the softening of the metallic surface or even help to protect low lying features or surfaces of particular composition. The idea of protection mechanisms may lead to significant improvements.
Suitable amines that may be added to the slurry composition include, for example, hydroxylamine, monoethanolamine, diethanolamine, triethanolamine, diethyleneglycolamine, N- hydroxylethylpiperazine, and mixtures thereof.
Suitable surfactant compounds that may be added to the slurry composition include, for example, any of the numerous nonionic, anionic, cationic, or amphoteric surfactants known to those skilled in the art.
The pH of the slurry is vital to the performance of all slurry components. The acidity level of a solution can control reaction rates at the surface, formation constants of metal complexing agents, rates of surface oxidation, solution ionic strength, aggregation size of slurry particles, and more. Examination of various acids, bases, and pH buffers are a prospective area for CMP development.
A boehmite slurry may be conveniently prepared by dispersing a boehmite abrasive in water, and adjusting the pH, if needed, by adding acid or a base. This mixture is then agitated for a period of time to ensure desired solids dispersion and form a particle slurry. To this particle slurry, active CMP slurry components such as oxidizer or other complexing agent, chelating agent, passivating agent and surfactant are added. Other active components may be also added on as needed basis to ensure optimal performance of the fully formulated CMP slurry. The pH of the final slurry then may be adjusted by adding acid or base.
Removing excess metal or other contamination from smaller and smaller spaces between individual pathways presents ever increasing challenges for CMP processing. Copper metal has a smaller intrinsic resistance and capacitance than Cu/AI alloy, which is currently used as the conducting medium. Therefore, a smaller electrical potential is required to send a signal through a copper line, reducing the tendency for electrical spillover. In effect, by using Cu-only, the circuit pathways can be placed closer together.
However, the use of Cu also has disadvantages. Copper does not adhere well to oxide surfaces. Copper is also susceptible to bulk oxidation as, unlike WO3 or AI2O3, a CuO or CuO∑ surface layer still allows O2 and H2O to penetrate into the bulk metal. Moreover, Cu atoms are mobile and can migrate into the Siθ2 wafer material ultimately causing the transistors in the circuit to fail. Therefore, a thin layer of low dielectric material, typically composed of tantalum, tantalum nitride, or titanium nitride, is placed between the wafer oxide and conducting Cu layers. The buffer layer promotes Cu adhesion, prevents oxidation of the bulk Cu metal, prevents Cu ion contamination of the bulk oxide, and further lowers the dielectric between the circuits (i.e. allows the circuits to be even more closely spaced).
One of the uses of CMP technology is in the manufacture of shallow trench isolation (STI) structures in integrated circuits formed on semiconductor chips or wafers such as silicon. The purpose of an STI structure is to isolate discrete device elements (e.g., transistors) in a given pattern layer to prevent current leakage from occurring between them. An STI structure is usually formed by thermally growing an oxide layer on a silicon substrate and then depositing a silicon nitride layer on the thermally grown oxide layer. After deposition of the silicon nitride layer, a shallow trench is formed through the silicon nitride layer and the thermally grown oxide layer and partially through the silicon substrate using, for example, any of the well-known photolithography mask and etching processes. A layer of a dielectric material such as silicon dioxide is then typically deposited using a chemical vapor deposition process to completely fill the trench and cover the silicon nitride layer. Next, a CMP process is used to remove that portion of the silicon dioxide layer covering the silicon nitride layer and to planarize the entire surface of the article. The silicon nitride layer is intended to function as a planarization stop that protects the underlying thermally grown oxide layer and silicon substrate from being exposed during CMP processing. In some applications, the silicon nitride layer is later removed by, for example, dipping the article in an HF acid solution, leaving only the silicon dioxide filled trench to serve as an STI structure. Additional processing is usually then performed to form polysilicon gate structures. The use of Cu and accompanying low dielectric buffer layer demand enhanced performance from planarization techniques. The new techniques are called Cu-CMP but in principle do not differ significantly from previous planarization methods. The CMP process must be able to remove the soft Cu metal overburden, yet limit Cu dishing, scratching, and removal of the low dielectric buffer layer. Simultaneously, tolerances are more rigorous because of more closely spaced circuit patterns. The ability to produce layers that are thin, flat, and defect free is of paramount importance.
As is also known in the art, one method for forming interconnects in a semiconductor structure is a so-called dual damascene process. A dual damascene process starts with the deposition of a dielectric layer, typically an oxide layer, disposed over circuitry formed in a single crystal body, for example silicon. The oxide layer is etched to form a trench having a pattern corresponding to a pattern of vias and wires for interconnection of elements of the circuitry. Vias are openings in the oxide through which different layers of the structure are electrically interconnected, and the pattern of the wires is defined by trenches in the oxide. Then, metal is deposited to fill the openings in the oxide layer. Subsequently, excess metal is removed by planarization. The process is repeated as many times as necessary to form the required interconnections. Thus, a dual damascene structure has a trench in an upper portion of a dielectric layer and a via terminating at the bottom of the trench and passing through a lower portion of the dielectric layer. The structure has a step between the bottom of the trench and a sidewall of the via at the bottom of the trench.
The abrasive particles of the current invention can be used in CMP of copper in applications other than logic (such as microprocessors) or memory (such as flash memory) devices where copper is used in the interconnect metallic layers. For example, improving the thermal and electrical characteristics of the packaging of the device may involve use of a copper layer that needs to be planarized. The structure of the interconnect copper layer in the integrated circuit device and the copper layer in packaging may be different leading to different requirements on thickness of layer to be removed, planarity, dishing and defectivity. Also Micro-Electro Mechanical Systems (MEMS) may have a copper layer that may require planarization using CMP. Abrasive particles of the current invention can be used in CMP slurries for this application also. A review of CMP processing is provided in " Advances in
Chemical-Mechanical Planarization," Rajiv K. Singh and Rajiv Bajaj, MRS Bulletin, October 2002, pages 743-747. In general, while the CMP process appears quite simple, achieving a detailed understanding has been limited primarily by the large number of input variables in the planarization process. Among such variables are slurry variables such as particles and chemicals, pad variables, tool variables such as down pressure and linear velocity, and substrate variables such as pattern density. The article provides a good review of the process variables and emerging applications for CMP technology and is herein incorporated by reference.

Claims

What we claim is:
1 . CMP abrasive slurry that is substantially free of anhydrous aluminum oxide and comprises liquid and solids wherein said solids comprises: (a) in an amount of at least about 90 weight percent based on said solids, at least one non-spherical component having formula AI2O3 XH2O where x ranges from 1 to 3; and
(b) up to about one weight percent based on said solids portion of submicron alpha-alumina.
2. The CMP abrasive slurry of claim 1 consisting essentially of said at least one non-spherical component having formula AI2O3-XH2O where x ranges from 1 to 3.
3. The CMP abrasive slurry of claim 1 wherein said non- spherical component is boehmite.
4. The CMP abrasive slurry of claim 1 wherein said non- spherical component comprises kaolin coated with boehmite.
5. A method of planarization metal comprising the step of:
using the CMP abrasive slurry of claim 1 to polish metal.
6. The method of claim 5 wherein planarization occurs in pH acidic conditions.
7. The method of claim 5 wherein said slurry is used to polish copper.
EP06844778A 2006-12-04 2006-12-04 Planarization composition for metal surfaces comprising an alumina hydrate abrasive Withdrawn EP2092031A1 (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2006/046225 WO2008069781A1 (en) 2006-12-04 2006-12-04 Planarization composition for metal surfaces comprising an alumina hydrate abrasive

Publications (1)

Publication Number Publication Date
EP2092031A1 true EP2092031A1 (en) 2009-08-26

Family

ID=38331208

Family Applications (1)

Application Number Title Priority Date Filing Date
EP06844778A Withdrawn EP2092031A1 (en) 2006-12-04 2006-12-04 Planarization composition for metal surfaces comprising an alumina hydrate abrasive

Country Status (5)

Country Link
EP (1) EP2092031A1 (en)
KR (1) KR20090087034A (en)
CN (1) CN101573420A (en)
IL (1) IL198920A0 (en)
WO (1) WO2008069781A1 (en)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
RU2631875C2 (en) * 2012-02-10 2017-09-28 Басф Се Composition for chemical-mechanical polishing (cmp) containing protein
CN103952084B (en) * 2014-04-29 2016-03-23 德米特(苏州)电子环保材料有限公司 A kind of preparation method of metal-polishing liquid of alumina host
TWI546371B (en) * 2014-11-10 2016-08-21 盟智科技股份有限公司 Polishing slurry composition
US9783702B1 (en) * 2016-10-19 2017-10-10 Rohm And Haas Electronic Materials Cmp Holdings Inc. Aqueous compositions of low abrasive silica particles
US11905420B2 (en) * 2018-08-15 2024-02-20 Dic Corporation Tabular alumina particles and method of producing tabular alumina particles
CN109233644B (en) * 2018-09-19 2021-03-12 广州亦盛环保科技有限公司 Fine polishing solution and preparation method thereof
CN113851250B (en) * 2021-11-29 2022-03-29 西安宏星电子浆料科技股份有限公司 Overload-voltage-resistant resistance paste and preparation method and application thereof

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09139368A (en) * 1995-11-14 1997-05-27 Sony Corp Chemically and mechanically polishing method
US20040134873A1 (en) * 1996-07-25 2004-07-15 Li Yao Abrasive-free chemical mechanical polishing composition and polishing process containing same
KR100324311B1 (en) * 1998-10-26 2002-05-13 김영환 Manufacturing method of slurry for chemical mechanical polishing process of semiconductor device
US7300601B2 (en) * 2002-12-10 2007-11-27 Advanced Technology Materials, Inc. Passivative chemical mechanical polishing composition for copper film planarization
US20040216388A1 (en) * 2003-03-17 2004-11-04 Sharad Mathur Slurry compositions for use in a chemical-mechanical planarization process

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See references of WO2008069781A1 *

Also Published As

Publication number Publication date
IL198920A0 (en) 2010-02-17
CN101573420A (en) 2009-11-04
KR20090087034A (en) 2009-08-14
WO2008069781A1 (en) 2008-06-12

Similar Documents

Publication Publication Date Title
US20060283093A1 (en) Planarization composition
US20040216388A1 (en) Slurry compositions for use in a chemical-mechanical planarization process
US8557006B2 (en) Chemical mechanical polishing slurry, its preparation method and use for the same
JP3616802B2 (en) Slurry composition and chemical mechanical polishing method using the same
RU2235747C2 (en) Method of chemicomechanical planarization and articles manufactured with the aid thereof
KR101546695B1 (en) Polishing slurry including zirconia particles and a method of using the polishing slurry
TW505690B (en) Chemical mechanical polishing composition and slurry and application method thereof
TWI314576B (en) Polishing slurry and method of reclaiming wafers
EP2092031A1 (en) Planarization composition for metal surfaces comprising an alumina hydrate abrasive
EP1044163A1 (en) Alumina powder, process for producing the same and polishing composition
KR20060041220A (en) Abrasive particles for chemical mechanical polishing
CA2335035A1 (en) Chemical mechanical polishing slurry and method for using same
TW200400239A (en) Composition for the chemical mechanical polishing of metal and metal/dielectric structures
US20080020578A1 (en) Composition for Chemical-Mechanical Polishing (Cmp)
JP2008186898A (en) Composition for polishing
WO2008056847A1 (en) Chemical mechanical polishing slurry compositions, methods of preparing the same and methods of using the same
AU754328B2 (en) Improved CMP products
Paik et al. Nanoparticle engineering for chemical-mechanical planarization: Fabrication of next-generation nanodevices
KR101279970B1 (en) CMP slurry composition for polishing metal wiring
KR101178715B1 (en) Chemical mechanical polishing slurry compositions for polishing metal wirings
JP2003213249A (en) Silica particle for polishing and polishing material
KR20220147525A (en) Polishing composition and method of polishing a substrate having enhanced defect inhibition
JP2007153728A (en) Metal oxide fine particle, abrasive material, method for polishing substrate using the same, and method for manufacturing semiconductor device

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20090706

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LI LT LU LV MC NL PL PT RO SE SI SK TR

17Q First examination report despatched

Effective date: 20091001

DAX Request for extension of the european patent (deleted)
STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 20100211