EP1753549A4 - Methods for wet cleaning quartz surfaces of components for plasma processing chambers - Google Patents

Methods for wet cleaning quartz surfaces of components for plasma processing chambers

Info

Publication number
EP1753549A4
EP1753549A4 EP05756207A EP05756207A EP1753549A4 EP 1753549 A4 EP1753549 A4 EP 1753549A4 EP 05756207 A EP05756207 A EP 05756207A EP 05756207 A EP05756207 A EP 05756207A EP 1753549 A4 EP1753549 A4 EP 1753549A4
Authority
EP
European Patent Office
Prior art keywords
methods
components
plasma processing
processing chambers
wet cleaning
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP05756207A
Other languages
German (de)
French (fr)
Other versions
EP1753549A2 (en
Inventor
Hong Shih
Tuochuan Huang
Duane Outka
Jack Kuo
Shenjian Liu
Bruno Morel
Anthony Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of EP1753549A2 publication Critical patent/EP1753549A2/en
Publication of EP1753549A4 publication Critical patent/EP1753549A4/en
Withdrawn legal-status Critical Current

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/10Cleaning involving contact with liquid with additional treatment of the liquid or of the object being cleaned, e.g. by heat, by electricity or by vibration
    • B08B3/12Cleaning involving contact with liquid with additional treatment of the liquid or of the object being cleaned, e.g. by heat, by electricity or by vibration by sonic or ultrasonic vibrations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4407Cleaning of reactor or reactor parts by using wet or mechanical methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
EP05756207A 2004-06-09 2005-06-03 Methods for wet cleaning quartz surfaces of components for plasma processing chambers Withdrawn EP1753549A4 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/863,360 US20050274396A1 (en) 2004-06-09 2004-06-09 Methods for wet cleaning quartz surfaces of components for plasma processing chambers
PCT/US2005/019466 WO2005123282A2 (en) 2004-06-09 2005-06-03 Methods for wet cleaning quartz surfaces of components for plasma processing chambers

Publications (2)

Publication Number Publication Date
EP1753549A2 EP1753549A2 (en) 2007-02-21
EP1753549A4 true EP1753549A4 (en) 2009-09-16

Family

ID=35459232

Family Applications (1)

Application Number Title Priority Date Filing Date
EP05756207A Withdrawn EP1753549A4 (en) 2004-06-09 2005-06-03 Methods for wet cleaning quartz surfaces of components for plasma processing chambers

Country Status (8)

Country Link
US (2) US20050274396A1 (en)
EP (1) EP1753549A4 (en)
JP (1) JP4648392B2 (en)
KR (1) KR20070033419A (en)
CN (1) CN101194046B (en)
IL (1) IL179875A0 (en)
TW (1) TWI364327B (en)
WO (1) WO2005123282A2 (en)

Families Citing this family (133)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4286025B2 (en) * 2003-03-03 2009-06-24 川崎マイクロエレクトロニクス株式会社 Method of reclaiming quartz jig, method of reusing and using semiconductor device
JP5081450B2 (en) * 2005-07-05 2012-11-28 三菱レイヨン株式会社 Catalyst production method
US7541094B1 (en) * 2006-03-03 2009-06-02 Quantum Global Technologies, Llc Firepolished quartz parts for use in semiconductor processing
US7638004B1 (en) * 2006-05-31 2009-12-29 Lam Research Corporation Method for cleaning microwave applicator tube
DE102006035797B3 (en) * 2006-07-28 2007-08-16 Heraeus Quarzglas Gmbh & Co. Kg Method for cleaning quartz glass surfaces used in semiconductor finishing comprises pre-cleaning in an acidic cleaning solution under the action of hydrogen peroxide and post-treating in an alkali cleaning solution
US7789965B2 (en) * 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
US20080216958A1 (en) * 2007-03-07 2008-09-11 Novellus Systems, Inc. Plasma Reaction Apparatus Having Pre-Seasoned Showerheads and Methods for Manufacturing the Same
US7578889B2 (en) * 2007-03-30 2009-08-25 Lam Research Corporation Methodology for cleaning of surface metal contamination from electrode assemblies
US8221552B2 (en) * 2007-03-30 2012-07-17 Lam Research Corporation Cleaning of bonded silicon electrodes
US8500913B2 (en) * 2007-09-06 2013-08-06 Micron Technology, Inc. Methods for treating surfaces, and methods for removing one or more materials from surfaces
JP5189856B2 (en) * 2008-02-26 2013-04-24 株式会社日立ハイテクノロジーズ Wet cleaning method of vacuum processing apparatus and member of vacuum processing apparatus
JP2009289960A (en) * 2008-05-29 2009-12-10 Tokyo Electron Ltd Method and system for cleaning quartz member
KR20100007461A (en) * 2008-07-14 2010-01-22 삼성전자주식회사 Cleaning solution for quartz part and method of cleaning using the same
US20100108263A1 (en) * 2008-10-30 2010-05-06 Applied Materials, Inc. Extended chamber liner for improved mean time between cleanings of process chambers
KR101296659B1 (en) * 2008-11-14 2013-08-14 엘지디스플레이 주식회사 Washing device
TW201033123A (en) * 2009-03-13 2010-09-16 Radiant Technology Co Ltd Method for manufacturing a silicon material with high purity
US9481937B2 (en) 2009-04-30 2016-11-01 Asm America, Inc. Selective etching of reactor surfaces
WO2011084127A2 (en) * 2009-12-18 2011-07-14 Lam Research Corporation Methodology for cleaning of surface metal contamination from an upper electrode used in a plasma chamber
US20110297088A1 (en) * 2010-06-04 2011-12-08 Texas Instruments Incorporated Thin edge carrier ring
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9293305B2 (en) * 2011-10-31 2016-03-22 Lam Research Corporation Mixed acid cleaning assemblies
CN102513314B (en) * 2011-12-29 2014-12-31 中微半导体设备(上海)有限公司 Method for treating pollutant of workpiece provided with yttrium oxide coating layer
CN102513313B (en) * 2011-12-29 2014-10-15 中微半导体设备(上海)有限公司 Pollutant treatment method for spray head with silicon carbide cover layer
US8518765B1 (en) * 2012-06-05 2013-08-27 Intermolecular, Inc. Aqua regia and hydrogen peroxide HCl combination to remove Ni and NiPt residues
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
CN103628079A (en) * 2012-08-24 2014-03-12 宁波江丰电子材料有限公司 Cleaning method for tantalum focus rings
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
TWI689004B (en) 2012-11-26 2020-03-21 美商應用材料股份有限公司 Stiction-free drying process with contaminant removal for high-aspect-ratio semiconductor device structures
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US20160017263A1 (en) * 2013-03-14 2016-01-21 Applied Materials, Inc. Wet cleaning of a chamber component
US9576810B2 (en) * 2013-10-03 2017-02-21 Applied Materials, Inc. Process for etching metal using a combination of plasma and solid state sources
CN104752260B (en) 2013-12-31 2018-05-08 北京北方华创微电子装备有限公司 A kind of isolation window fixed structure and chamber
WO2015134197A1 (en) 2014-03-06 2015-09-11 Applied Materials, Inc. Plasma abatement of compounds containing heavy atoms
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9613819B2 (en) * 2014-06-06 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Process chamber, method of preparing a process chamber, and method of operating a process chamber
WO2016007874A1 (en) 2014-07-11 2016-01-14 Applied Materials, Inc. Supercritical carbon dioxide process for low-k thin films
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
CN104338711B (en) * 2014-10-21 2016-08-17 北京市石景山区率动环境科学研究中心 A kind of method utilizing affine adsorption removal ultraviolet generator surface chelate fouling and device thereof
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2017062135A1 (en) 2015-10-04 2017-04-13 Applied Materials, Inc. Drying process for high aspect ratio features
JP6639657B2 (en) 2015-10-04 2020-02-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Pressurized chamber with small thermal mass
CN108140549B (en) 2015-10-04 2022-12-20 应用材料公司 Reduced volume processing chamber
CN108140603B (en) 2015-10-04 2023-02-28 应用材料公司 Substrate support and baffle apparatus
CN105390363A (en) * 2015-10-29 2016-03-09 上海华力微电子有限公司 Pipeline device for high-density plasma stock
US10522371B2 (en) * 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
WO2017209900A1 (en) * 2016-06-03 2017-12-07 Applied Materials, Inc. A vacuum platform with process chambers for removing carbon contaminants and surface oxide from semiconductor substrates
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
CN107630221B (en) * 2016-07-18 2019-06-28 宁波江丰电子材料股份有限公司 The cleaning method of titanium focusing ring
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10934620B2 (en) * 2016-11-29 2021-03-02 Applied Materials, Inc. Integration of dual remote plasmas sources for flowable CVD
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
CN107159667A (en) * 2017-06-10 2017-09-15 王文友 Glass cleaning procedure for making mirror substrate
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
KR102492733B1 (en) 2017-09-29 2023-01-27 삼성디스플레이 주식회사 Copper plasma etching method and manufacturing method of display panel
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
KR20190089706A (en) * 2018-01-23 2019-07-31 피에스테크놀러지(주) Process of cleaning metal for reducing nox
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (en) 2018-02-28 2021-01-21 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
CN108594588A (en) * 2018-04-21 2018-09-28 芜湖威灵数码科技有限公司 A kind of line holographic projections presentation device with cleaning structure
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US20190341276A1 (en) * 2018-05-03 2019-11-07 Applied Materials, Inc. Integrated semiconductor part cleaning system
JP7228600B2 (en) * 2018-05-04 2023-02-24 アプライド マテリアルズ インコーポレイテッド Nanoparticle measurement for process chambers
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
WO2020068299A1 (en) * 2018-09-26 2020-04-02 Applied Materials, Inc. Gas distribution assemblies and operation thereof
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
JP2022511046A (en) 2018-12-07 2022-01-28 アプライド マテリアルズ インコーポレイテッド Parts, how to manufacture parts, and how to clean parts
CN111383888B (en) * 2018-12-27 2022-03-11 江苏鲁汶仪器有限公司 Plasma etching machine
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN109731818B (en) * 2019-03-04 2022-08-16 青岛自远机械有限公司 Intelligent cleaning device for ion fan
US11393662B2 (en) * 2019-05-14 2022-07-19 Tokyo Electron Limited Apparatuses and methods for plasma processing
US11152194B2 (en) * 2019-05-14 2021-10-19 Tokyo Electron Limited Plasma processing apparatuses having a dielectric injector
KR102520603B1 (en) * 2020-04-07 2023-04-13 세메스 주식회사 Method for recovering quartz part and apparatus for recovering quartz part
CN111420924A (en) * 2020-04-08 2020-07-17 四川富乐德科技发展有限公司 Method for treating surface attachments of quartz component in electronic information industry
US20230390813A1 (en) * 2022-06-06 2023-12-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cleaning, support, and cleaning apparatus
CN115254766B (en) * 2022-06-16 2024-01-19 上海富乐德智能科技发展有限公司 Cleaning and regenerating method for alumina ceramic injector of semiconductor equipment
CN117019761B (en) * 2023-10-10 2024-01-23 常州捷佳创精密机械有限公司 Ultrasonic/megasonic cleaning tank

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002015255A1 (en) * 2000-08-11 2002-02-21 Chem Trace Corporation System and method for cleaning semiconductor fabrication equipment parts
WO2002019390A2 (en) * 2000-08-31 2002-03-07 Chemtrace, Inc. Cleaning of semiconductor process equipment chamber parts using organic solvents
US20030190870A1 (en) * 2002-04-03 2003-10-09 Applied Materials, Inc. Cleaning ceramic surfaces
US20040000327A1 (en) * 2002-06-26 2004-01-01 Fabio Somboli Apparatus and method for washing quartz parts, particularly for process equipment used in semiconductor industries

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5259888A (en) * 1992-02-03 1993-11-09 Sachem, Inc. Process for cleaning quartz and silicon surfaces
US5507874A (en) * 1994-06-03 1996-04-16 Applied Materials, Inc. Method of cleaning of an electrostatic chuck in plasma reactors
US6083451A (en) * 1995-04-18 2000-07-04 Applied Materials, Inc. Method of producing a polycrystalline alumina ceramic which is resistant to a fluorine-comprising plasma
US5819434A (en) * 1996-04-25 1998-10-13 Applied Materials, Inc. Etch enhancement using an improved gas distribution plate
US6114254A (en) * 1996-10-15 2000-09-05 Micron Technology, Inc. Method for removing contaminants from a semiconductor wafer
JPH10167859A (en) * 1996-12-05 1998-06-23 Ngk Insulators Ltd Ceramic part and its production
US6284721B1 (en) * 1997-01-21 2001-09-04 Ki Won Lee Cleaning and etching compositions
US6231684B1 (en) * 1998-09-11 2001-05-15 Forward Technology Industries, Inc. Apparatus and method for precision cleaning and drying systems
US6263829B1 (en) * 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
US6499425B1 (en) * 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
US6302957B1 (en) * 1999-10-05 2001-10-16 Sumitomo Metal Industries, Ltd. Quartz crucible reproducing method
US6432255B1 (en) * 2000-01-31 2002-08-13 Applied Materials, Inc. Method and apparatus for enhancing chamber cleaning
EP1272288A1 (en) * 2000-03-13 2003-01-08 Cfmt, Inc. Processes and apparatus for treating electronic components
US6559474B1 (en) * 2000-09-18 2003-05-06 Cornell Research Foundation, Inc, Method for topographical patterning of materials
US6846726B2 (en) * 2002-04-17 2005-01-25 Lam Research Corporation Silicon parts having reduced metallic impurity concentration for plasma reaction chambers
US6809949B2 (en) * 2002-05-06 2004-10-26 Symetrix Corporation Ferroelectric memory
JP2003340383A (en) * 2002-05-27 2003-12-02 Shibaura Mechatronics Corp Supply apparatus for treated liquid, supply method using the same and substrate treatment apparatus
US7250114B2 (en) * 2003-05-30 2007-07-31 Lam Research Corporation Methods of finishing quartz glass surfaces and components made by the methods
CN1308488C (en) * 2003-06-28 2007-04-04 东风汽车公司 Water soluble composition used for greasy oil removing on surface
TWI343180B (en) 2005-07-01 2011-06-01 Ind Tech Res Inst The acoustic wave sensing-device integrated with micro channels

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002015255A1 (en) * 2000-08-11 2002-02-21 Chem Trace Corporation System and method for cleaning semiconductor fabrication equipment parts
US20040045574A1 (en) * 2000-08-11 2004-03-11 Samantha Tan System and method for cleaning semiconductor fabrication equipment parts
WO2002019390A2 (en) * 2000-08-31 2002-03-07 Chemtrace, Inc. Cleaning of semiconductor process equipment chamber parts using organic solvents
US20030190870A1 (en) * 2002-04-03 2003-10-09 Applied Materials, Inc. Cleaning ceramic surfaces
US20040000327A1 (en) * 2002-06-26 2004-01-01 Fabio Somboli Apparatus and method for washing quartz parts, particularly for process equipment used in semiconductor industries

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of WO2005123282A2 *

Also Published As

Publication number Publication date
TWI364327B (en) 2012-05-21
CN101194046B (en) 2011-04-13
WO2005123282A2 (en) 2005-12-29
IL179875A0 (en) 2007-05-15
EP1753549A2 (en) 2007-02-21
WO2005123282A3 (en) 2008-02-21
KR20070033419A (en) 2007-03-26
CN101194046A (en) 2008-06-04
TW200610592A (en) 2006-04-01
JP2008506530A (en) 2008-03-06
US20110146909A1 (en) 2011-06-23
JP4648392B2 (en) 2011-03-09
US20050274396A1 (en) 2005-12-15

Similar Documents

Publication Publication Date Title
IL179875A0 (en) Methods for wet cleaning quartz surfaces of components for plasma processing chambers
EP1824615A4 (en) Wet cleaning of electrostatic chucks
ZA200801952B (en) Method of processing materials
ZA200500617B (en) Process for the purification of NF3
ZA200704468B (en) Process for the stabilization of dusting surfaces
GB0501460D0 (en) Improved plasma cleaning method
EP1940560A4 (en) Semiconductor process chamber
SG112101A1 (en) Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
EP1855833A4 (en) Plasmas and methods of using them
SG112099A1 (en) Method for cleaning deposition chambers for high dielectric constant materials
PL1740689T3 (en) Method for the production of detergent or cleaning agents
ZA200708526B (en) Process for the removal of contaminants
EP1839330A4 (en) Cleaning methods for silicon electrode assembly surface contamination removal
PL1739093T3 (en) Separation of plasma proteins
TWI317974B (en) Silicon wafer cleaning method
PL1868770T3 (en) Method of forming structured abrasive article
PL1803699T3 (en) Method for fine cleaning of 1-buten flows
EP1791172A4 (en) Plasma processing apparatus
SG115793A1 (en) Method of removing studs
TWI319205B (en) Wafer clean process
FR2880236B1 (en) MICROWAVE PLASMA EXCITATORS
EP1766366A4 (en) Processing of particles
GB2432157B (en) Letrozole purification process
EP1848550A4 (en) Etchant treatment processes for substrate surfaces and chamber surfaces
EP1856190A4 (en) Process for assembly of poss monomers

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20061215

AK Designated contracting states

Kind code of ref document: A2

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LI LT LU MC NL PL PT RO SE SI SK TR

AX Request for extension of the european patent

Extension state: AL BA HR LV MK YU

RIN1 Information on inventor provided before grant (corrected)

Inventor name: CHEN, ANTHONY

Inventor name: MOREL, BRUNO

Inventor name: LIU, SHENJIAN

Inventor name: KUO, JACK

Inventor name: OUTKA, DUANE

Inventor name: HUANG, TUOCHUAN

Inventor name: SHIH, HONG

DAX Request for extension of the european patent (deleted)
PUAK Availability of information related to the publication of the international search report

Free format text: ORIGINAL CODE: 0009015

RIC1 Information provided on ipc code assigned before grant

Ipc: B08B 3/14 20060101ALI20080306BHEP

Ipc: B08B 3/04 20060101ALI20080306BHEP

Ipc: B08B 3/00 20060101ALI20080306BHEP

Ipc: C23G 1/02 20060101AFI20080306BHEP

A4 Supplementary search report drawn up and despatched

Effective date: 20090818

17Q First examination report despatched

Effective date: 20091221

RIC1 Information provided on ipc code assigned before grant

Ipc: B08B 3/04 20060101ALI20120713BHEP

Ipc: H01J 37/32 20060101ALI20120713BHEP

Ipc: B08B 3/00 20060101ALI20120713BHEP

Ipc: C23G 1/02 20060101AFI20120713BHEP

GRAP Despatch of communication of intention to grant a patent

Free format text: ORIGINAL CODE: EPIDOSNIGR1

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 20130313