EP0962961A2 - Susceptors - Google Patents

Susceptors Download PDF

Info

Publication number
EP0962961A2
EP0962961A2 EP99304203A EP99304203A EP0962961A2 EP 0962961 A2 EP0962961 A2 EP 0962961A2 EP 99304203 A EP99304203 A EP 99304203A EP 99304203 A EP99304203 A EP 99304203A EP 0962961 A2 EP0962961 A2 EP 0962961A2
Authority
EP
European Patent Office
Prior art keywords
heat
conductive layer
planar
planar heat
back plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP99304203A
Other languages
German (de)
French (fr)
Other versions
EP0962961A3 (en
Inventor
Tsuneaki Ohashi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NGK Insulators Ltd
Original Assignee
NGK Insulators Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NGK Insulators Ltd filed Critical NGK Insulators Ltd
Publication of EP0962961A2 publication Critical patent/EP0962961A2/en
Publication of EP0962961A3 publication Critical patent/EP0962961A3/en
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02NELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
    • H02N13/00Clutches or holding devices using electrostatic attraction, e.g. using Johnson-Rahbek effect

Definitions

  • the present invention relates to susceptors for supporting and heating semiconductor wafers, liquid panels, silicon single crystal wafers for solar cells, etc.
  • the susceptors for supporting and heating the semiconductor elements the liquid crystal display panels, the silicon single crystal wafers or the like
  • a heat-generating element is buried in a susceptor, and an electrode cable etc. are housed in slender supporting rod or rods, a supporting tube or a protective tube.
  • the diameter of the wafers to produce the semiconductor wafers is increased or the size of the liquid panels is made lager.
  • the diameter of the planar heat-conductive layers of the susceptors not less than 300mm.
  • the diameter of the heat-conductive layer increases, it becomes very difficult to keep the temperature of the heating surface of the planar heat-conductive layer uniform, which poses a problem difficult to be solved.
  • the susceptor will be unacceptable.
  • a plurality of, for example three, supporting rods or protective tubes are attached to the planar heat-conductive layer to realize a large-size susceptor, the temperature of the heating surface becomes particularly more non-uniform.
  • the susceptor according to the present invention comprises a planar heat-conductive layer having a heating surface for heating an object to be heated and a rear surface, a supporting member for supporting the planar heat-conductive layer from a side of the rear surface thereof, first and second heat-choking portions provided between the rear surface of the planar heat-conductive layer and the supporting member in this order, said first heat-choking portion being adapted for restricting a heat flow from the rear surface of the planar heat-conductive layer toward the supporting member, and the second heat-choking portion provided between the first heat-choking portion and the supporting member and adapted for restricting a heat flow from the first heat-choking portion to the supporting member.
  • the present inventors examined reasons why the deviations in the temperature at the heating surface of the susceptor became larger when the size of the susceptor was increased or when the planar heat-conductive layer was provided with a plurality of the supporting tubes or the supporting rods. It is common that the design of the planar pattern of the heat-generating element in the planar heat-conductive layer is optimized to make the temperature of the heating surface of the susceptor uniform. However, an amount of heat coming out of the susceptor through the supporting rods, the supporting tubes or the like largely varies depending upon the surrounding temperature, the use condition and the intended temperature range.
  • the planar pattern of the heat-generating element is designed and produced to optimize the temperature distribution of the heating surface of the susceptor in a certain temperature region or under a certain condition, for example, the distribution of the temperature at the heating surface tends to be conspicuously deteriorated if the use condition or the use temperature changes.
  • first and second heat-choking portions are provided between the rear surface of the planar heat-conductive layer and the supporting member in this order, a heat flow from the rear surface of the planar heat-conductive layer toward the supporting member is restricted by the first heat-choking portion, and a heat flow from the first heat-choking portion toward the supporting member is restricted by the second heat-choking portion.
  • the provision of the two-stage heat-choking portions could make the temperature of the heating surface of the planar heat-conductive layer more uniform and realize a favorable temperature distribution in a specific area in a temperature range or under a use condition which is wider than that in the conventional technique. Particularly if the diameter of the planar heat-conductive layer is increased or if the planar heat-conductive layer is provided with a plurality of supporting members, the temperature distribution of the heating surface can be remarkably enhanced.
  • the present invention is particularly preferable to large-size susceptors, and more particularly to susceptors with planar heat-conductive layers having diameters of not less than 300 mm.
  • the number of the supporting member(s) such as the supporting rod(s) or the supporting tube(s) is not limited. If plural supporting members are used, the invention is particularly effective in a case where the number of the supporting members is two or three. However, the invention may be employed in a case where the number of the supporting members is 4 to 20 is not less than 4 to not more than 20.
  • the planar heat-conductive layer may be heated with an external heat source such as an infrared lamp.
  • an external heat source such as an infrared lamp.
  • the planar heat-conductive layer comprises a substrate and a heat-generating element arranged in the substrate. In this case, the use life of the heating element is prolonged, because the first and second heat-choking portions function as a seal to protect the heating element in the planar heat-conductive layer from the surrounding atmosphere of the susceptor.
  • the substrate comprises a groove opened to the rear surface of the planar heat-conductive layer, and the heat-generating element is arranged in this groove.
  • the following materials are preferred for each of the substrate of the planar heat-conductive layer, the supporting member, and a back plate mentioned later.
  • the ceramic-metal composite material includes both a ceramic-based composite material and a metal-based composite material.
  • a composite material obtained by impregnating a ceramic preform with a molten metal or one obtained by a powder metallurgical method may be recited.
  • the permeation of the metal into the ceramic preform may be performed either under pressure or with use of a permeating aid under no pressure or ordinary pressure. If the powder metallurgical method is adopted, a sintering aid may be incorporated into a molded body of the powder.
  • the ceramic material to constitute a preform is not particularly limited so long as aluminum or an aluminum alloy can be impregnated into it, an aluminum-based ceramic material is preferred, and alumina and aluminum nitride are particularly preferable.
  • a slurry is prepared by mixing the mixture in a large-size pot for 2 to 40 hours under stirring. Thereafter, the slurry is granulated in particle diameters of 30 to 100 ⁇ m by using an explosion-proof type spray dryer. Then, the granulated powder is charged into a given mold, and a preform is produced by press molding the powder under a pressure of 200 to 7000 kgf/cm 2 by using a hydraulic press.
  • a preform can be produced by obtaining a powder through spray-mixing ethanol or the like into ceramic particles and press molding the powder in the same manner as mentioned above.
  • Aluminum or the aluminum alloy may be impregnated into the preform by using a self-impregnating method, a pressure impregnating method or a vacuum impregnating method.
  • the first heat-choking portion preferably includes a back plate, and a thermal conductivity of the back plate is not more than 1/2, preferably not more than 1/8, of that of the planar heat-conductive layer.
  • the back plate restricts the heat flow from the rear surface of the planar heat-conductive layer.
  • a method of integrating the planar heat-conductive layer and the supporting member and further the back plate if necessary is not particularly limited, but brazing and soldering are preferred. Further, the constituent members may be tightened together by using bolts in the state that metal sealants are interposed among the planar heat-conductive layer, the back plate and the supporting member.
  • the heat flows through the first and second heat-choking portions can be restricted by reducing the area of each of the joining layers.
  • the first heat-choking portion includes a back plate, and a first joining layer joining the back plate to the planar heat-conductive layer, and an area of the rear surface of the planar heat-conductive layer is 3 to 300 assuming that that of the first joining layer is 1.
  • the second heat-choking portion includes a second joining layer joining the back plate to the supporting member directly or via a heat-insulating member, and an area of the back plate on a side of the supporting member is 20 to 10000 assuming that that of the second joining layer is 1.
  • the heat-insulating member is either one of the following.
  • the planar heat-conductive layer comprises an electrically conductive material
  • at least the heating surface of the planar heat-conductive layer is covered with a dielectric layer
  • the object to be heated is adsorbed onto the planar heat-conductive layer by applying a DC voltage between the planar heat-conductive layer and the object.
  • planar heat-conductive layer, the first heat-choking portion, the second heat-choking portion and the supporting member are electrically conductive, and the supporting member is grounded.
  • the brazing material is not particularly limited.
  • an aluminum alloy brazing material, a gold alloy brazing material and a copper alloy brazing material are preferred from the standpoint of corrosion resistance against a halogen-based corrosive gas.
  • the aluminum alloy brazing material is preferred if an aluminum/metal composite material is used for the constituent member(s).
  • the aluminum alloy brazing material is preferably made of an aluminum alloy which incorporates one or more kinds of active metals selected from the group consisting of magnesium, titanium, zirconium and hafnium and contains not less than 70 mol% of aluminum.
  • this aluminum alloy contains not less than 1 mol% and not more than 10 mol% of one or more kinds of active metals (particularly preferably magnesium) selected from the group consisting of magnesium, titanium, zirconium and hafnium.
  • active metals particularly preferably magnesium
  • the rate of the active metal(s) is set at not less than 1 mol%, affinity between the metallic component or the reinforcing agent in the substrate is enhanced. If the rate of the active metal(s) is set at not more than 10 mol%, the local formation of an intermetallic compound, etc. which may cause brittleness can be suppressed.
  • the content of aluminum in the alloy brazing material is a remainder obtained by subtracting the total content of the active metal(s) and the below-mentioned third ingredient from 100 mol% which is the total content of the metals.
  • the above third ingredient may be incorporated into the alloy brazing material.
  • silicon or boron may be preferably used, because such does not influence aluminum.
  • the third ingredient functions to lower the melting point of the brazing material.
  • the fluidability of the brazing material is improved even at the same temperature through the incorporation of the third ingredient.
  • the content of the third ingredient is preferably 1.5 to 10 mol%.
  • the alloy constituting the brazing material preferably contains 1 to 6 mol% of magnesium and 1.5 to 10 mol% of silicon.
  • a film of one or more kinds of metals selected from the group consisting of magnesium, titanium, zirconium and hafnium may be formed on a joining surface of each constituent member by sputtering, vapor deposition, plating or the like prior to the brazing. Further, a foil of one or more kinds of metals selected from the group consisting of magnesium, titanium, zirconium and hafnium may be interposed between surfaces of adjacent constituent members to be joined.
  • At least one of an oxidized film and a nitrided film on each joining surface of each of the constituent members is removed by washing each joining surface with an acid solution or an alkaline solution.
  • the heating element As the heating element, the following are preferred.
  • the planar pattern of the heating element may be of a one-zone type or a two-zone type, and one-zone type is particularly preferable.
  • Materials for the film covering the planar heat-conductive layer, the back plate and the supporting member are preferably aluminum nitride, alumina, mullite, boron carbide, aluminum fluoride, a fluoride of a rare earth and/or alkaline earth metal element, a yttoria-alumina based ceramic material such as a yttoria-stabilized zirconia and a composite material in which any of the above materials are combined.
  • Such a film is preferably formed by powder applying and baking, flame spraying or sol/gel process. If an aluminum alloy-based material such as aluminum nitride/aluminum or aluminum/silicon carbide is used as the material of the constituent members of the susceptor, an alumina film may be formed on the surface of each constituent member by oxidizing it.
  • a difference in a coefficient of thermal expansion between the constituent members of the susceptor and the film therefor is not more than 1 ⁇ 10 -6 /°C from the standpoint of the heat-resistant cycling of the susceptor.
  • Fig. 1 is a vertically sectional view schematically showing a susceptor according to one embodiment of the present invention
  • Fig. 2(a) is a plane view of a back plate 8
  • Fig. 2(b) is a vertical sectional view of the back plate 8.
  • Fig. 3 a vertically sectional view of a supporting pipe 12
  • Fig. 4(a) is a plane view showing a pattern of a joining layer on a side of a rear surface 4b of a substrate 4
  • Fig. 4(b) is a sectional view showing a principal portion of a vicinity of a groove in the substrate 4 in an enlarged scale.
  • the susceptor 1A includes a planar heat-conductive layer 3A, a first heat-choking portion 7A, a second heat-choking portion 25A and a supporting member 12.
  • a heating surface 4a and a peripheral surface of a flat board-shaped substrate 4 are covered with a film 6A.
  • An object 2 to be heated is placed on the heating surface 4a.
  • a groove 15 having a planar pattern as shown in Fig. 4(a), and the groove 15 is opened to a rear surface 4a of the substrate 4.
  • the heat-generating element 5 is housed in the groove 15.
  • a reference numeral 4c denotes a through-hole through which an electric power feed cable, a thermocouple, etc. are to be passed.
  • the back plate 8 is joined to the rear surface 4b of the planar heat-conductive layer 3A via a first joining layer 9.
  • the joining layer 9 is not interposed all over the interface between the back plate 8 and the rear surface 4b, but provided according to the planar patter as shown in Fig. 4(a).
  • a reference numeral 10 denotes an opening between the substrate 4 and the back plate 8.
  • the area of the back surface 4b is 3 to 300, assuming that that of the first joining layer 9 is 1.
  • a spacer 16A is arranged under the heat-generating element 5 inside the groove 15, and contacts a surface 8a of the back plate 8.
  • the heating element 5 will not directly contact the back plate 8 during use of the susceptor, so that reduction in uniformity of the temperature at the heating surface due to such a contact can be avoided.
  • Fig. 1 illustration of details of the constituent members as shown in Figs. 2 to 4 is omitted.
  • a projection 8c is provided at a side of the rear surface 8b of the back plate in a central portion thereof, and a through-hole 8d is provided from the front surface 8a to the rear surface 8b at the location of the projection 8c.
  • the rear surface 8b and the peripheral surface of the back plate 8 are covered with a film 6B.
  • a supporting tube 12 includes a main body 12a, a flange portion 12b at one end of the main body 12a, and a flange portion 12c at the other end thereof, and the main body 12a includes a diameter-enlarging portion 12d of which the diameter gradually increases as the location goes from the main body 12a to the flange portion 12b.
  • the outer surface of the supporting tube is covered with a film 6C.
  • the flange portion 12b of the supporting tube 12 is joined to the projection 8c of the back plate 8 via a second joining layer 11.
  • the second joining layer is not joined to the entire end surface of the flange portion 12b, while openings 10 exist in areas with no second joining layer.
  • a hollow portion of the supporting tube 12 is communicated with the through-hole 8d of the back plate 8, and an electric power feed cable for the heat-generating element and other electric wire such as a thermocouple if necessary not shown are inserted through them.
  • Fig. 5 is a vertically sectional view schematically showing a susceptor 1B according to another embodiment of the present invention
  • Figs. 6(a) is a plane views showing frame-shaped insulating materials 16A and 16B
  • Fig. 6(b) being a plane view showing a ring-shaped insulating material 20
  • Fig. 7 is a sectional view showing a principal portion of a vicinity of a groove 23 in an enlarged scale.
  • the susceptor 1 B includes a planar heat-conductive layer 3B, a first heat-choking portion 7B, a second heat-choking portion 25B and a supporting tube 12.
  • a heating surface 4a and a peripheral surface of a flat board-shaped substrate 4 are covered with a film 6A.
  • An object 2 to be heated is placed on the heating surface 4a.
  • the heat-generating element 5 is housed in the groove 23.
  • a spacer 16B is arranged under the heat-generating element 5, and contacts a surface 8a of the back plate 8.
  • the back plate 8 is joined to the rear surface 4b of the planar heat-conductive layer 3B via heat-insulating materials 16A and 16B.
  • each of the insulating material 16A and 16B is of an almost rectangular shape, and the insulating material 16A surrounds the one 16B.
  • the insulating materials 16A and 16B are joined to the surface 8a of the back plate 8 and the back surface 4b of the planar heat-conductive layer via the joining layers 9, respectively, while openings 18 are formed in areas with no heat-insulating material 16A or 16B.
  • the area of the back surface 4b of the planar heat-conductive layer 4 is 3 to 300 as mentioned before, assuming that the area of the first joining layer 9 is 1.
  • the supporting tube 12 includes a main body 12a, and a flange 12b and a flange 12c provided at opposite ends of the main body, respectively. As mentioned before, a diameter-enlarging portion 12d may be provided.
  • the outer surface of the supporting tube is covered with a film 6C.
  • a ring-shaped heat-insulating material 20 as shown in Fig. 6(b) is interposed between the flange portion 12b of the supporting member 12 and a projection 8c of the back plate, and the heat-insulating material 20 is joined to the projection 8c of the back plate 8 and the flange portion 12b of the supporting tube by second joining layers 11, respectively.
  • Communication is made among a hollow portion of the supporting tube 12, a through-hole 20a of the heat-insulating material 20 and a through-hole 8d of the back plate 8, through which an electric power feed cable for the heat-generating element and another electric wire such as a thermocouple if necessary are inserted.
  • the susceptor of Figs. 5 to 7 since the heating surface is electrically insulated from the supporting tube 12 by the heat-insulating portions 7B and 25B, the susceptor can be operated as an electrostatic chuck by connecting a metallic cable or a metallic rod to the heating surface.
  • the susceptor in Figs. 1 to 4 can be operated as an electrostatic chuck by inserting an insulator at a portion to which the supporting tube is fitted to effect electric insulation.
  • a function as a high frequency wave-generating electrode may be afford to the susceptor.
  • a susceptor 1 as shown in Figs. 1 to 4 was produced. More specifically, particles of aluminum nitride having the average particle diameter of 16 ⁇ m were dispersed in isopropanol as a solvent, and a liquid acrylic copolymer binder was added to the dispersion. A slurry was obtained by mixing the mixture in a large-size pot mill under stirring for 4 hours. The slurry was granulated by means of the explosion type spray drier, thereby obtaining a spherically granulated powder having the average particle diameter of 150 ⁇ m.
  • the granulated powder was charged into a given mold, which was uniaxially press molded under a pressure of 200 kgf/cm 2 by using a hydraulic press, thereby producing a large-size preform, 380 mm in diameter and 300 mm thickness.
  • aluminum was impregnated into the preform by a non-pressurized metal impregnation process in which the preform was contacted with a melt of an aluminum alloy (92.6 mol% aluminum, 5.5 mol% magnesium, 1.9 mol% silicon) at 900°C in an atmosphere of nitrogen - 1% hydrogen under a pressure of 1.5 atms for 24 hours. Then, the preform was pulled up from the melt, thereby obtaining an aluminum-based composite material.
  • an aluminum alloy (92.6 mol% aluminum, 5.5 mol% magnesium, 1.9 mol% silicon
  • the weight rate of the aluminum nitride was 70%, and the composite material had a coefficient of thermal expansion of 8.7 ⁇ 10 -6 /°C, a heat conductivity of 170 W/mK and a specific resistance of 5 ⁇ 10 -5 ⁇ cm.
  • a substrate 4 of a planar heat-conductive layer, a back plate 8 and a supporting tube 12 were prepared from this composite material.
  • the substrate 4 had an outer configuration of 400 mm ⁇ 500 mm ⁇ 25 mm with a groove 15 having a width of 13 mm and a maximum depth of 15 mm.
  • the back plate had an outer configuration of 400 mm ⁇ 500 mm ⁇ 7.9 mm with a projection 8c having a height of 4.2 mm as measured from a back surface 8b and a thickness of 12 mm, a diameter of 50 mm.
  • the thickness of a main body of the supporting tube was 2.5 mm to 3.5 mm, and its outer diameter was 35 mm.
  • a flange portion 12b had an outer diameter of 50 mm and a thickness of 8 mm.
  • the above constituent parts were held at 1050°C in air for 5 hours, thereby forming a film of alumina on each surface of each constituent parts. Then, fresh surfaces of the composite material were exposed by grinding or polishing the rear surface 4b of the substrate 4, the surface 8a of the back plate 8, the surface of the projection 8c and the surface of the flange portion of the supporting tube.
  • Powdery magnesium oxide was charged into a sheath heater covered with a stainless steel pipe, and a nickel-chromium alloy wire was inserted thereinto.
  • the resulting assembly was used as a heat-generating element.
  • the heat-generating element was sunk in the groove of the substrate, and twelve aluminum alloy blocks were placed under the heat-generating element as spacers.
  • a set of nickel power feed was passed through a hollow shaft, and a sheet of Al-10Si-2Mg having a thickness of 0.1 mm was interposed between portions to be joined.
  • the portions to be joined had been plated with nickel.
  • a weight of 6 kg was placed on the resulting assembly, and the constituent parts were joined by brazing in vacuum.
  • a susceptor as shown in Figs. 5 to 7 was produced. More specifically, a substrate 4, a back plate 8 and a supporting tube 12 were prepared in the same manner as in Example 1.
  • Frame-shaped heat-insulating materials 16A and 16B were made of alumina having a purity of not less than 95 %. Each of the heat-insulating materials had a thickness of 5 mm and a width of 10 mm.
  • the outer heat-insulating material had an outer configuration of 400 mm ⁇ 500 mm, and the inner heat-insulating material 16B had an outer configuration of 200 mm ⁇ 200 mm.
  • a ring-shaped heat-insulating material 20 was made of alumina having a purity of not less than 98 % with an outer diameter of 50 mm, an inner diameter of 40 mm and a thickness of 5 mm. Nickel films are formed on each of the heat-insulating materials by sputtering.
  • a heat-generating element as in Example 1 was prepared, and sunk in a groove of the substrate. Twelve alumina blocks were arranged under the heat-generating element as spacers, and a set of power feed was passed through a hollow shaft. As each of first and second joining layers 9 and 11, an Al-10Si-2Mg alloy brazing material having a thickness of 0.1 mm was used. A weight of 6 kg was placed on the resulting assembly, and constituent parts were joined by brazing under vacuum. Then, a film of alumina was formed in a thickness of about 100 ⁇ m on a heating surface of the planar heat-conductive layer.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Resistance Heating (AREA)
  • Surface Heating Bodies (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

A susceptor comprising a planar heat-conductive layer (3A) having a heating surface for heating an object to be heated and a rear surface, a supporting member (12) for supporting the planar heat-conductive layer from a side of the rear surface thereof, first and second heat-choking portions (7A, 25A) provided between the rear surface of the planar heat-conductive layer and the supporting member in this order. The first heat-choking portion is adapted for restricting a heat flow from the rear surface of the planar heat-conductive layer toward the supporting member, and the second heat-choking portion is adapted for restricting a heat flow from the first heat-choking portion to the supporting member. More uniform temperature distribution at the heating surface is achieved.

Description

    Background of the Invention (1) Field of the Invention
  • The present invention relates to susceptors for supporting and heating semiconductor wafers, liquid panels, silicon single crystal wafers for solar cells, etc.
  • (2) Related Art Statement
  • As the susceptors for supporting and heating the semiconductor elements, the liquid crystal display panels, the silicon single crystal wafers or the like, it is proposed that a heat-generating element is buried in a susceptor, and an electrode cable etc. are housed in slender supporting rod or rods, a supporting tube or a protective tube.
  • It has been increasingly demanded that the diameter of the wafers to produce the semiconductor wafers is increased or the size of the liquid panels is made lager. For this purpose, it has been demanded to make the size of the susceptors lager. Thus, it has been demanded to make the diameter of the planar heat-conductive layers of the susceptors not less than 300mm. However, as the diameter of the heat-conductive layer increases, it becomes very difficult to keep the temperature of the heating surface of the planar heat-conductive layer uniform, which poses a problem difficult to be solved. For, if the temperature distribution of the heating surface of the planar heat-conductive layer becomes non-uniform, the susceptor will be unacceptable. Particularly if a plurality of, for example three, supporting rods or protective tubes are attached to the planar heat-conductive layer to realize a large-size susceptor, the temperature of the heating surface becomes particularly more non-uniform.
  • Summary of the Invention
  • It is an object of the present invention to lessen deviations in the temperature distribution at the heating surface of a planar heat-conductive layer of the susceptor which includes that planar heat-conductive layer, a supporting tube, etc.
  • The susceptor according to the present invention comprises a planar heat-conductive layer having a heating surface for heating an object to be heated and a rear surface, a supporting member for supporting the planar heat-conductive layer from a side of the rear surface thereof, first and second heat-choking portions provided between the rear surface of the planar heat-conductive layer and the supporting member in this order, said first heat-choking portion being adapted for restricting a heat flow from the rear surface of the planar heat-conductive layer toward the supporting member, and the second heat-choking portion provided between the first heat-choking portion and the supporting member and adapted for restricting a heat flow from the first heat-choking portion to the supporting member.
  • The present inventors examined reasons why the deviations in the temperature at the heating surface of the susceptor became larger when the size of the susceptor was increased or when the planar heat-conductive layer was provided with a plurality of the supporting tubes or the supporting rods. It is common that the design of the planar pattern of the heat-generating element in the planar heat-conductive layer is optimized to make the temperature of the heating surface of the susceptor uniform. However, an amount of heat coming out of the susceptor through the supporting rods, the supporting tubes or the like largely varies depending upon the surrounding temperature, the use condition and the intended temperature range. For this reason, even if the planar pattern of the heat-generating element is designed and produced to optimize the temperature distribution of the heating surface of the susceptor in a certain temperature region or under a certain condition, for example, the distribution of the temperature at the heating surface tends to be conspicuously deteriorated if the use condition or the use temperature changes.
  • The present inventors reached a technical idea that first and second heat-choking portions are provided between the rear surface of the planar heat-conductive layer and the supporting member in this order, a heat flow from the rear surface of the planar heat-conductive layer toward the supporting member is restricted by the first heat-choking portion, and a heat flow from the first heat-choking portion toward the supporting member is restricted by the second heat-choking portion. The provision of the two-stage heat-choking portions could make the temperature of the heating surface of the planar heat-conductive layer more uniform and realize a favorable temperature distribution in a specific area in a temperature range or under a use condition which is wider than that in the conventional technique. Particularly if the diameter of the planar heat-conductive layer is increased or if the planar heat-conductive layer is provided with a plurality of supporting members, the temperature distribution of the heating surface can be remarkably enhanced.
  • The present invention is particularly preferable to large-size susceptors, and more particularly to susceptors with planar heat-conductive layers having diameters of not less than 300 mm. Further, the number of the supporting member(s) such as the supporting rod(s) or the supporting tube(s) is not limited. If plural supporting members are used, the invention is particularly effective in a case where the number of the supporting members is two or three. However, the invention may be employed in a case where the number of the supporting members is 4 to 20 is not less than 4 to not more than 20.
  • These and other objects, features and advantages of the invention will be appreciated when in conjunction with the attached drawings, with the understanding that some modifications, variations and changes of the same could be easily made by the skilled person in the art.
  • Brief Description of the Attached Drawings:
  • For a better understanding of the invention, reference is made to the attached drawings, wherein:
  • Fig. 1 is a vertically sectional view schematically showing a susceptor 1 according to one embodiment of the present invention;
  • Fig. 2(a) is a plane view of a back plate 8, Fig. 2(b) being a vertical sectional view of the back plate 8;
  • Fig. 3 is a vertical sectional view of a supporting tube 12;
  • Fig. 4(a) is a plane view showing a substrate 4 as viewed from a side of a rear surface 4b thereof in which shadow portions denote joining layer-presenting areas, Fig 4(b) being a sectional view showing a principal portion of a vicinity of a groove;
  • Fig. 5 is a vertically sectional view schematically showing a susceptor 1B according to another embodiment of the present invention;
  • Figs. 6(a) is a plane views showing frame-shaped insulating materials 16A and 16B, Fig. 6(b) being a plane view showing a ring-shaped insulating material 20; and
  • Fig. 7 is a sectional view showing a principal portion of a vicinity of a groove 23 in an enlarged scale.
  • Detailed Description of the Invention
  • The present invention will be explained below in more detail.
  • The planar heat-conductive layer may be heated with an external heat source such as an infrared lamp. However, a preferred embodiment is that the planar heat-conductive layer comprises a substrate and a heat-generating element arranged in the substrate. In this case, the use life of the heating element is prolonged, because the first and second heat-choking portions function as a seal to protect the heating element in the planar heat-conductive layer from the surrounding atmosphere of the susceptor.
  • In a further preferred embodiment, the substrate comprises a groove opened to the rear surface of the planar heat-conductive layer, and the heat-generating element is arranged in this groove.
  • The following materials are preferred for each of the substrate of the planar heat-conductive layer, the supporting member, and a back plate mentioned later.
  • (1) Ceramics such as aluminum nitride, alumina or mullite
  • (2) Alloys such as an aluminum alloy, stainless steel, Kovar, iron-nickel based low expansion alloy (e.g. Fe-42Ni), super alloy, Fe-Cr-Al based heat-resistant alloy and Ni-Cr based heat-resistant alloy
  • (3) Composite materials composed of a ceramic material selected from (1) and an alloy from (2)
  • Among them, the ceramic-metal composite material includes both a ceramic-based composite material and a metal-based composite material. For example, a composite material obtained by impregnating a ceramic preform with a molten metal or one obtained by a powder metallurgical method (powder molding + firing) may be recited. The permeation of the metal into the ceramic preform may be performed either under pressure or with use of a permeating aid under no pressure or ordinary pressure. If the powder metallurgical method is adopted, a sintering aid may be incorporated into a molded body of the powder.
  • A particularly preferred composite material will be explained. Although the ceramic material to constitute a preform is not particularly limited so long as aluminum or an aluminum alloy can be impregnated into it, an aluminum-based ceramic material is preferred, and alumina and aluminum nitride are particularly preferable.
  • In order to produce a preform, for example, after given ceramic particles are dispersed in a solvent such as isopropanol and an organic binder such as a liquid acrylic copolymer binder is mixed with the dispersion, a slurry is prepared by mixing the mixture in a large-size pot for 2 to 40 hours under stirring. Thereafter, the slurry is granulated in particle diameters of 30 to 100 µm by using an explosion-proof type spray dryer. Then, the granulated powder is charged into a given mold, and a preform is produced by press molding the powder under a pressure of 200 to 7000 kgf/cm2 by using a hydraulic press.
  • Instead of producing the slurry with the organic binder, a preform can be produced by obtaining a powder through spray-mixing ethanol or the like into ceramic particles and press molding the powder in the same manner as mentioned above.
  • Aluminum or the aluminum alloy may be impregnated into the preform by using a self-impregnating method, a pressure impregnating method or a vacuum impregnating method.
  • The first heat-choking portion preferably includes a back plate, and a thermal conductivity of the back plate is not more than 1/2, preferably not more than 1/8, of that of the planar heat-conductive layer. The back plate restricts the heat flow from the rear surface of the planar heat-conductive layer.
  • A method of integrating the planar heat-conductive layer and the supporting member and further the back plate if necessary is not particularly limited, but brazing and soldering are preferred. Further, the constituent members may be tightened together by using bolts in the state that metal sealants are interposed among the planar heat-conductive layer, the back plate and the supporting member.
  • In a case where the constituent members of the susceptor are joined together by brazing or soldering and joining them via resulting metallic joining layers, the heat flows through the first and second heat-choking portions can be restricted by reducing the area of each of the joining layers.
  • In this case, it is particularly preferable that the first heat-choking portion includes a back plate, and a first joining layer joining the back plate to the planar heat-conductive layer, and an area of the rear surface of the planar heat-conductive layer is 3 to 300 assuming that that of the first joining layer is 1.
  • Furthermore, it is preferable that the second heat-choking portion includes a second joining layer joining the back plate to the supporting member directly or via a heat-insulating member, and an area of the back plate on a side of the supporting member is 20 to 10000 assuming that that of the second joining layer is 1.
  • The heat-insulating member is either one of the following.
  • (1) The heat conductivity of the heat-insulating member is not more than 1/2 of that of the back plate.
  • (2) A hollow portion or through-hole is formed in a central portion of the heat-insulating member or a groove is formed in a surface portion of the heat-insulating member, so that a heat flow conducting through the heat-insulating member is reduced.
  • In a still preferred embodiment of the present invention, the planar heat-conductive layer comprises an electrically conductive material, at least the heating surface of the planar heat-conductive layer is covered with a dielectric layer, and the object to be heated is adsorbed onto the planar heat-conductive layer by applying a DC voltage between the planar heat-conductive layer and the object. By so constructing, the present invention can offer a large-size electrostatic chuck with a heating surface having a particularly larger area (for example, 700 cm2 or more).
  • In a still further preferred embodiment, the planar heat-conductive layer, the first heat-choking portion, the second heat-choking portion and the supporting member are electrically conductive, and the supporting member is grounded. By so constructing, no earth wire needs to be separately provided.
  • When the constituent members of the susceptor are to be brazed together, the brazing material is not particularly limited. However, an aluminum alloy brazing material, a gold alloy brazing material and a copper alloy brazing material are preferred from the standpoint of corrosion resistance against a halogen-based corrosive gas. Among them, the aluminum alloy brazing material is preferred if an aluminum/metal composite material is used for the constituent member(s).
  • The aluminum alloy brazing material is preferably made of an aluminum alloy which incorporates one or more kinds of active metals selected from the group consisting of magnesium, titanium, zirconium and hafnium and contains not less than 70 mol% of aluminum.
  • Preferably, this aluminum alloy contains not less than 1 mol% and not more than 10 mol% of one or more kinds of active metals (particularly preferably magnesium) selected from the group consisting of magnesium, titanium, zirconium and hafnium.
  • If the rate of the active metal(s) is set at not less than 1 mol%, affinity between the metallic component or the reinforcing agent in the substrate is enhanced. If the rate of the active metal(s) is set at not more than 10 mol%, the local formation of an intermetallic compound, etc. which may cause brittleness can be suppressed.
  • The content of aluminum in the alloy brazing material is a remainder obtained by subtracting the total content of the active metal(s) and the below-mentioned third ingredient from 100 mol% which is the total content of the metals.
  • The above third ingredient may be incorporated into the alloy brazing material. As the third ingredient, silicon or boron may be preferably used, because such does not influence aluminum. The third ingredient functions to lower the melting point of the brazing material. The fluidability of the brazing material is improved even at the same temperature through the incorporation of the third ingredient. The content of the third ingredient is preferably 1.5 to 10 mol%.
  • The alloy constituting the brazing material preferably contains 1 to 6 mol% of magnesium and 1.5 to 10 mol% of silicon.
  • A film of one or more kinds of metals selected from the group consisting of magnesium, titanium, zirconium and hafnium may be formed on a joining surface of each constituent member by sputtering, vapor deposition, plating or the like prior to the brazing. Further, a foil of one or more kinds of metals selected from the group consisting of magnesium, titanium, zirconium and hafnium may be interposed between surfaces of adjacent constituent members to be joined.
  • Before heating to effect the brazing, it is preferable that at least one of an oxidized film and a nitrided film on each joining surface of each of the constituent members is removed by washing each joining surface with an acid solution or an alkaline solution.
  • As the heating element, the following are preferred.
  • (1) A heating element including a linear or ribbon-shaped heating body made of mobdenum silicide, a nickel-chromium alloy or a nickel-chromium-aluminum alloy and a ceramic bond or cement (including Portland cement or alumina cement) applied and solidified onto the surface of the heating body. A sol or gel coating made of a powdery ceramic material or metal alkoxide may be applied to the heating body.
  • (2) A heating element (so-called sheath heater) including a stainless steel pipe or the like filled with particles of an insulating ceramic material such as magnesium oxide or aluminum nitride and the heating body mentioned in (1) buried in a central hollow portion of the pipe or the like.
  • The planar pattern of the heating element may be of a one-zone type or a two-zone type, and one-zone type is particularly preferable.
  • Materials for the film covering the planar heat-conductive layer, the back plate and the supporting member are preferably aluminum nitride, alumina, mullite, boron carbide, aluminum fluoride, a fluoride of a rare earth and/or alkaline earth metal element, a yttoria-alumina based ceramic material such as a yttoria-stabilized zirconia and a composite material in which any of the above materials are combined. Such a film is preferably formed by powder applying and baking, flame spraying or sol/gel process. If an aluminum alloy-based material such as aluminum nitride/aluminum or aluminum/silicon carbide is used as the material of the constituent members of the susceptor, an alumina film may be formed on the surface of each constituent member by oxidizing it.
  • It is preferable that a difference in a coefficient of thermal expansion between the constituent members of the susceptor and the film therefor is not more than 1 × 10-6/°C from the standpoint of the heat-resistant cycling of the susceptor.
  • Fig. 1 is a vertically sectional view schematically showing a susceptor according to one embodiment of the present invention, Fig. 2(a) is a plane view of a back plate 8, and Fig. 2(b) is a vertical sectional view of the back plate 8. Fig. 3 a vertically sectional view of a supporting pipe 12, Fig. 4(a) is a plane view showing a pattern of a joining layer on a side of a rear surface 4b of a substrate 4, and Fig. 4(b) is a sectional view showing a principal portion of a vicinity of a groove in the substrate 4 in an enlarged scale.
  • The susceptor 1A includes a planar heat-conductive layer 3A, a first heat-choking portion 7A, a second heat-choking portion 25A and a supporting member 12. In the planar heat-conductive layer 3A, a heating surface 4a and a peripheral surface of a flat board-shaped substrate 4 are covered with a film 6A. An object 2 to be heated is placed on the heating surface 4a. In the substrate 4 is formed a groove 15 having a planar pattern as shown in Fig. 4(a), and the groove 15 is opened to a rear surface 4a of the substrate 4. The heat-generating element 5 is housed in the groove 15. A reference numeral 4c denotes a through-hole through which an electric power feed cable, a thermocouple, etc. are to be passed.
  • The back plate 8 is joined to the rear surface 4b of the planar heat-conductive layer 3A via a first joining layer 9. The joining layer 9 is not interposed all over the interface between the back plate 8 and the rear surface 4b, but provided according to the planar patter as shown in Fig. 4(a). A reference numeral 10 denotes an opening between the substrate 4 and the back plate 8. In this embodiment, the area of the back surface 4b is 3 to 300, assuming that that of the first joining layer 9 is 1. As shown in Fig. 4(b), a spacer 16A is arranged under the heat-generating element 5 inside the groove 15, and contacts a surface 8a of the back plate 8. By so constructing, the heating element 5 will not directly contact the back plate 8 during use of the susceptor, so that reduction in uniformity of the temperature at the heating surface due to such a contact can be avoided. In Fig. 1, illustration of details of the constituent members as shown in Figs. 2 to 4 is omitted.
  • As shown in Figs. 2(a) and 2(b), a projection 8c is provided at a side of the rear surface 8b of the back plate in a central portion thereof, and a through-hole 8d is provided from the front surface 8a to the rear surface 8b at the location of the projection 8c. The rear surface 8b and the peripheral surface of the back plate 8 are covered with a film 6B.
  • As shown in Fig. 3, a supporting tube 12 includes a main body 12a, a flange portion 12b at one end of the main body 12a, and a flange portion 12c at the other end thereof, and the main body 12a includes a diameter-enlarging portion 12d of which the diameter gradually increases as the location goes from the main body 12a to the flange portion 12b.
  • The outer surface of the supporting tube is covered with a film 6C. The flange portion 12b of the supporting tube 12 is joined to the projection 8c of the back plate 8 via a second joining layer 11. The second joining layer is not joined to the entire end surface of the flange portion 12b, while openings 10 exist in areas with no second joining layer. A hollow portion of the supporting tube 12 is communicated with the through-hole 8d of the back plate 8, and an electric power feed cable for the heat-generating element and other electric wire such as a thermocouple if necessary not shown are inserted through them.
  • Fig. 5 is a vertically sectional view schematically showing a susceptor 1B according to another embodiment of the present invention, and Figs. 6(a) is a plane views showing frame-shaped insulating materials 16A and 16B, Fig. 6(b) being a plane view showing a ring-shaped insulating material 20. Fig. 7 is a sectional view showing a principal portion of a vicinity of a groove 23 in an enlarged scale. The susceptor 1 B includes a planar heat-conductive layer 3B, a first heat-choking portion 7B, a second heat-choking portion 25B and a supporting tube 12. In the planar heat-conductive layer 3B, a heating surface 4a and a peripheral surface of a flat board-shaped substrate 4 are covered with a film 6A. An object 2 to be heated is placed on the heating surface 4a. In the substrate 4 is formed a groove 23 having a planar pattern as shown in Fig. 4(a), for example, and the groove 23 is opened to a rear surface 4a of the substrate 4. In Fig. 5, such a groove is not shown. The heat-generating element 5 is housed in the groove 23. A spacer 16B is arranged under the heat-generating element 5, and contacts a surface 8a of the back plate 8.
  • The back plate 8 is joined to the rear surface 4b of the planar heat-conductive layer 3B via heat-insulating materials 16A and 16B. As shown in Fig. 6(a), each of the insulating material 16A and 16B is of an almost rectangular shape, and the insulating material 16A surrounds the one 16B. The insulating materials 16A and 16B are joined to the surface 8a of the back plate 8 and the back surface 4b of the planar heat-conductive layer via the joining layers 9, respectively, while openings 18 are formed in areas with no heat-insulating material 16A or 16B. As a result, the area of the back surface 4b of the planar heat-conductive layer 4 is 3 to 300 as mentioned before, assuming that the area of the first joining layer 9 is 1.
  • As shown in Fig. 5, the supporting tube 12 includes a main body 12a, and a flange 12b and a flange 12c provided at opposite ends of the main body, respectively. As mentioned before, a diameter-enlarging portion 12d may be provided. The outer surface of the supporting tube is covered with a film 6C.
  • A ring-shaped heat-insulating material 20 as shown in Fig. 6(b) is interposed between the flange portion 12b of the supporting member 12 and a projection 8c of the back plate, and the heat-insulating material 20 is joined to the projection 8c of the back plate 8 and the flange portion 12b of the supporting tube by second joining layers 11, respectively. Communication is made among a hollow portion of the supporting tube 12, a through-hole 20a of the heat-insulating material 20 and a through-hole 8d of the back plate 8, through which an electric power feed cable for the heat-generating element and another electric wire such as a thermocouple if necessary are inserted.
  • In the susceptor of Figs. 5 to 7, since the heating surface is electrically insulated from the supporting tube 12 by the heat-insulating portions 7B and 25B, the susceptor can be operated as an electrostatic chuck by connecting a metallic cable or a metallic rod to the heating surface.
  • Meanwhile, the susceptor in Figs. 1 to 4 can be operated as an electrostatic chuck by inserting an insulator at a portion to which the supporting tube is fitted to effect electric insulation. Likewise, a function as a high frequency wave-generating electrode may be afford to the susceptor. (Examples)
  • In the following, particularly preferred examples will be explained.
  • (Example 1)
  • A susceptor 1 as shown in Figs. 1 to 4 was produced. More specifically, particles of aluminum nitride having the average particle diameter of 16 µm were dispersed in isopropanol as a solvent, and a liquid acrylic copolymer binder was added to the dispersion. A slurry was obtained by mixing the mixture in a large-size pot mill under stirring for 4 hours. The slurry was granulated by means of the explosion type spray drier, thereby obtaining a spherically granulated powder having the average particle diameter of 150 µm. The granulated powder was charged into a given mold, which was uniaxially press molded under a pressure of 200 kgf/cm2 by using a hydraulic press, thereby producing a large-size preform, 380 mm in diameter and 300 mm thickness.
  • After the preform was sufficiently dried and dewaxed, aluminum was impregnated into the preform by a non-pressurized metal impregnation process in which the preform was contacted with a melt of an aluminum alloy (92.6 mol% aluminum, 5.5 mol% magnesium, 1.9 mol% silicon) at 900°C in an atmosphere of nitrogen - 1% hydrogen under a pressure of 1.5 atms for 24 hours. Then, the preform was pulled up from the melt, thereby obtaining an aluminum-based composite material. The weight rate of the aluminum nitride was 70%, and the composite material had a coefficient of thermal expansion of 8.7 × 10-6/°C, a heat conductivity of 170 W/mK and a specific resistance of 5 × 10-5 · cm.
  • A substrate 4 of a planar heat-conductive layer, a back plate 8 and a supporting tube 12 were prepared from this composite material. The substrate 4 had an outer configuration of 400 mm × 500 mm × 25 mm with a groove 15 having a width of 13 mm and a maximum depth of 15 mm. The back plate had an outer configuration of 400 mm × 500 mm × 7.9 mm with a projection 8c having a height of 4.2 mm as measured from a back surface 8b and a thickness of 12 mm, a diameter of 50 mm. The thickness of a main body of the supporting tube was 2.5 mm to 3.5 mm, and its outer diameter was 35 mm. A flange portion 12b had an outer diameter of 50 mm and a thickness of 8 mm.
  • The above constituent parts were held at 1050°C in air for 5 hours, thereby forming a film of alumina on each surface of each constituent parts. Then, fresh surfaces of the composite material were exposed by grinding or polishing the rear surface 4b of the substrate 4, the surface 8a of the back plate 8, the surface of the projection 8c and the surface of the flange portion of the supporting tube.
  • Powdery magnesium oxide was charged into a sheath heater covered with a stainless steel pipe, and a nickel-chromium alloy wire was inserted thereinto. The resulting assembly was used as a heat-generating element. The heat-generating element was sunk in the groove of the substrate, and twelve aluminum alloy blocks were placed under the heat-generating element as spacers. A set of nickel power feed was passed through a hollow shaft, and a sheet of Al-10Si-2Mg having a thickness of 0.1 mm was interposed between portions to be joined. The portions to be joined had been plated with nickel. A weight of 6 kg was placed on the resulting assembly, and the constituent parts were joined by brazing in vacuum.
  • (Example 2)
  • A susceptor as shown in Figs. 5 to 7 was produced. More specifically, a substrate 4, a back plate 8 and a supporting tube 12 were prepared in the same manner as in Example 1. Frame-shaped heat-insulating materials 16A and 16B were made of alumina having a purity of not less than 95 %. Each of the heat-insulating materials had a thickness of 5 mm and a width of 10 mm. The outer heat-insulating material had an outer configuration of 400 mm × 500 mm, and the inner heat-insulating material 16B had an outer configuration of 200 mm × 200 mm. A ring-shaped heat-insulating material 20 was made of alumina having a purity of not less than 98 % with an outer diameter of 50 mm, an inner diameter of 40 mm and a thickness of 5 mm. Nickel films are formed on each of the heat-insulating materials by sputtering.
  • A heat-generating element as in Example 1 was prepared, and sunk in a groove of the substrate. Twelve alumina blocks were arranged under the heat-generating element as spacers, and a set of power feed was passed through a hollow shaft. As each of first and second joining layers 9 and 11, an Al-10Si-2Mg alloy brazing material having a thickness of 0.1 mm was used. A weight of 6 kg was placed on the resulting assembly, and constituent parts were joined by brazing under vacuum. Then, a film of alumina was formed in a thickness of about 100 µm on a heating surface of the planar heat-conductive layer.
  • As is clear from the above, deviations in temperature at the heating surface of the planar heat-conductive layer can be reduced in the susceptor including this planar heat-conductive layer, the supporting tube therefor, etc.

Claims (10)

  1. A susceptor comprising a planar heat-conductive layer having a heating surface for heating an object to be heated and a rear surface, a supporting member for supporting the planar heat-conductive layer from a side of the rear surface thereof, first and second heat-choking portions provided between the rear surface of the planar heat-conductive layer and the supporting member in this order, said first heat-choking portion being adapted for restricting a heat flow from the rear surface of the planar heat-conductive layer toward the supporting member, and the second heat-choking portion provided between the first heat-choking portion and the supporting member and adapted for restricting a heat flow from the first heat-choking portion to the supporting member.
  2. The susceptor set forth in claim 1, wherein the planar heat-conductive layer comprises a composite material made of aluminum nitride and aluminum.
  3. The susceptor set forth in claim 1 or 2, wherein the planar heat-conductive layer comprises a substrate and a heat-generating element arranged in the substrate.
  4. The susceptor set forth in claim 3, wherein the substrate comprises a groove opening to the rear surface of the planar heat-conductive layer, and the heat-generating element is arranged in the groove.
  5. The susceptor set forth in any one of claims 1 to 4, wherein the first heat-choking portion comprises a back plate, and a thermal conductivity of the back plate is not more than 1/2 of that of the planar heat-conductive layer.
  6. The susceptor set forth in any one of claims 1 to 4, wherein the first heat-choking portion comprises a back plate, and a first joining layer bonding the back plate to the planar heat-conductive layer, and an area of the rear surface of the planar heat-conductive layer is 3 to 300 assuming that that of the first joining layer is 1.
  7. The susceptor set forth in claim 5, wherein the first heat-choking portion further comprises a first bonding layer bonding the back plate to the planar heat-conductive layer, and an area of the rear surface of the planar heat-conductive layer is 3 to 300 assuming that that of the first joining layer is 1.
  8. The susceptor set forth in any one of claims 5 to 7, wherein the second heat-choking portion comprises a second joining layer bonding the back plate to the supporting member directly or via a heat-insulating member, and an area of the back plate on a side of the supporting member is 20 to 10000 assuming that that of the second joining layer is 1.
  9. The susceptor set forth in any one of claims 1 to 8, wherein the planar heat-conductive layer comprises an electrically conductive material, at least the heating surface of the planar heat-conductive layer is covered with a dielectric layer, and the object to be heated is adsorbed onto the planar heat-conductive layer by applying a DC voltage between the planar heat-conductive layer and the object.
  10. The susceptor set forth in any one of claims 1 to 9, wherein the planar heat-conductive layer, the first heat-choking portion, the second heat-choking portion and the supporting member are electrically conductive, and the supporting member is grounded.
EP99304203A 1998-05-29 1999-05-28 Susceptors Withdrawn EP0962961A3 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP14957398 1998-05-29
JP10149573A JPH11343571A (en) 1998-05-29 1998-05-29 Susceptor

Publications (2)

Publication Number Publication Date
EP0962961A2 true EP0962961A2 (en) 1999-12-08
EP0962961A3 EP0962961A3 (en) 2003-08-13

Family

ID=15478154

Family Applications (1)

Application Number Title Priority Date Filing Date
EP99304203A Withdrawn EP0962961A3 (en) 1998-05-29 1999-05-28 Susceptors

Country Status (5)

Country Link
US (1) US6160244A (en)
EP (1) EP0962961A3 (en)
JP (1) JPH11343571A (en)
KR (1) KR100295145B1 (en)
TW (1) TW456157B (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1075015A2 (en) * 1999-08-03 2001-02-07 Applied Materials, Inc. A method and apparatus for thermal control of a semiconductor substrate
WO2003012835A2 (en) * 2001-08-01 2003-02-13 Applied Materials, Inc. Substrate support and method of fabricating the same
EP1355347A2 (en) * 2002-04-15 2003-10-22 Sumitomo Electric Industries, Ltd. Workpiece holder for semiconductor manufacturing apparatus
EP2680667A1 (en) * 2012-06-29 2014-01-01 Hermle Maschinenbau GmbH Process for the preparation of a heating assembly and tool including the heating assembly

Families Citing this family (385)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6214121B1 (en) 1999-07-07 2001-04-10 Applied Materials, Inc. Pedestal with a thermally controlled platen
SE515785C2 (en) * 2000-02-23 2001-10-08 Obducat Ab Apparatus for homogeneous heating of an object and use of the apparatus
US6623563B2 (en) * 2001-01-02 2003-09-23 Applied Materials, Inc. Susceptor with bi-metal effect
US6554907B2 (en) 2001-01-02 2003-04-29 Applied Materials, Inc. Susceptor with internal support
JP4331901B2 (en) * 2001-03-30 2009-09-16 日本碍子株式会社 Ceramic susceptor support structure
JP3897563B2 (en) * 2001-10-24 2007-03-28 日本碍子株式会社 Heating device
JP3520074B2 (en) * 2002-03-28 2004-04-19 日本碍子株式会社 Ceramic susceptor mounting structure, ceramic susceptor support structure, and ceramic susceptor support member
JP3534738B2 (en) * 2002-03-28 2004-06-07 日本碍子株式会社 Ceramic susceptor mounting structure, ceramic susceptor support structure, and ceramic susceptor support member
US7608419B2 (en) * 2003-11-13 2009-10-27 California Institute Of Technology Method and apparatus for detecting and quantifying bacterial spores on a surface
JP2004253665A (en) * 2003-02-21 2004-09-09 Sumitomo Electric Ind Ltd Wafer holder for semiconductor manufacturing apparatus and semiconductor manufacturing apparatus mounting it
JP3918806B2 (en) * 2003-11-20 2007-05-23 住友電気工業株式会社 Heater member for placing object to be heated and heat treatment apparatus
JP2005216759A (en) * 2004-01-30 2005-08-11 Nhk Spring Co Ltd Heater unit
KR100750968B1 (en) 2005-06-07 2007-08-22 주식회사 알지비하이텍 Structure of susceptor inside plasma enhanced chemical vapor deposition device
US7709099B2 (en) * 2005-07-04 2010-05-04 Kyocera Corporation Bonded body, wafer support member using the same, and wafer treatment method
KR100730379B1 (en) * 2005-07-26 2007-06-19 (주)대하이노텍 Heater module of chemical vapor deposition apparatus
JP4435742B2 (en) * 2005-08-09 2010-03-24 信越化学工業株式会社 Heating element
KR101299495B1 (en) * 2005-12-08 2013-08-29 신에쓰 가가꾸 고교 가부시끼가이샤 Ceramics heater, heater power feeding component and method for manufacturing ceramics heater
JP4615464B2 (en) * 2006-03-16 2011-01-19 東京エレクトロン株式会社 Electrode assembly for plasma processing apparatus and plasma processing apparatus
JP5057904B2 (en) * 2007-09-07 2012-10-24 株式会社日本セラテック Temperature control plate and manufacturing method thereof
JP5236927B2 (en) * 2007-10-26 2013-07-17 信越化学工業株式会社 Corrosion-resistant laminated ceramic members
JP5014080B2 (en) * 2007-11-19 2012-08-29 コバレントマテリアル株式会社 Sheet heater
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
TWI469678B (en) * 2009-01-05 2015-01-11 Flexible heating device
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5416570B2 (en) * 2009-12-15 2014-02-12 住友電気工業株式会社 Heating / cooling device and apparatus equipped with the same
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP5882614B2 (en) * 2011-06-29 2016-03-09 株式会社日本セラテック Ceramic heater
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9869392B2 (en) 2011-10-20 2018-01-16 Lam Research Corporation Edge seal for lower electrode assembly
US9859142B2 (en) 2011-10-20 2018-01-02 Lam Research Corporation Edge seal for lower electrode assembly
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9948214B2 (en) * 2012-04-26 2018-04-17 Applied Materials, Inc. High temperature electrostatic chuck with real-time heat zone regulating capability
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10177014B2 (en) * 2012-12-14 2019-01-08 Applied Materials, Inc. Thermal radiation barrier for substrate processing chamber components
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
USD743357S1 (en) * 2013-03-01 2015-11-17 Asm Ip Holding B.V. Susceptor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10090211B2 (en) 2013-12-26 2018-10-02 Lam Research Corporation Edge seal for lower electrode assembly
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR102420852B1 (en) * 2015-11-04 2022-07-15 (주)포인트엔지니어링 Susceptor and Vaccum chamber including the same
KR102408404B1 (en) * 2015-11-04 2022-06-14 (주)포인트엔지니어링 Susceptor and vacuum chamber having the same
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
JP6837806B2 (en) * 2016-10-31 2021-03-03 信越化学工業株式会社 Heating element
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
JP6902382B2 (en) * 2017-04-12 2021-07-14 日本発條株式会社 Heater unit
JP2018181586A (en) 2017-04-12 2018-11-15 日本発條株式会社 Sheath heater
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (en) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (en) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 A storage device for storing wafer cassettes and a batch furnace assembly
JP7206265B2 (en) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. Equipment with a clean mini-environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (en) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. Method for depositing a ruthenium-containing film on a substrate by a cyclic deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
KR20190129718A (en) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
TWI819010B (en) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI751420B (en) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 Thin-film deposition method
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) * 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11562890B2 (en) 2018-12-06 2023-01-24 Applied Materials, Inc. Corrosion resistant ground shield of processing chamber
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (en) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method and system for forming device structures using selective deposition of gallium nitride - Patents.com
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
JP7509548B2 (en) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー Cyclic deposition method and apparatus for filling recesses formed in a substrate surface - Patents.com
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
JP2020133004A (en) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Base material processing apparatus and method for processing base material
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
JP2020188254A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP7499079B2 (en) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー Plasma device using coaxial waveguide and substrate processing method
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (en) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
CN112635282A (en) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 Substrate processing apparatus having connection plate and substrate processing method
KR20210042810A (en) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. Reactor system including a gas distribution assembly for use with activated species and method of using same
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (en) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
KR20210050453A (en) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
CN112992667A (en) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (en) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Gas supply assembly and valve plate assembly
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (en) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
TW202203344A (en) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 System dedicated for parts cleaning
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (en) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride–containing layer and structure comprising the same
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202200837A (en) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Reaction system for forming thin film on substrate
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220006455A (en) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. Method for processing a substrate
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235649A (en) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Methods for filling a gap and related systems and devices
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
CN114639631A (en) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 Fixing device for measuring jumping and swinging
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
CN115803869A (en) * 2021-05-28 2023-03-14 朗姆研究公司 Apparatus for thermal management of pedestals and chambers
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0493089A1 (en) * 1990-12-25 1992-07-01 Ngk Insulators, Ltd. Wafer heating apparatus and method for producing the same
US5591269A (en) * 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
JPH09213781A (en) * 1996-02-01 1997-08-15 Tokyo Electron Ltd Stage structure and processor using it
US5730803A (en) * 1996-02-23 1998-03-24 Applied Materials, Inc. Apparatus and method for transferring heat from a hot electrostatic chuck to an underlying cold body

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3110795A (en) * 1959-09-17 1963-11-12 Gen Motors Corp Domestic electric appliance
US3275801A (en) * 1964-06-17 1966-09-27 Walter A Churchill Electrical heat exchanger
JPH07297268A (en) * 1993-12-27 1995-11-10 Shin Etsu Chem Co Ltd Ceramic heater with electrostatic chuck

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0493089A1 (en) * 1990-12-25 1992-07-01 Ngk Insulators, Ltd. Wafer heating apparatus and method for producing the same
US5591269A (en) * 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
JPH09213781A (en) * 1996-02-01 1997-08-15 Tokyo Electron Ltd Stage structure and processor using it
US5730803A (en) * 1996-02-23 1998-03-24 Applied Materials, Inc. Apparatus and method for transferring heat from a hot electrostatic chuck to an underlying cold body

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
PATENT ABSTRACTS OF JAPAN vol. 1997, no. 12, 25 December 1997 (1997-12-25) -& JP 09 213781 A (TOKYO ELECTRON LTD), 15 August 1997 (1997-08-15) -& US 5 851 298 A (ISHII NOBUO) 22 December 1998 (1998-12-22) *

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1075015A2 (en) * 1999-08-03 2001-02-07 Applied Materials, Inc. A method and apparatus for thermal control of a semiconductor substrate
EP1075015A3 (en) * 1999-08-03 2005-12-14 Applied Materials, Inc. A method and apparatus for thermal control of a semiconductor substrate
WO2003012835A2 (en) * 2001-08-01 2003-02-13 Applied Materials, Inc. Substrate support and method of fabricating the same
WO2003012835A3 (en) * 2001-08-01 2003-08-28 Applied Materials Inc Substrate support and method of fabricating the same
EP1355347A2 (en) * 2002-04-15 2003-10-22 Sumitomo Electric Industries, Ltd. Workpiece holder for semiconductor manufacturing apparatus
EP1355347A3 (en) * 2002-04-15 2003-12-10 Sumitomo Electric Industries, Ltd. Workpiece holder for semiconductor manufacturing apparatus
EP2680667A1 (en) * 2012-06-29 2014-01-01 Hermle Maschinenbau GmbH Process for the preparation of a heating assembly and tool including the heating assembly

Also Published As

Publication number Publication date
KR19990088384A (en) 1999-12-27
TW456157B (en) 2001-09-21
US6160244A (en) 2000-12-12
KR100295145B1 (en) 2001-07-12
JPH11343571A (en) 1999-12-14
EP0962961A3 (en) 2003-08-13

Similar Documents

Publication Publication Date Title
US6160244A (en) Susceptors
KR100438881B1 (en) Wafer holder for semiconductor manufacturing apparatus and semiconductor manufacturing apparatus using the same
EP0929205B1 (en) A heater and a method of manufacturing the same
EP1918982B1 (en) Substrate supporting member
CN103180267B (en) Heating unit
US5683606A (en) Ceramic heaters and heating devices using such ceramic heaters
US6239402B1 (en) Aluminum nitride-based sintered bodies, corrosion-resistant members, metal-buried articles and semiconductor-holding apparatuses
US5663865A (en) Ceramic electrostatic chuck with built-in heater
TWI308366B (en)
KR100411215B1 (en) Wafer holder for semiconductor manufacturing apparatus
US20050258160A1 (en) Substrate heating device
EP0929204B1 (en) Ceramic Heater
WO2002089530A1 (en) Ceramic heater with heater element and method for use thereof
JP3840990B2 (en) Semiconductor / LCD manufacturing equipment
KR20080025012A (en) Electrostatic chuck with heater and manufacturing method thereof
JP2021504287A (en) Semiconductor processing equipment equipped with high temperature resistant nickel alloy joints and its manufacturing method
JP2005317749A (en) Holding body for semiconductor manufacturing apparatus and semiconductor manufacturing apparatus loaded therewith
EP0862352A2 (en) A heating element with a diamond sealing material
EP0526648B1 (en) Method of bonding ceramics together and insert material for heat bonding
JPH09243201A (en) Thermoelectric converter and its manufacture
EP1845754B1 (en) Heating element
EP1484429A1 (en) Semiconductor or liquid crystal producing device
JP2533679B2 (en) Plate-shaped ceramic heater and method for manufacturing the same
JPH0870036A (en) Electrostatic chuck
JP2000130951A (en) Substrate firing furnace for plasma display panel and furnace member therefor

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

AK Designated contracting states

Kind code of ref document: A2

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LI LU MC NL PT SE

AX Request for extension of the european patent

Free format text: AL;LT;LV;MK;RO;SI

PUAL Search report despatched

Free format text: ORIGINAL CODE: 0009013

AK Designated contracting states

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LI LU MC NL PT SE

AX Request for extension of the european patent

Extension state: AL LT LV MK RO SI

RIC1 Information provided on ipc code assigned before grant

Ipc: 7C 23C 16/46 B

Ipc: 7H 02N 13/00 B

Ipc: 7H 01L 21/68 B

Ipc: 7H 01L 21/00 A

AKX Designation fees paid

Designated state(s): DE FR GB

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 20040214