DE112017002298T5 - Measurement of semiconductor structures with capillary condensation - Google Patents

Measurement of semiconductor structures with capillary condensation Download PDF

Info

Publication number
DE112017002298T5
DE112017002298T5 DE112017002298.3T DE112017002298T DE112017002298T5 DE 112017002298 T5 DE112017002298 T5 DE 112017002298T5 DE 112017002298 T DE112017002298 T DE 112017002298T DE 112017002298 T5 DE112017002298 T5 DE 112017002298T5
Authority
DE
Germany
Prior art keywords
flow
purge gas
measurement
filler
amount
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE112017002298.3T
Other languages
German (de)
Inventor
Shankar Krishnan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/204,938 external-priority patent/US10281263B2/en
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Publication of DE112017002298T5 publication Critical patent/DE112017002298T5/en
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Automation & Control Theory (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)

Abstract

Hier werden Verfahren und Systeme zur Durchführung optischer Messungen von geometrischen Strukturen vorgestellt, die durch einen Kapillarkondensationsprozess gefüllt sind. Die Messungen werden durchgeführt, während die zu messenden Strukturen mit einem Spülgasstrom behandelt werden, der eine kontrollierte Menge an Füllmaterial enthält. Ein Teil des Füllmaterials kondensiert auf den zu vermessenden Strukturen und füllt Öffnungen in den Strukturmerkmalen, Räume zwischen Strukturmerkmalen, kleinen Volumina, wie z.B. Kerben Gräben, Schlitze, Kontaktlöcher, etc. aus. Der Sättigungsgrad des verdampften Materials in der gasförmigen Strömung wird auf Basis der maximal zu befüllenden Größe des Merkmals eingestellt. In einigen Beispielen werden Messdaten, wie z.B. spektroskopische Daten oder Bilddaten, gesammelt, wenn eine Struktur ungefüllt und wenn die Struktur durch Kapillarkondensation gefüllt ist. Die gesammelten Daten werden kombiniert, um die Leistung der Messung zu verbessern.Here are presented methods and systems for performing optical measurements of geometric structures filled by a capillary condensation process. The measurements are made while the structures to be measured are treated with a purge gas stream containing a controlled amount of filler. Part of the filling material condenses on the structures to be measured and fills openings in the structural features, spaces between features, small volumes, e.g. Notches trenches, slots, contact holes, etc. off. The degree of saturation of the vaporized material in the gaseous flow is adjusted based on the maximum size of the feature to be filled. In some examples, measurement data, e.g. spectroscopic data or image data collected when a structure is unfilled and when the structure is filled by capillary condensation. The collected data is combined to improve the performance of the measurement.

Description

Bezugnahme auf verwandte AnmeldungenReference to related applications

Die vorliegende Anmeldung beansprucht die Priorität unter 35 USC §119 von der provisorischen US-Patentanmeldung mit der U.S. Anmeldenummer 62/330,751 , mit dem Titel: „Porosity and Critical Dimension Measurements Using Capillary Condensation“, die am 02. Mai 2016 angemeldet wurde und von der provisorischen US-Patentanmeldung mit der U.S. Anmeldenummer 62/441,887 , mit dem Titel: „Critical Dimension Measurements Using Liquid Filling“, die am 03. Januar 2017 angemeldet wurde, und von der US-Patentanmeldung mit der U.S. Anmeldenummer 15/204,938 mit dem Titel: „Critical Dimension Measurements With Capillary Condensation“, die am 07. Juli 2016 angemeldet wurde, deren Offenbarung durch Bezugnahme in ihrer Gesamtheit hierin aufgenommen ist.The present application claims priority under 35 USC §119 of the Provisional U.S. Patent Application Serial No. 62 / 330,751 titled "Porosity and Critical Dimension Measurements Using Capillary Condensation", which was filed on May 2, 2016 and provisional U.S. Patent Application Serial No. 62 / 441,887 , entitled "Critical Dimension Measurements Using Liquid Filling," filed Jan. 3, 2017, and US patent application Ser US Application Number 15 / 204,938 entitled "Critical Dimension Measurements With Capillary Condensation" filed Jul. 7, 2016, the disclosure of which is incorporated by reference herein in its entirety.

Technisches GebietTechnical area

Die beschriebenen Ausführungsformen beziehen sich auf Messsysteme und Verfahren, und insbesondere auf Verfahren und Systeme für die verbesserte Messung von Strukturen, die in der Halbleiterindustrie hergestellt werden.The described embodiments relate to measurement systems and methods, and more particularly to methods and systems for the improved measurement of structures fabricated in the semiconductor industry.

Hintergrund InformationBackground information

Die Halbleiterbauelemente, wie z.B. Logik- und Speicherelemente, werden typischerweise durch eine Abfolge von Verarbeitungsschritten, die auf die Probe angewendet werde, hergestellt. Die verschiedenen Merkmale und strukturellen Ebenen der Halbleiterbauelemente werden durch diese Verarbeitungsschritte gebildet. Zum Beispiel ist die Lithographie, unter anderen Prozessschritten, ein Halbleiterherstellungsprozess, der auf einem Halbleiterwafer die Erzeugung eines Musters umfasst. Weitere Beispiele für Halbleiterherstellungsprozesse umfassen, sind aber nicht beschränkt auf, chemisch-mechanisches Polieren, Ätzen, Abscheidung und Ionenimplantation. Mehrere Halbleiterbauelemente können auf einem einzigen Halbleiterwafer hergestellt und dann in einzelne Halbleiterbauelemente getrennt werden.The semiconductor devices, such as e.g. Logic and memory elements are typically fabricated by a sequence of processing steps applied to the sample. The various features and structural levels of the semiconductor devices are formed by these processing steps. For example, lithography, among other process steps, is a semiconductor manufacturing process that includes forming a pattern on a semiconductor wafer. Other examples of semiconductor fabrication processes include, but are not limited to, chemical mechanical polishing, etching, deposition, and ion implantation. Multiple semiconductor devices may be fabricated on a single semiconductor wafer and then separated into individual semiconductor devices.

Messprozesse werden bei verschiedenen Schritten während eines Halbleiterherstellungsprozesses verwendet, um Defekte auf den Wafern zu detektieren und eine höhere Ausbeute zu fördern. Modellbasierte Messtechniken bieten das Potenzial für einen hohen Durchsatz, ohne das Risiko einer Zerstörung der Probe. Eine Vielzahl von modellbasierten Metrologie-Techniken, dazu gehören die Scatterometrie, die Ellipsometrie und Implementierungen der Reflektometrie und zugehörige Analysealgorithmen, werden häufig zur Charakterisierung von kritischen Abmessungen, Schichtdicken, der Zusammensetzung, des Overlays und anderer Parameter von Strukturen im Nanomaßstab verwendet.Measurement processes are used at various stages during a semiconductor manufacturing process to detect defects on the wafers and promote higher yield. Model-based measurement techniques offer the potential for high throughput without the risk of sample destruction. A variety of model-based metrology techniques, including scatterometry, ellipsometry, and reflectometry implementations and associated analysis algorithms, are often used to characterize critical dimensions, layer thicknesses, composition, overlay, and other parameters of nanoscale structures.

Moderne Halbleiterprozesse werden zu Erzeugung komplexer Strukturen eingesetzt. Ein komplexes Messmodell mit mehreren Parametern ist erforderlich, um diese Strukturen zu repräsentieren und die Prozess- und Maßabweichungen zu berücksichtigen. Komplexe, Mehrparametermodelle umfassen Modelfehler, die durch Parameterkorrelationen und eine geringe Messempfindlichkeit für einige Parameter induziert werden. Zusätzlich weist die Regression von Komplexen, Mehrparametermodellen eine relativ große Anzahl von fließenden Parameterwerten auf, die rechentechnisch lenkbar sind.Modern semiconductor processes are used to create complex structures. A complex measurement model with multiple parameters is required to represent these structures and to account for process and dimensional variations. Complex, multi-parameter models include model errors induced by parameter correlations and low sensitivity to some parameters. In addition, the regression of complexes, multi-parameter models, has a relatively large number of floating parameter values that are computationally steerable.

Um die Wirkung dieser Fehlerquellen und den Rechenaufwand zu reduzieren, wird typischerweise eine Anzahl von Parametern in einer modellbasierten Messung fixiert. Obwohl die Fixierung der Werte einer Vielzahl von Parametern die Rechengeschwindigkeit verbessert und die Auswirkungen der Parameterkorrelation reduzieren kann, führt dies auch zu Fehlern in den Schätzungen der Parameterwerte.In order to reduce the effect of these error sources and the computational effort, typically a number of parameters are fixed in a model-based measurement. Although fixing the values of a variety of parameters can improve computational speed and reduce the effects of parameter correlation, this also leads to errors in the estimates of the parameter values.

Derzeit erfordert die Lösung von komplexenCurrently, the solution requires complex

Mehrparametermessmodellen oft einen unbefriedigenden Kompromiss. Aktuelle Modellreduktion-Techniken sind manchmal nicht in der Lage, an ein Messmodell zu gelangen, das sowohl rechentechnisch lenkbar und ausreichend genau ist. Darüber hinaus machen es komplexe Mehrparametermodelle schwierig oder unmöglich, die Auswahl von Systemparametern (wie z.B. Wellenlängen, Einfallswinkel, etc.) für jeden Parameter von Interesse zu optimieren.Multi-parameter measurement models often provide an unsatisfactory compromise. Current model reduction techniques are sometimes unable to arrive at a measurement model that is both computationally steerable and sufficiently accurate. Moreover, complex multi-parameter models make it difficult or impossible to optimize the selection of system parameters (such as wavelengths, angles of incidence, etc.) for each parameter of interest.

Zukünftige Messanwendungen zeigen Herausforderungen auf, die aufgrund der immer kleiner werdenden Anforderungen an die Auflösung, der Korrelationen der mehreren Parameter, zunehmend komplexer geometrischer Strukturen und der zunehmenden Verwendung von opaken Materialien bedingt sind. Somit sind Verfahren und Systeme für verbesserte Messungen erwünscht.Future measurement applications will present challenges due to the ever-decreasing requirements for resolution, the correlations of multiple parameters, increasingly complex geometric structures, and the increasing use of opaque materials. Thus, methods and systems for improved measurements are desired.

ZusammenfassungSummary

Verfahren und Systeme zum Durchführen von optischen Messungen geometrischer Strukturen, die durch ein kapillares Kondensationsverfahren gefüllt werden, werden hierin vorgestellt. Die Messungen werden durchgeführt, während die lokale Umgebung um die der Messung unterliegenden Strukturen herum mit einer Strömung von Spülgas behandelt wird, das eine kontrollierte Menge an Füllmaterial umfasst. Ein Teil des Füllmaterials kondensiert (d.h. das Kondensat) auf den zu vermessenden Strukturen und füllt Öffnungen in den strukturellen Merkmalen, den Abstand zwischen Strukturmerkmalen, kleine Volumina, wie z.B. Kerben, Gräben, Schlitze, Kontaktlöcher, usw.Methods and systems for performing optical measurements of geometric structures filled by a capillary condensation process are presented herein. The measurements are made while treating the local environment around the structures under measurement with a flow of purge gas comprising a controlled amount of filler material. Part of the filler condenses (ie the condensate) on the to be measured Structures and fills openings in structural features, the distance between structural features, small volumes, such as notches, trenches, slits, vias, etc.

Gemäß einer Ausführungsform der Erfindung kann der Sättigungsgrad des verdampften Materials in der Gasströmung zu den zu vermessenden Strukturen auf Basis der maximalen Strukturgröße, die durch kapillare Kondensation gefüllt werden, eingestellt werden.According to one embodiment of the invention, the degree of saturation of the vaporized material in the gas flow to the structures to be measured may be adjusted based on the maximum feature size filled by capillary condensation.

In einer weiteren Ausführungsform werden Messungen mit einem Datensatz ausgeführt, der Messsignale von Strukturen aufweist, die mit einem Kondensat gefüllte geometrische Merkmale umfassen. Das Vorhandensein des Kondensats ändert die optischen Eigenschaften der zu vermessenden Struktur im Vergleich zu einem Messszenario, bei dem das Spülgas kein Füllmaterial enthält.In another embodiment, measurements are performed on a data set that includes measurement signals from structures that include geometric features filled with a condensate. The presence of the condensate changes the optical properties of the structure to be measured compared to a measurement scenario in which the purge gas contains no filler material.

In einigen Beispielen werden mehrere Messungen einer Struktur für verschiedene Kondensationszustände durchgeführt. Jede Messung entspricht einer unterschiedlichen Menge an Kondensat, das auf den zu vermessenden Strukturen kondensiert ist. Durch das Sammeln der Information des einer Struktur zugeordneten Messsignals, wobei die Struktur geometrische Merkmale mit unterschiedlichen Mengen an Kondensat aufweist, werden Korrelationen der Parameter bei fließenden Messparametern verringert und die Messgenauigkeit verbessert.In some examples, multiple measurements of a structure are made for different condensation states. Each measurement corresponds to a different amount of condensate condensed on the structures to be measured. By collecting the information of the measurement signal associated with a structure, wherein the structure has geometric features with different amounts of condensate, correlations of the parameters with flowing measurement parameters are reduced and the measurement accuracy is improved.

In einigen Ausführungsbeispielen werden Messdaten gesammelt, wenn eine Struktur durch Kapillarkondensation gefüllt ist und Messdaten werden von der gleichen Struktur gesammelt, wenn die Struktur nicht gefüllt ist (d.h. nicht der Kapillarkondensation unterliegt).In some embodiments, measurement data is collected when a structure is filled by capillary condensation, and measurement data is collected from the same structure when the structure is unfilled (i.e., not subject to capillary condensation).

In einigen Ausführungsformen kann die Menge an verdampften Füllmaterial in einer gasförmigen Strömung zu den der Messung unterliegenden Strukturen geregelt werden. Dies erfolgt durch Steuern des Partialdrucks des Füllmaterials in der gasförmigen Strömung. In einigen Ausführungsformen kann ein Strom von ungesättigtem Spülgas mit einem Strom von gesättigtem Spülgas vermischt werden. Das Verhältnis dieser Ströme wird geregelt, um den Partialdruck des Füllmaterials im kombinierten Strom einzustellen.In some embodiments, the amount of vaporized filler material may be controlled in a gaseous flow to the structures under measurement. This is done by controlling the partial pressure of the filling material in the gaseous flow. In some embodiments, a stream of unsaturated sweep gas may be mixed with a stream of saturated sweep gas. The ratio of these flows is controlled to adjust the partial pressure of the filler in the combined stream.

In einigen Ausführungsformen wird ein Spülgas durch ein Flüssigkeitsbad des Füllmaterials gesprudelt, um einen Strom von Spülgas zu erzeugen, der mit Füllmaterial vollständig gesättigt ist. Der Partialdruck des Füllmaterials, das dampfförmig im Strom des Spülgases vorliegt, ist gleich dem Gleichgewichtsdruck des Füllmaterials über dem Flüssigkeitsbad des Füllmaterials.In some embodiments, a purge gas is bubbled through a liquid bath of the filler material to produce a flow of purge gas that is completely saturated with filler. The partial pressure of the filler, which is in vapor form in the flow of purge gas, is equal to the equilibrium pressure of the filler over the liquid bath of the filler.

In einigen Ausführungsformen kann das Flüssigkeitsbad des Füllmaterials bei der gleichen Temperatur wie die der Messung unterliegenden Probe gehalten werden. In einigen anderen Ausführungsformen wird das Flüssigkeitsbad des Füllmaterials bei einer geringeren Temperatur als die der Messung unterliegenden Probe gehalten.In some embodiments, the liquid bath of the filler may be maintained at the same temperature as the sample under test. In some other embodiments, the liquid bath of the filler is maintained at a lower temperature than the sample under test.

In einigen Ausführungsformen wird der Sättigungsgrad des verdampften Füllmaterials auf dem Wafer durch Zugabe einer nicht-flüchtigen Substanz in ein Flüssigkeitsbad des Füllmaterials gesteuert, die den Gleichgewichtsdampfdruck des Füllmaterials dämpft. In diesen Ausführungsformen wird der Sättigungsgrad des verdampften Füllmaterials durch Steuern der Konzentration der Substanz in der Lösung geregelt.In some embodiments, the degree of saturation of the vaporized filler material on the wafer is controlled by adding a non-volatile substance to a liquid bath of the filler that dampens the equilibrium vapor pressure of the filler material. In these embodiments, the degree of saturation of the vaporized filler material is controlled by controlling the concentration of the substance in the solution.

In einigen Ausführungsformen weist das Füllmaterial eine Fluoreszenz in Reaktion auf die Beleuchtung der zu vermessenden Strukturen mit Licht auf, um den Kontrast zu verbessern. Dies wird vor allem in bildbasierten Messanwendungen verwendet.In some embodiments, the filler has fluorescence in response to the illumination of the structures to be measured with light to improve the contrast. This is mainly used in image-based measurement applications.

Das Vorstehende ist eine Zusammenfassung und enthält somit notwendigerweise Vereinfachungen, Verallgemeinerungen und Auslassungen von Details. Folglich werden Fachleute auf dem Gebiet erkennen, dass die Zusammenfassung nur illustrativ ist und nicht in irgendeiner Weise als einzuschränkend zu verstehen ist. Andere Aspekte, erfindungsgemäße Merkmale und Vorteile der Vorrichtungen und/oder der hierin beschriebenen Verfahren werden in der nicht einschränkenden detaillierten Beschreibung ersichtlich, wie hier dargelegt ist.The foregoing is a summary and thus necessarily includes simplifications, generalizations and omissions of details. Thus, those skilled in the art will recognize that the summary is illustrative only and is not to be construed in any way as limiting. Other aspects, features of the invention, and advantages of the apparatus and / or methods described herein will become apparent in the non-limiting detailed description, as set forth herein.

Figurenlistelist of figures

  • 1 ist ein Diagramm, das ein System 100 zur Messung von Strukturen eines Halbleiter-Wafers unter Kapillarkondensation darstellt. 1 is a diagram that is a system 100 for measuring structures of a semiconductor wafer under capillary condensation represents.
  • 2 ist ein Diagramm einer Ausführungsform eines Dampfinjektionssystems 120 des Systems 100. 2 Fig. 10 is a diagram of an embodiment of a steam injection system 120 of the system 100 ,
  • 3 ist ein Diagramm einer anderen Ausführungsform eines Dampfinjektionssystem 120 des Systems 100. 3 Fig. 10 is a diagram of another embodiment of a steam injection system 120 of the system 100 ,
  • 4 zeigt eine Tabelle 127, einschließlich der Verdampfungsenthalpie, ΔH für Wasser, Toluol und Ethanol. Zusätzlich veranschaulicht Tabelle 127 den Unterschied zwischen einer Wafertemperatur und einer Temperatur eines Bads von flüssigem Füllmaterial, um eine relative Sättigung des Füllmaterials von 0,9 auf dem Wafer zu erzielen. 4 shows a table 127 , including the enthalpy of vaporization, AH for water, toluene and ethanol. Additionally illustrated table 127 the difference between a wafer temperature and a temperature of a bath of liquid filler to achieve a relative saturation of the filler of 0.9 on the wafer.
  • 5 stellt einen Plot 128 des Partialdrucks von Wasser als Funktion der Konzentration von Salzsäure im Wasserbad dar. 5 makes a plot 128 the partial pressure of water as a function of the concentration of hydrochloric acid in a water bath.
  • 6 zeigt einen Plot 135 der Dispersionseigenschaften von deionisiertem Wasser als Funktion der Wellenlänge. 6 shows a plot 135 the dispersion properties of deionized water as a function of wavelength.
  • 7 zeigt eine Tabelle 129, die das molare Volumen und die Oberflächenspannung in Verbindung mit Wasser, Toluol und Ethanol veranschaulicht. 7 shows a table 129 , which illustrates the molar volume and surface tension in conjunction with water, toluene and ethanol.
  • 8 zeigt einen Plot 172, der den maximalen Durchmesser eines zylindrischen Lochs zeigt, das durch Kapillarkondensation bei unterschiedlichen Partialdrücken in Übereinstimmung mit der Kelvin-Gleichung für Wasser, Ethanol und Toluol als Füllmaterialien gefüllt werden kann. 8th shows a plot 172 which shows the maximum diameter of a cylindrical hole that can be filled by capillary condensation at different partial pressures in accordance with the Kelvin equation for water, ethanol and toluene as fillers.
  • 9 zeigt einen Plot 160, der den maximalen Durchmesser eines langen, grabenartige Merkmals darstellt, das durch Kapillarkondensation bei unterschiedlichen Partialdrücken in Übereinstimmung mit der Kelvin-Gleichung für Wasser, Ethanol und Toluol als Füllmaterialien gefüllt werden kann. 9 shows a plot 160 , which represents the maximum diameter of a long, trench-like feature that can be filled by capillary condensation at different partial pressures in accordance with the Kelvin equation for water, ethanol and toluene as fillers.
  • 10 veranschaulicht ein ungefülltes Metrologieziel aus Linien und Abständen, das eine periodische, zweidimensionale, Resist-Gitterstruktur ist, die auf einem Substrat hergestellt wurde. 10 Figure 12 illustrates an unfilled metrology objective of lines and distances, which is a periodic, two-dimensional, resist grating structure fabricated on a substrate.
  • 11 zeigt das Metrologieziel aus Linien und Abständen aus 10, das mit einem Füllmaterial gefüllt ist. 11 shows the metrology goal of lines and distances 10 filled with a filling material.
  • 12A veranschaulicht ein ungefülltes Metrologieziel, das mehrere Schichten umfasst und einschließlich eine oberste Schicht, die ein zylindrisches Kontaktloch aufweist. 12A FIG. 3 illustrates an unfilled metrology target that includes multiple layers and includes an uppermost layer that has a cylindrical via. FIG.
  • 12B veranschaulicht das Metrologieziel aus 10A, wobei das zylindrische Kontaktloch mit einem Füllmaterial gefüllt ist. 12B illustrates the metrology goal 10A wherein the cylindrical contact hole is filled with a filling material.
  • 13 zeigt einen Vergleich der Messergebnisse ohne die Füllung der Formen und der Messergebnisse mit einem Mehrzielmodell unter Verwendung der gesammelten Daten mit und ohne Formfüllung für eine Vielzahl von Parametern des Metrologieziels aus 10 A. 13 FIG. 12 shows a comparison of the measurement results without the filling of the shapes and the measurement results with a multi-target model using the collected data with and without mold filling for a plurality of parameters of the metrology target 10 A ,
  • 14 veranschaulicht ein Verfahren 200 zur Messung von Strukturen, die in einem Beispiel der kapillaren Kondensation unterliegen. 14 illustrates a method 200 for measuring structures which in one example are subject to capillary condensation.
  • 15 zeigt ein Diagramm 210 der relativen Feuchte, RH, für verschiedene Kombinationen von Strömungen F1 und F2 , wie dies mit Bezug auf Gleichung (1) definiert ist. 15 shows a diagram 210 relative humidity, RH, for different combinations of flows F 1 and F 2 as defined with reference to equation (1).
  • 16 stellt einen Plot 220 des spektroskopischen Ellipsometrie-Parameters, a, für die Messung der gleichen Struktur im ungefüllten und gefüllten Zustand dar. 16 makes a plot 220 the spectroscopic ellipsometry parameter, a , for the measurement of the same structure in the unfilled and filled state.
  • 17 zeigt einen Plot 230 der spektralen Differenz zwischen den Messungen der spektroskopischen Ellipsometrie aus 16. 17 shows a plot 230 the spectral difference between the measurements of spectroscopic ellipsometry 16 ,
  • 18 stellt einen Plot 240 des spektroskopischen Ellipsometrie-Parameters, β, für die Messung der gleichen Struktur im ungefüllten und gefüllten Zustand dar. 18 makes a plot 240 the spectroscopic ellipsometry parameter, β , for the measurement of the same structure in the unfilled and filled state.
  • 19 zeigt einen Plot 250 der spektralen Differenz zwischen den Messungen der spektroskopischen Ellipsometrie aus 18. 19 shows a plot 250 the spectral difference between the measurements of spectroscopic ellipsometry 18 ,

Detaillierte BeschreibungDetailed description

Es wird nun im Detail auf Beispiele des Standes der Technik und einige Ausführungsformen der Erfindung Bezug genommen, von denen Beispiele in den beigefügten Zeichnungen dargestellt sind.Reference will now be made in detail to examples of the prior art and some embodiments of the invention, examples of which are illustrated in the accompanying drawings.

Verfahren und Systeme zum Durchführen von optischen Messungen geometrischer Strukturen, die mit einem Kondensat durch einen kapillaren Kondensationsprozess gefüllt sind, werden hier präsentiert. Modellbasierte Messungen werden mit einem angereicherten Datensatz durchgeführt, der auch Messsignale umfasst, die von einem Metrologieziel von mit einem Kondensat gefüllten geometrischen Merkmalen stammen. Dies reduziert die Parameterkorrelation unter fließenden Messparameter und verbessert die Messgenauigkeit. Somit können hinreichend genaue modellbasierte Messergebnisse erhalten werden, und dies oft mit reduziertem Rechenaufwand.Methods and systems for performing optical measurements of geometric structures filled with condensate through a capillary condensation process are presented herein. Model-based measurements are performed on an enriched data set that also includes measurement signals derived from a metrology target of condensate-filled geometric features. This reduces the parameter correlation under flowing measurement parameters and improves the measurement accuracy. Thus, sufficiently accurate model-based measurement results can be obtained, often at a reduced computational cost.

Die Messungen werden durchgeführt, während die lokale Umgebung um das zu vermessende Metrologieziel mit einem Strom von Spülgas behandelt wird, das eine geregelte Menge an Füllmaterial enthält. Ein Teil des Füllmaterials (d.h. das Kondensat) kondensiert auf den zu vermessenden Strukturen und füllt Öffnungen in den strukturellen Merkmalen, Öffnungen zwischen strukturellen Merkmalen, etc. Das Vorhandensein des Kondensats ändert die optischen Eigenschaften der zu vermessenden Struktur im Vergleich zu einem Messszenario, bei dem das Spülgas kein Füllmaterial enthält.The measurements are made while treating the local environment around the metrology target to be measured with a stream of purge gas containing a controlled amount of filler. Part of the filling material (ie the condensate) condenses on the structures to be measured and fills openings in the structural features, openings between structural features, etc. The presence of the condensate alters the optical properties of the structure to be measured in comparison to a measurement scenario in which the purge gas contains no filler.

In einigen Beispielen werden mehrere Messungen des Messzieles bei verschiedenen Zuständen der Kondensation durchgeführt. Mit anderen Worten entspricht jede Messung einer unterschiedlichen Menge an Kondensat, die auf den zu vermessenden Strukturen kondensiert ist. Durch das Sammeln von einer Messsignalinformation, die mit einem Metrologieziel verbunden ist und mit unterschiedlichen Mengen an Kondensat gefüllte geometrische Merkmale besitzt, werden modellbasierte Messungen mit einer angereicherten Menge von Messdaten durchgeführt.In some examples, multiple measurements of the measurement target are performed at different states of condensation. In other words, each measurement corresponds to a different amount of condensate condensed on the structures to be measured. By collecting measurement signal information associated with a metrology target and having geometric features filled with different amounts of condensate, model-based measurements are performed on an enriched set of measurement data.

In einem Beispiel werden Messdaten gesammelt, wenn eine Struktur ungefüllt ist und zusätzliche Messdaten werden gesammelt, wenn die gleiche Struktur durch Kapillarkondensation gefüllt ist. Die gesammelten Daten werden in einer auf einem Mehrfachzielmodell basierenden Messung kombiniert, um den Wert eines oder mehrerer Parameter von Interesse mit reduzierter Parameterkorrelation und verbesserter Messleistung abzuschätzen.In one example, measurement data is collected when a structure is unfilled and additional measurement data is collected when the same structure is filled by capillary condensation. The collected data is combined in a multi-target model based measurement to estimate the value of one or more parameters of interest with reduced parameter correlation and improved measurement performance.

1 stellt ein System 100 zur Messung von Eigenschaften eines Halbleiterwafers dar. Wie in 1 gezeigt, kann das System 100 verwendet werden, um spektroskopische Ellipsometrie-Messungen von einer oder mehreren auf einem Halbleiterwafer 112 angeordneten Strukturen 114 durchzuführen, der sich auf einem Wafer-Positioniersystem 110 befindet. Gemäß dieser Ausführungsform kann das System 100 ein spektroskopisches Ellipsometer 101 umfassen, das mit einem Beleuchtungsgerät 102 und einem Spektrometer 104 ausgestattet ist. Das Beleuchtungsgerät 102 des Systems 100 ist derart konfiguriert, dass es eine Beleuchtung eines ausgewählten Wellenlängenbereichs (beispielsweise 100 - 2500 nm) auf die auf der Oberfläche des Halbleiterwafers 112 angeordnete Struktur 114 richtet. Ebenso ist das Spektrometer 104 derart konfiguriert, dass es Licht von der Oberfläche des Halbleiterwafers 112 empfängt. Es wird weiter angemerkt, dass das von dem Beleuchtungsgerät 102 ausgehende Licht polarisiert ist und einen Generator 107 zur Erzeugung eines Polarisationszustands eines polarisierten Beleuchtungsstrahls 106 verwendet. Die von der auf dem Wafer 112 angeordneten Struktur 114 reflektierte Strahlung wird durch einen Analysator 109 für den Polarisationszustand und das Spektrometer 104 geleitet. Die Strahlung, die von dem Spektrometer 104 mit dem Sammelstrahl 108 empfangen wird, wird in Bezug auf den Polarisationszustand analysiert, um eine Spektralanalyse der durch den Analysator geleiteten Strahlung zu ermöglichen. Die erfassten Spektren 111 werden dem Computersystem 116 zur Analyse der Struktur 114 übergeben. 1 represents a system 100 for measuring properties of a semiconductor wafer. As in 1 shown, the system can 100 used to perform spectroscopic ellipsometry measurements of one or more on a semiconductor wafer 112 arranged structures 114 perform on a wafer positioning system 110 located. According to this embodiment, the system 100 a spectroscopic ellipsometer 101 include that with a lighting device 102 and a spectrometer 104 Is provided. The lighting device 102 of the system 100 is configured to illuminate a selected wavelength range (eg, 100 - 2500 nm) to those on the surface of the semiconductor wafer 112 arranged structure 114 directed. Likewise, the spectrometer 104 configured to receive light from the surface of the semiconductor wafer 112 receives. It is further noted that that of the lighting device 102 outgoing light is polarized and a generator 107 for generating a polarization state of a polarized illumination beam 106 used. The one on the wafer 112 arranged structure 114 reflected radiation is transmitted through an analyzer 109 for the polarization state and the spectrometer 104 directed. The radiation emitted by the spectrometer 104 with the collecting stream 108 is analyzed with respect to the state of polarization to allow spectral analysis of the radiation passed through the analyzer. The recorded spectra 111 become the computer system 116 to analyze the structure 114 to hand over.

Das Computersystem 130 ist derart konfiguriert, dass es mit einer Messung verbundene Messdaten 111 (wie z.B. der kritischen Dimension, der Schichtdicke, der Zusammensetzung, des Prozesses, etc.) der durch Kapillarkondensation gefüllten Struktur 114 der Probe 112 empfängt. Gemäß einem Beispiel umfassen die Messdaten 111 ein Indiz der mit dem Messsystem 100 gemessenen spektralen Antwort der Probe, das auf der Grundlage eines oder mehrerer Prozesse der Probenahme des Spektrometers 104 basiert. In einigen Ausführungsformen ist das Computersystem 130 ferner derart konfiguriert, dass Probenparameterwerte der Struktur 114 aus den Messdaten 111 bestimmt werden. Gemäß einem Beispiel ist das Computersystem 130 derart konfiguriert, dass es unter Verwendung von „Real Time Critical Dimensioning“ (RTCD) auf Modellparameter in Echtzeit greift oder es kann auf Bibliotheken von vorab berechneten Modellen zugreifen, um einen Wert von zumindest einem mit der Zielstruktur 114 verbundenen Parameter von Interesse zu bestimmen. In einigen Ausführungsformen werden die abgeschätzten Werte des einen oder der mehreren Parameter von Interesse in einem Speicher abgelegt (wie z.B. Speicher 132). In der in 1 dargestellten Ausführungsform werden die abgeschätzten Werte 115 des einen oder der mehreren Parameter von Interesse an ein externes System (nicht dargestellt) übermittelt.The computer system 130 is configured to be measurement data associated with a measurement 111 (such as the critical dimension, layer thickness, composition, process, etc.) of the capillary condensation filled structure 114 the sample 112 receives. According to one example, the measurement data includes 111 an indication of with the measuring system 100 measured spectral response of the sample, based on one or more processes of sampling the spectrometer 104 based. In some embodiments, the computer system is 130 further configured such that sample parameter values of the structure 114 from the measured data 111 be determined. As an example, the computer system is 130 configured to access model parameters in real-time using Real Time Critical Dimensioning (RTCD), or to access libraries of pre-computed models to obtain a value of at least one with the target structure 114 associated parameters of interest. In some embodiments, the estimated values of the one or more parameters of interest are stored in a memory (such as memory 132 ). In the in 1 The illustrated embodiment will be the estimated values 115 of the one or more parameters of interest to an external system (not shown).

Im Allgemeinen ist die Ellipsometrie eine indirekte Methode zur Messung physikalischer Eigenschaften der zu vermessenden Probe. In den meisten Fällen können die Roh-Messsignale (wie z.B. αmeas und βmeas) nicht direkt verwendet werden, um die physikalischen Eigenschaften der Probe zu bestimmen. Das nominale Messverfahren umfasst die Parametrisierung der Struktur (wie z.B. Schichtdicken, kritische Dimensionen, Materialeigenschaften, etc.) und der Maschine (wie z.B. Wellenlängen, Einfallswinkel, Polarisationswinkel, etc.). Ein Messmodell wird erstellt, das versucht die Messwerte (wie z.B. αmeas und βmeas) vorherzusagen. Wie in den Gleichungen (1) und (2) dargestellt, enthält das Modell der Maschine zugeordnete Parameter (PMaschine) und der Probe zugeordnete Parameter (PProbe). α M o d e l l = f ( P M a s c h i n e , P P r o b e )

Figure DE112017002298T5_0001
β M o d e l l = g ( P M a s c h i n e , P P r o b e )
Figure DE112017002298T5_0002
In general, ellipsometry is an indirect method for measuring physical properties of the sample to be measured. In most cases, the raw measurement signals (such as α meas and β meas ) can not be used directly to determine the physical properties of the sample. The nominal measurement method includes the parameterization of the structure (such as layer thicknesses, critical dimensions, material properties, etc.) and the machine (such as wavelengths, angles of incidence, polarization angles, etc.). A measurement model is created, which tries to predict the measured values (such as α meas and β meas ). As shown in equations (1) and (2), the model includes parameters associated with the machine (P machine ) and parameters associated with the sample (P sample ). α M O d e l l = f ( P M a s c H i n e . P P r O b e )
Figure DE112017002298T5_0001
β M O d e l l = G ( P M a s c H i n e . P P r O b e )
Figure DE112017002298T5_0002

Die Maschinenparameter sind Parameter, die zur Charakterisierung der Metrologiemaschine verwendet werden (wie z.B. Ellipsometer 101). Exemplarische Maschinenparameter umfassen den Einfallswinkel (AOI), den Analysatorwinkel (AO), den Polarisatorwinkel (PO), die Beleuchtungswellenlänge, die numerische Apertur (NA), den Kompensator oder die Verzögerungsplatte (falls vorhanden), usw. Probenparameter sind Parameter, die verwendet werden, um die Probe zu charakterisieren (wie z.B. Probe 112 mit Strukturen 114). Für eine dünne Schicht als Probe umfassen beispielhafte Probenparameter den Brechungsindex, den dielektrischen Funktionstensor, die Nennschichtdicke aller Schichten, die Schichtabfolge, etc. Bei einer CD-Probe (kritische Dimension) umfassen beispielhafte Probenparameter geometrische Parameterwerte, die den verschiedenen Schichten zugeordnet sind, sowie die Brechungsindizes, die den verschiedenen Schichten zugeordnet sind, usw. Für Messzwecke werden die Maschinenparameter als bekannte und feste Parameter behandelt, und einer oder mehrere der Probenparameter werden als unbekannte und fließende Parameter behandelt.The machine parameters are parameters that are used to characterize the metrology machine (such as ellipsometer 101 ). Exemplary machine parameters include the angle of incidence (AOI), analyzer angle (A O ), polarizer angle (P O ), illumination wavelength, numerical aperture (NA), compensator or retardation plate (if present), etc. Sample parameters are parameters that used to characterize the sample (such as sample 112 with structures 114 ). For a thin layer as a sample, exemplary sample parameters include the refractive index, the dielectric function tensor, the nominal layer thickness of all layers, the layer sequence, etc. For a CD (Critical Dimension) sample, exemplary sample parameters include geometric parameter values associated with the various layers, as well as For measurement purposes, the machine parameters are treated as known and fixed parameters, and one or more of the sample parameters are treated as unknown and floating parameters.

In einigen Beispielen werden die fließenden Parameter durch ein iteratives Verfahren (wie z.B. Regression) gelöst, das die beste Übereinstimmung zwischen den theoretischen Vorhersagen und den experimentellen Daten erzeugt. Die unbekannten Probeparameter, PProbe, werden variiert und die Modellausgangswerte (wie z.B. αModell und βModell) werden berechnet, bis ein Satz von Musterparameterwerten bestimmt wird, der in einer engen Übereinstimmung zwischen den Modellausgangswerten und den experimentellen Messwerten (wie z.B. αmeas und βmeas) resultiert. In einer modellbasierten Messanwendung, wie z.B. der spektroskopischen Ellipsometrie auf einer CD-Probe, wird ein Regressionsverfahren (wie z.B. gewöhnliche Regression der kleinsten Quadrate) verwendet, um Probenparameterwerte zu identifizieren, die die Unterschiede zwischen den Modellausgangswerten und die experimentell gemessen Werte für einen festen Satz von Werten der Maschinenparameter minimieren.In some examples, the flowing parameters are solved by an iterative method (such as regression) that is the best Match between the theoretical predictions and the experimental data generated. The unknown sample parameters, P sample , are varied and the model output values (such as α model and β model ) are calculated until a set of pattern parameter values is determined which is in close agreement between the model output values and the experimental measurements (such as α meas and β meas ) results. In a model-based measurement application, such as spectroscopic ellipsometry on a CD sample, a regression method (such as ordinary least squares regression) is used to identify sample parameter values representing the differences between the model output values and the experimentally measured values for a fixed set minimize values of machine parameters.

In einigen Beispielen werden die fließenden Parameter durch eine Suche über eine Bibliothek von vorab berechneten Lösungen aufgelöst, um die beste Übereinstimmung zu finden. In einer modellbasierten Messanwendung, wie z.B. die spektroskopische Ellipsometrie auf einer CD Probe, wird ein Suchverfahren in einer Bibliothek verwendet, um Probenparameterwerte zu identifizieren, die die Unterschiede zwischen den vorher berechneten Ausgangswerten und den experimentell gemessenen Werten für einen festen Satz von Werten der Maschinenparameter minimieren.In some examples, the flowing parameters are resolved by searching through a library of pre-computed solutions to find the best match. In a model-based measurement application, such as spectroscopic ellipsometry on a CD sample, a library search method is used to identify sample parameter values that minimize the differences between the previously calculated baseline values and the experimentally measured values for a fixed set of machine parameter values.

In einigen anderen Beispielen wird eine modellbasierte Bibliothek-Regression oder ein Signalantwort-Metrologiemodell verwendet, um Parameter von Interesse abzuschätzen.In some other examples, a model-based library regression or signal response metrology model is used to estimate parameters of interest.

In einer modellbasierten Messanwendung sind oft vereinfachende Annahmen erforderlich, um einen ausreichenden Durchsatz aufrecht zu erhalten. In einigen Beispielen muss die Trunkierungsordnung einer „Rrigorous Coupled Wave Analysis“ (RCWA) reduziert werden, um die Rechenzeit zu minimieren. In einem anderen Beispiel muss die Komplexität der Bibliotheksfunktionen reduziert werden, um die Suchzeit zu minimieren. In einem weiteren Beispiel wird die Anzahl von fließenden Parametern reduziert, indem bestimmte Parameterwerte fixiert werden. In einigen Beispielen führen diese vereinfachenden Annahmen zu nicht akzeptablen Fehlern bei der Schätzung der Werte eines oder mehrerer Parameter von Interesse (wie z.B. Parameter zur kritischen Dimension, Parameter zum Overlay, etc.). Bei der Ausführung von Messungen von Strukturen, die der Kapillarkondensation unterliegen, wie hierin beschrieben ist, kann das modellbasierte Messmodell mit reduzierten Parameterkorrelationen und einer erhöhten Messgenauigkeit gelöst werden.In a model-based measurement application, simplifying assumptions are often required to maintain adequate throughput. In some examples, the truncation order of a Rigorous Coupled Wave Analysis (RCWA) must be reduced to minimize computation time. In another example, the complexity of the library functions must be reduced to minimize the search time. In another example, the number of flowing parameters is reduced by fixing certain parameter values. In some instances, these simplifying assumptions lead to unacceptable errors in estimating the values of one or more parameters of interest (such as critical dimension parameters, overlay parameters, etc.). In performing measurements of structures subject to capillary condensation, as described herein, the model-based measurement model can be solved with reduced parameter correlations and increased measurement accuracy.

Wie in 1 dargestellt, umfasst das Metrologiesystem 100 ein Dampfinjektionssystem 120, das derart gestaltet ist, dass es eine gasförmige Strömung 126 zu der Struktur 114 hin während der Messung bereitstellt. In einer Ausführungsform enthält die gasförmige Strömung 126 ein Spülgas und ein Füllmaterial, das im Spülgas verdampft vorliegt. Wenn die gasförmige Strömung in Kontakt mit der Struktur 114 kommt, erfolgt eine Kondensation eines Teils des Füllmaterials (d.h. das Kondensat) auf der zu vermessenden Struktur 114. Das Kondensat füllt zumindest einen Teil eines Strukturelements oder mehrerer Strukturelemente der Struktur 114. Die Anwesenheit des Kondensats ändert die optischen Eigenschaften der zu messenden Struktur.As in 1 shown comprises the metrology system 100 a steam injection system 120 which is designed to be a gaseous flow 126 to the structure 114 during the measurement. In one embodiment, the gaseous flow contains 126 a purge gas and a filler which is vaporized in the purge gas. When the gaseous flow is in contact with the structure 114 occurs, a condensation of a part of the filling material (ie the condensate) takes place on the structure to be measured 114 , The condensate fills at least part of a structural element or a plurality of structural elements of the structure 114 , The presence of the condensate changes the optical properties of the structure to be measured.

In einigen Ausführungsformen wird eine Messung durchgeführt, wenn der Spülgasstrom kein Füllmaterial enthält (wie z.B. reines Stickstoffgas oder saubere, trockene Luft) und eine andere Messung wird durchgeführt, wenn der Spülgasstrom ein Füllmaterial beinhaltet, so dass das Kondensat vollständig die Öffnungen zwischen den zu vermessenden strukturellen Merkmalen füllt. Die aus diesen zwei Messungen gesammelten Messdaten werden an das Computersystem 130 übergeben und eine Abschätzung eines oder mehrerer Strukturparameter von Interesse wird auf Basis der beiden Sätze von Messdaten gemacht.In some embodiments, a measurement is made when the purge gas stream does not contain any filler material (such as pure nitrogen gas or clean, dry air) and another measurement is made when the purge gas stream contains a filler material so that the condensate completely closes the openings between them fills structural features. The measurement data collected from these two measurements are sent to the computer system 130 and an estimate of one or more structural parameters of interest is made based on the two sets of measurement data.

In einigen Ausführungsformen werden eine Reihe von Messungen unter verschiedenen Kondensationsbedingungen durchgeführt, so dass das Ausmaß an Kondensation auf den zu vermessenden Strukturmerkmalen für jede Messung unterschiedlich ist. Die Messdaten der Messreihe werden gesammelt und an das Computersystem 130 kommuniziert, um eine Abschätzung eines oder mehrerer Strukturparameter von Interesse auf Basis der gesammelten Messdaten vorzunehmen.In some embodiments, a series of measurements are made under different condensation conditions, such that the extent of condensation on the structural features to be measured is different for each measurement. The measurement data of the measurement series are collected and sent to the computer system 130 communicates to make an estimate of one or more structural parameters of interest based on the collected measurement data.

Wie in 1 dargestellt, wird eine Menge an Füllmaterial 123 aus einer Quelle 121 für Füllmaterial zu dem Dampfinjektionssystem 120 transportiert. Darüber hinaus wird ein Strom des Spülgases 124 von einer Quelle 122 für Spülgas zu dem Dampfinjektionssystem transportiert. Das Dampfinjektionssystem 120 verursacht die Verdampfung des Füllmaterials in den Strom des Spülgases, um die gasförmige Strömung 126 zu erzeugen, die auf die zu vermessenden Strukturen 114 gerichtet ist. Bei der in 1 dargestellten Ausführungsform, werden der Strom des Spülgases und die Menge des Füllmaterials, das in den Strom des Spülgases verdampft wird, durch Kommandosignale 125 gesteuert, die vom Computersystem 130 an das Dampfinjektionssystem 120 kommuniziert werden. Somit steuern die Kommandosignale 125 die gewünschte Zusammensetzung der gasförmigen Strömung 126. Wie in 1 dargestellt, passiert die gasförmige Strömung 126 durch die Düse 105, die die gasförmige Strömung 126 zu dem gewünschten Ort auf dem Wafer 110 mit den geeigneten Strömungseigenschaften lenkt. In einigen Ausführungsformen ist die Düse 105 in nächster Nähe zu dem Messbereich angeordnet, um das Füllmaterial in einen den die zu vermessenden Strukturen umfassenden Bereich zu übertragen. Nach der Messung verdampft das kondensierte Füllmaterial in einen allgemeinen, auf Waferebene vorgesehenen Strom von Spülgas und wird vom Wafer wegtransportiert. In einigen Beispielen ist die gasförmige Strömung 126 zum Wafer 112 hin mit einer Strömungsrate zwischen einem und zweitausend Standardkubikzentimeter pro Minute (SCCM) versehen. Allerdings kann im Allgemeinen jede geeignete Strömungsrate im Rahmen dieses Patentdokuments in Betracht gezogen werden.As in 1 shown, is a lot of filler 123 from a source 121 for filler material to the steam injection system 120 transported. In addition, a stream of purge gas 124 from a source 122 transported for purge gas to the steam injection system. The steam injection system 120 causes the evaporation of the filling material in the flow of purge gas to the gaseous flow 126 to generate on the structures to be measured 114 is directed. At the in 1 In the illustrated embodiment, the flow of purge gas and the amount of filler vaporized into the purge gas flow are commanded 125 controlled by the computer system 130 to the steam injection system 120 be communicated. Thus control the command signals 125 the desired composition of the gaseous flow 126 , As in 1 shown, the gaseous flow happens 126 through the nozzle 105 that the gaseous flow 126 to the desired location on the wafer 110 with the proper flow characteristics. In some embodiments, the nozzle is 105 arranged in close proximity to the measuring area in order to transfer the filling material into an area encompassing the structures to be measured. After measurement, the condensed fill material evaporates into a general wafer-level stream of purge gas and is transported away from the wafer. In some examples, the gaseous flow is 126 to the wafer 112 provided with a flow rate between one and two thousand standard cubic centimeters per minute (SCCM). However, any suitable flow rate may generally be considered within the scope of this patent document.

1 zeigt eine gasförmige Strömung 126, die lokal auf das zu vermessende Metrologieziel bereitgestellt ist. Allerdings kann im Allgemeinen die gasförmige Strömung 126 über den gesamten Wafer, über einen beliebigen Teil des Strahlengangs von der Beleuchtungsquelle zum Detektor, oder jede Kombination davon, bereitgestellt werden. Verschiedene Beispiele für die Bereitstellung eines Stroms von Spülgas über den Wafer und über den Strahlengang zwischen der Beleuchtungsquelle und dem Detektor sind im US-Patent 7,755,764 , von Hidong Kwak et al., erteilt am 13. Juli 2010, deren Gegenstand hierin durch Bezugnahme in seiner Gesamtheit aufgenommen ist, aufgeführt. 1 shows a gaseous flow 126 which is provided locally to the metrology target to be measured. However, in general, the gaseous flow can 126 over the entire wafer, over any part of the beam path from the illumination source to the detector, or any combination thereof. Various examples of providing a flow of purge gas over the wafer and over the beam path between the illumination source and the detector are shown in U.S. Pat U.S. Patent 7,755,764 , by Hidong Kwak et al., issued July 13, 2010, the subject matter of which is incorporated herein by reference in its entirety.

Wie in 1 dargestellt ist, verursacht das Dampfinjektionssystem 120 das Verdampfen des Füllmaterials 123 in einen Strom 124 aus Spülgas, um die gasförmige Strömung 126 für eine zu vermessende Struktur zur Verfügung zu stellen. Allerdings kann im Allgemeinen das Dampfinjektionssystem 120 die Verdampfung von zwei oder mehr unterschiedlichen Füllmaterialien in den Strom des Spülgases steuern, um eine gasförmige Strömung auf die zu vermessende Struktur 114 hin zu erzeugen. Auf diese Weise liefert das Dampfinjektionssystem 120 eine gasförmige Strömung 126 zum Wafer 112, die geregelte Mengen verschiedener Füllmaterialien enthält.As in 1 is shown causes the steam injection system 120 the evaporation of the filling material 123 into a stream 124 from purge gas to the gaseous flow 126 for a structure to be measured. However, in general, the steam injection system can 120 control the evaporation of two or more different fillers in the flow of purge gas to provide a gaseous flow to the structure to be measured 114 to create. In this way the steam injection system delivers 120 a gaseous flow 126 to the wafer 112 containing regulated amounts of various fillers.

Die Ausführungsform des Systems 100 in 1 kann weiterhin, wie hier beschrieben konfiguriert sein. Zusätzlich kann das System 100 derart konfiguriert sein, dass ein beliebiger anderer Block oder beliebig andere Blöcke, der hierin beschriebenen Ausführungsform oder Ausführungsformen des Verfahrens ausgeführt werden können.The embodiment of the system 100 in 1 can continue to be configured as described here. In addition, the system can 100 be configured such that any other block or blocks, the embodiment described herein or embodiments of the method can be performed.

2 ist eine Darstellung des Dampfinjektionssystems 120 gemäß einer Ausführungsform. In dieser Ausführungsform wird die Menge des Füllmaterials in der auf den zu vermessenden Wafer 112 zu richtenden gasförmigen Strömung 126 geregelt (d.h. der Partialdruck des Kondensats). Durch die Regulierung des Partialdrucks des Füllmaterials werden die durch Kapillarkondensation gefüllten strukturellen Größen gesteuert. 2 is an illustration of the steam injection system 120 according to one embodiment. In this embodiment, the amount of filling material in the wafer to be measured on the 112 to be directed gaseous flow 126 regulated (ie the partial pressure of the condensate). By regulating the partial pressure of the filling material, the structural sizes filled by capillary condensation are controlled.

In der Ausführungsform aus 2 ist der Partialdruck des in den Strom des Spülgases (wie z.B. Stickstoffgas, saubere und trockene Luft, etc.) verdampften Füllmaterials gleich dem Gleichgewichtsdruck des Füllmaterials über ein Flüssigkeitsbad des Füllmaterials, durch das das Spülgas geblasen wird. Gemäß einem Beispiel ist ein Dampfinjektionssystem nach dem Typ eines Blasdüsenrohrs ein Blasdüsenrohr aus Edelstahl mit einem Fassungsvermögen von 1,2 Litern mit der Modellbezeichnung Z553360, das kommerziell von Sigma-Aldrich, St. Louis, Missouri (USA) erhältlich ist.In the embodiment of 2 the partial pressure of the filler material vaporized in the flow of the purge gas (such as nitrogen gas, clean and dry air, etc.) is equal to the equilibrium pressure of the filler over a liquid bath of the filler through which the purge gas is blown. By way of example, a blowing nozzle tube steam injection system is a 1.2 liter stainless steel nozzle tube model Z553360 commercially available from Sigma-Aldrich, St. Louis, Missouri (USA).

Wie in 2 dargestellt, verläuft ein Teil 146 des Stroms 124 des Spülgases durch einen Massendurchflussregler 148A und ein anderer Teil 145 des Stroms 124 des Spülgases verläuft durch einen Massendurchflussregler 148B. Die Strömungsraten der gasförmigen Ströme 146 und 145 werden über den Status der Massendurchflussregler 148A bzw. 148B gesteuert. Auf diese Weise wird die Menge des Stroms 124 des Spülgases, in den das Füllmaterial verdampft, durch den Massendurchflussregler 148B und die Menge des Stroms 124 des Spülgases, das nicht der Verdampfung unterliegt, durch den Massendurchflussregler 148B gesteuert. In der in 2 dargestellten Ausführungsform, wird ein Kommandosignal 125 vom Computersystem 130 an das Dampfinjektionssystem 130 kommuniziert, wobei das Kommandosignal mehrere Signale 149A-C umfasst. Das Signal 149A enthält einen Hinweis über den gewünschten Status des Massendurchflussreglers 148A. In Antwort darauf passt sich der Massendurchflussregler 148A an den gewünschten Zustand an, und passt somit auch den gewünschten Anteil des Stroms des Spülgases an, in das kein Füllmaterial verdampft wird. Das Signal 149B enthält einen Hinweis über den gewünschten Status des Massendurchflussreglers 148B. In Antwort darauf passt sich der Massendurchflussregler 148B an den gewünschten Zustand an, und passt somit auch den gewünschten Anteil des Stroms des Spülgases an, in das Füllmaterial verdampft wird. Ein Teil 145 des Stroms 124 des Spülgases verläuft durch ein Kontrollventil 142, einen Massendurchflussregler 143 und in das Blasdüsenrohr 140. Im Blasdüsenrohr 140 wird eine Menge des Füllmaterials in den Teil 145 des Stroms 124 des Spülgases verdampft, um eine gasförmige Strömung 147 des Spülgases und des Füllmaterials zu erzeugen. Die gasförmige Strömung 147 wird mit dem Teil 146 des Spülgases kombiniert, der nicht durch das Blasdüsenrohr 140 geflossen ist, um die gasförmige Strömung 126 zu erzeugen.As in 2 shown, runs a part 146 of the electricity 124 of purge gas through a mass flow controller 148A and another part 145 of the electricity 124 the purge gas passes through a mass flow controller 148B , The flow rates of the gaseous streams 146 and 145 be about the status of the mass flow controller 148A respectively. 148B controlled. In this way, the amount of electricity 124 of the purge gas into which the filler vaporizes through the mass flow controller 148B and the amount of electricity 124 the purge gas, which is not subject to evaporation, through the mass flow controller 148B controlled. In the in 2 illustrated embodiment, a command signal 125 from the computer system 130 to the steam injection system 130 communicates, with the command signal multiple signals 149A-C includes. The signal 149A contains an indication of the desired status of the mass flow controller 148A , In response, the mass flow controller adapts 148A to the desired state, and thus also adapts the desired proportion of the flow of the purge gas, in which no filler is evaporated. The signal 149B contains an indication of the desired status of the mass flow controller 148B , In response, the mass flow controller adapts 148B to the desired state, and thus also adapts the desired proportion of the flow of purge gas, is evaporated in the filler. A part 145 of the electricity 124 the purge gas passes through a control valve 142 , a mass flow controller 143 and into the tuyere pipe 140 , In the blast nozzle tube 140 is a lot of the filler in the part 145 of the electricity 124 the purge gas evaporates to a gaseous flow 147 to generate the purge gas and the filling material. The gaseous flow 147 is with the part 146 of the purge gas that does not pass through the tuyere pipe 140 has flowed to the gaseous flow 126 to create.

In einigen Ausführungsformen werden die Massendurchflussregler 149A und 149B so gesteuert, dass die Gesamtheit des Stroms 124 des Spülgases entweder durch das Blasdüsenrohr 140 fließt oder das Blasdüsenrohr 140 vollständig umgeht. Auf diese Weise ist die gasförmige Strömung 126 entweder ein Strom 124 von trockenem Spülgas mit keinem Partialdruck des Füllmaterials oder der gesamte Strom 124 des Spülgases 124 unterliegt der Verdampfung des Füllmaterials.In some embodiments, the mass flow controllers 149A and 149B so controlled that the whole of the stream 124 the purge gas either through the blast nozzle tube 140 flows or the tuyere tube 140 completely bypasses. In this way, the gaseous flow 126 either a stream 124 of dry purge gas with no partial pressure of the filler or the entire stream 124 of purge gas 124 is subject to evaporation of the filling material.

Wenn das Füllmaterial im Blasdüsenrohr 140 verdampft und als gasförmige Strömung 147 weggetragen wird, fließt zusätzliches Füllmaterial 123 von der Quelle 121 für das Füllmaterial in das Blasdüsenrohr 140, um einen konstanten Füllstand zu halten. In einigen Ausführungsformen wird der Füllstand automatisch auf Basis eines Niveau-Sensors und eines Durchflusssteuerungsschemas kontrolliert. In einigen anderen Ausführungsformen wird der Füllstand periodisch durch einen manuellen Füllvorgang gehalten.When the filler material in the blast nozzle tube 140 vaporized and as a gaseous flow 147 carried away, additional filler flows 123 from the source 121 for the filling material in the tuyere pipe 140 to maintain a constant level. In some embodiments, the level is automatically controlled based on a level sensor and a flow control scheme. In some other embodiments, the level is periodically held by a manual fill.

In einer Ausführungsform wird der Grad der Sättigung des verdampften Füllmaterials in der gasförmigen Strömung 126 bei einer Umgebungstemperatur Ta durch Einstellen des Anteils des Stroms 145 des Spülgases, in den Füllmaterial verdampft wird, relativ zu dem Teil des Stroms 146, der nicht Gegenstand der Verdampfung ist, gesteuert. In einer bevorzugten Ausführungsform wird die Temperatur des Füllmaterials im Blasdüsenrohr 140 bei der gleichen Temperatur (beispielsweise die Umgebungstemperatur, Ta) wie der zu vermessende Wafer gehalten. Unter diesen Bedingungen wird die relative Sättigung p0/p des Füllmaterials in der gasförmigen Strömung 126 mittels Gleichung (1) beschrieben, wobei F1 die Strömungsrate der vollständig gesättigten gasförmigen Strömung 147 und F2 die Strömungsrate der ungesättigten gasförmigen Strömung 146 ist. p p 0 = F 1 ( F 1 + F 2 )

Figure DE112017002298T5_0003
In one embodiment, the degree of saturation of the vaporized filler material in the gaseous flow 126 at an ambient temperature T a by adjusting the proportion of the current 145 the purge gas is vaporized into the filler, relative to the part of the stream 146 which is not subject to evaporation, controlled. In a preferred embodiment, the temperature of the filling material in the blowing nozzle tube 140 at the same temperature (for example, the ambient temperature, T a ) as the wafer to be measured. Under these conditions, the relative saturation p 0 / p of the filling material in the gaseous flow 126 by equation (1), where F 1 is the flow rate of the fully saturated gaseous flow 147 and F 2 is the flow rate of the unsaturated gaseous flow 146 is. p p 0 = F 1 ( F 1 + F 2 )
Figure DE112017002298T5_0003

Wie in 2 gezeigt, werden die gasförmigen Ströme 146 und 147 kombiniert, um den gasförmigen Strom 126 zu bilden, der dem zu vermessenden Wafer zur Verfügung gestellt wird. Somit wird der gesamte Strom, der dem zu vermessenden Wafer bereitgestellt wird, durch Kommandosignale 148A und 148B gesteuert, um die Summe von F1 und F2 zu regulieren. Die relative Sättigung des Stroms, der dem zu vermessenden Wafer bereitgestellt wird, wird durch die Kommunikation der Kommandosignale 148A und 148B gesteuert, um das Verhältnis von F1 und F2 zu regulieren.As in 2 shown are the gaseous streams 146 and 147 combined to the gaseous stream 126 to form, which is provided to the wafer to be measured. Thus, all the power provided to the wafer to be measured is provided by command signals 148A and 148B controlled to regulate the sum of F 1 and F 2 . The relative saturation of the current provided to the wafer to be measured is determined by the communication of the command signals 148A and 148B controlled to regulate the ratio of F 1 and F 2 .

15 zeigt ein Diagramm 210 der relativen Feuchte, RH, für verschiedene Kombinationen von Strömungen F1 und F2, wie mit Bezug auf Gleichung (1) definiert. 15 shows a diagram 210 relative humidity, RH, for various combinations of flows F 1 and F 2 as defined with reference to equation (1).

In einer anderen Ausführungsform wird der Sättigungsgrad des verdampften Füllmaterials bei einer Umgebungstemperatur Ta durch kontrolliertes Halten des Flüssigkeitsbades bei einer Temperatur, T, unterhalb der Umgebungstemperatur geregelt. Die Beziehung zwischen Gleichgewichtsdampfdruck, p0, einer reinen Substanz und Temperatur, T, wird durch die Clausius-Clapyron Gleichung bereitgestellt, die durch Gleichung (2) dargestellt ist, wobei ΔH die Verdampfungsenthalpie der reinen Substanz und R die ideale Gaskonstante ist, die 8,31 J/ Mol °K beträgt. d  ln ( p 0 ) d 1 T = Δ H R

Figure DE112017002298T5_0004
In another embodiment, the saturation level of the vaporized filler material is controlled at an ambient temperature T a by controllably maintaining the liquid bath at a temperature, T, below the ambient temperature. The relationship between equilibrium vapor pressure, p 0 , a pure substance and temperature, T, is provided by the Clausius-Clapyron equation represented by equation (2), where ΔH is the enthalpy of vaporization of the pure substance and R is the ideal gas constant, 8 , 31 J / mol ° K. d ln ( p 0 ) d 1 T = - Δ H R
Figure DE112017002298T5_0004

Ausgehend von Gleichung (2), lässt sich die relative Sättigung, p/p0, für ein bei einer Temperatur, T, gesättigtes Füllmaterial durch Gleichung (3) darstellen, wobei die Temperatur, T, kleiner ist als die Umgebungstemperatur, Ta. ln p p 0 = Δ H R ( 1 T a 1 T )

Figure DE112017002298T5_0005
From Equation (2), the relative saturation, p / p o , for a saturated, saturated material at T, can be represented by Equation (3), where the temperature, T, is less than the ambient temperature, T a . ln p p 0 = Δ H R ( 1 T a - 1 T )
Figure DE112017002298T5_0005

4 zeigt eine Tabelle 127, einschließlich der Verdampfungsenthalpie, ΔH, für Wasser, Toluol und Ethanol. Jede dieser Substanzen kann als Füllmaterialien, wie hier beschrieben, geeignet sein. Zusätzlich veranschaulicht Tabelle 127 den Unterschied zwischen der Umgebungstemperatur (d.h. die Temperatur des Wafers) und der Badtemperatur, wenn die Umgebungstemperatur 25 Grad Celsius beträgt und die gewünschte relative Sättigung des Füllmaterials, p/p0 0,9 beträgt. Wie in Tabelle 127 veranschaulicht, kann durch das Halten der Badtemperatur unterhalb der Umgebungstemperatur durch die dargestellten Mengen ein Partialdruck bei 0,9 für jedes der aufgelisteten Füllmaterialien gehalten werden. Es kann sein vorteilhaft sein, wenn jede dieser Substanzen als Füllmaterialien verwendet wird, weil es eine relativ einfache Angelegenheit ist, einen Temperaturunterschied von etwa zwei Grad Celsius zwischen dem Wafer und dem Flüssigkeitsbad des Blasdüsenrohrs 140 zu halten. Bei dieser Ausführungsform ist es möglich, den Sättigungsgrad des verdampften Füllmaterials bei einer Umgebungstemperatur Ta in der gasförmigen Strömung 126 zu steuern, ohne dass ein Strom von trockenem Spülgas 146 mit einem Strom des gesättigten Spülgases 147 kombiniert wird. Mit anderen Worten, der Strom 146 kann auf Null gesetzt werden, und der Sättigungsgrad des verdampften Füllmaterials in gasförmiger Strömung 126 bei einer Umgebungstemperatur Ta wird durch die Temperaturdifferenz zwischen der Temperatur des Blasdüsenrohrs und der Temperatur des Wafers geregelt. In einigen anderen Beispielen werden ein Strom von trockenem Spülgas 146 mit einem Strom von gesättigten Spülgas 147 kombiniert, und der Sättigungsgrad des verdampften Füllmaterials in der gasförmigen Strömung 126 wird bei einer Umgebungstemperatur, Ta, durch eine Kombination aus einer Temperaturdifferenz zwischen der Temperatur des Blasdüsenrohrs und der Temperatur des Wafers und dem Verhältnis der Strömungsrate der gasförmigen Strömung 146 und der gasförmigen Strömung 147 gesteuert. 4 shows a table 127 , including the enthalpy of vaporization, AH , for water, toluene and ethanol. Any of these substances may be useful as fillers as described herein. Additionally illustrated table 127 the difference between the ambient temperature (ie, the temperature of the wafer) and the bath temperature when the ambient temperature is 25 degrees Celsius and the desired relative saturation of the filler, p / p 0 is 0.9. As in table 127 By maintaining the bath temperature below ambient temperature by the illustrated amounts, a partial pressure at 0.9 for each of the listed fillers can be maintained. It may be advantageous if each of these substances is used as fillers because it is a relatively simple matter, a temperature differential of about two degrees Celsius between the wafer and the liquid bath of the blast nozzle tube 140 to keep. In this embodiment, it is possible to increase the degree of saturation of the vaporized filling material at an ambient temperature T a in the gaseous flow 126 to control without a stream of dry purge gas 146 with a stream of saturated purge gas 147 combined. In other words, the stream 146 can be set to zero, and the degree of saturation of the vaporized filler in gaseous flow 126 at an ambient temperature T a is controlled by the temperature difference between the temperature of the tuyere tube and the temperature of the wafer. In some other examples, a stream of dry purge gas 146 with a stream of saturated purge gas 147 combined, and the degree of saturation of the vaporized filler in the gaseous flow 126 is at an ambient temperature, T a , by a combination of a temperature difference between the temperature of the tuyere tube and the temperature of the wafer and the ratio of the flow rate of the gaseous flow 146 and the gaseous flow 147 controlled.

In einigen Ausführungsformen werden die Badtemperatur und die Temperatur des Wafers gemessen und an das Computersystem 130 kommuniziert. Das Computersystem bestimmt eine Differenz zwischen der Temperatur des Wafers und der Badtemperatur und berechnet eine gewünschte Temperatur des Wafers, eine Badtemperatur, oder beides. In einigen Ausführungsformen erzeugt das Computersystem 130 ein Kommandosignal 149C, das für eine gewünschte Badtemperatur des Dampfinjektionssystems 120 bezeichnend ist. Als Antwort passt das Dampfinjektionssystem 120 die Badtemperatur unter Verwendung einer lokalen Heiz- oder Kühleinheit (nicht gezeigt) auf den gewünschten Wert an. In einigen Ausführungsformen erzeugt das Computersystem 130 ein Kommandosignal (nicht dargestellt), das für eine gewünschte Temperatur des Wafers an ein Subsystem (nicht dargestellt) zur Konditionierung des Wafers übergeben wird. Als Reaktion stellt das Subsystem zur Konditionierung des Wafers die Temperatur des Wafers unter Verwendung einer Heiz- oder Kühleinheit (nicht gezeigt) für den Wafer auf den gewünschten Wert ein. In einigen Ausführungsformen kann das Computersystem 130 ein Kommandosignal 113 (dargestellt in 1) erzeugen, das für eine gewünschte Temperatur des Wafers für ein lokales Heizelement 103 für den Wafer bezeichnend ist. Als Reaktion stellt die Heizeinheit 103 die Temperatur des Wafers lokal (d.h. in der unmittelbare Nähe der Messstelle) auf den gewünschten Wert unter Verwendung eines Strahlungs-Heizelements ein.In some embodiments, the bath temperature and the temperature of the wafer are measured and sent to the computer system 130 communicated. The computer system determines a difference between the temperature of the wafer and the bath temperature and calculates a desired temperature of the wafer, a bath temperature, or both. In some embodiments, the computer system generates 130 a command signal 149C for a desired bath temperature of the steam injection system 120 is significant. In response, the steam injection system fits 120 the bath temperature to the desired value using a local heating or cooling unit (not shown). In some embodiments, the computer system generates 130 a command signal (not shown) which, for a desired temperature of the wafer, is transferred to a subsystem (not shown) for conditioning the wafer. In response, the wafer conditioning subsystem adjusts the temperature of the wafer to the desired value using a heating or cooling unit (not shown) for the wafer. In some embodiments, the computer system 130 a command signal 113 (shown in 1 ) for a desired temperature of the wafer for a local heating element 103 is indicative of the wafer. In response, the heating unit provides 103 localize the temperature of the wafer (ie, in the immediate vicinity of the measurement site) to the desired value using a radiant heating element.

In einigen Ausführungsformen wird die Steuerung der Temperaturdifferenz zwischen dem Wafer und dem Bad durch ein Computersystem gesteuert, das mit dem Dampfinjektionssystem 120 assoziiert ist. In diesem Sinne wird die Steuerung der Temperaturdifferenz zwischen dem Wafer und dem Bad durch das Computersystem 130 durch ein nicht einschränkendes Beispiel bereitgestellt. Jede geeignete Steuerungsarchitektur und Temperaturregelung kann im Rahmen dieses Patentdokuments in Betracht gezogen werden.In some embodiments, the control of the temperature difference between the wafer and the bath is controlled by a computer system that uses the steam injection system 120 is associated. In this sense, the control of the temperature difference between the wafer and the bath by the computer system 130 provided by way of non-limiting example. Any suitable control architecture and temperature control may be considered within the scope of this patent document.

3 ist ein Diagramm, des Dampfinjektionssystems 120 in einer weiteren Ausführungsform. Gleich nummerierte Elemente entsprechen denen, die unter Bezugnahme auf 2 beschrieben wurden. 3 is a diagram of the steam injection system 120 in a further embodiment. Like numbered items correspond to those with reference to 2 have been described.

Wie in 3 dargestellt ist, verläuft der Strom des Spülgases 124 durch ein Dreiwegeventil 141. Bei einigen Ausführungsformen proportioniert das Dreiwegeventil 141 einen Teil 145 des Stroms 124 des Spülgases, der durch das Blasdüsenrohr 140 strömt und in einen Teil 146, der nicht durch das Blasdüsenrohr 140 fließt, wobei die Proportionierung auf einer Position des Dreiwegeventils basiert. Auf diese Weise wird die Menge des Stroms des Spülgases 124, in die das Füllmaterial verdampft, durch das Dreiwegeventil 141 kontrolliert. In der in 3 dargestellten Ausführungsform umfasst das vom Computersystem 130 zum Dampfinjektionssystem 120 kommunizierte Kommandosignal 125 mehrere Signale 149C-D. In der in 3 dargestellten Ausführungsform umfasst das Signal 149D eine Anzeige der gewünschten Position des Dreiwegeventils 141. Als Antwort passt das Dreiwegeventil 141 die gewünschte Position an, und somit wird der gewünschte Anteil des Stroms des Spülgases, in welchen Füllmaterial verdampft, eingestellt. Der Teil 145 des Stroms 124 des Spülgases verläuft durch ein Absperrventil 142, einen Massendurchflussregler 143 und in ein Blasdüsenrohr 140. Im Blasdüsenrohr 140 wird eine Menge des Füllmaterials in einen Teil 145 des Stroms 124 des Spülgases verdampft, um eine gasförmige Strömung 147 aus Spülgas und dem Füllmaterial zu erzeugen. Die gasförmige Strömung 147 wird mit dem Teil 146 des Spülgases kombiniert, der nicht durch das Blasdüsenrohr 140 floss, um die gasförmige Strömung 126 zu erzeugen.As in 3 is shown, the flow of purge gas runs 124 through a three-way valve 141 , In some embodiments, the three-way valve proportions 141 a part 145 of the electricity 124 of the purge gas passing through the blast nozzle tube 140 flows and into a part 146 that is not through the tuyere pipe 140 flows, with the proportioning based on a position of the three-way valve. In this way, the amount of flow of purge gas 124 into which the filling material vaporizes, through the three-way valve 141 controlled. In the in 3 illustrated embodiment includes that of the computer system 130 to the steam injection system 120 communicated command signal 125 several signals 149C-D , In the in 3 illustrated embodiment, the signal comprises 149D an indication of the desired position of the three-way valve 141 , In response, the three-way valve fits 141 the desired position, and thus the desired proportion of the flow of the purge gas, in which filler material evaporates set. The part 145 of the electricity 124 the purge gas passes through a shut-off valve 142 , a mass flow controller 143 and into a tuyere pipe 140 , In the blast nozzle tube 140 is a lot of the filler in one part 145 of the electricity 124 the purge gas evaporates to a gaseous flow 147 To produce from purge gas and the filler. The gaseous flow 147 is with the part 146 of the purge gas that does not pass through the tuyere pipe 140 flowed to the gaseous flow 126 to create.

In einigen Ausführungsformen wird das Dreiwegeventil 141 so gesteuert, dass die Gesamtheit des Stroms 124 des Spülgases entweder vollständig durch das Blasdüsenrohr 140 fließt oder das Blasdüsenrohr 140 umgeht, was vollständig von einer Position des Dreiwegeventils abhängt. Auf diese Weise ist die gasförmige Strömung 126 entweder ein Strom 124 von trockenem Spülgas mit Null-Partialdruck vom Füllmaterial oder der gesamte Strom 124 des Spülgases unterliegt der Verdampfung des Füllmaterials, was vom Zustand des Dreiwegeventils 141 abhängt.In some embodiments, the three-way valve becomes 141 so controlled that the totality of the electricity 124 the purge gas either completely through the blast nozzle tube 140 flows or the tuyere tube 140 bypasses what completely depends on a position of the three-way valve. In this way, the gaseous flow 126 either a stream 124 dry purge gas with zero partial pressure from the filler or the entire stream 124 the purge gas is subject to the vaporization of the filling material, which depends on the condition of the three-way valve 141 depends.

Wie mit Bezug auf 3 beschrieben, wird die Menge des Füllmaterials, das dem zu vermessenden Wafer bereitgestellt wird, durch Regeln des Teils 145 des Stroms 124 des Spülgases gesteuert, der der Verdampfung des Füllmaterials relativ zu dem Teil 146 des Stroms 124 des Spülgases, der nicht der Verdampfung des Füllmaterials unterliegt. Darüber hinaus wird der Grad der Sättigung des verdampften Füllmaterials bei der Temperatur des Wafers durch die Regelung der Differenz zwischen der Temperatur des Wafers und der Badtemperatur gesteuert.As with respect to 3 described, the amount of filling material, which is provided to the wafer to be measured, by controlling the part 145 of the electricity 124 controlled by the purge gas, the evaporation of the filler material relative to the part 146 of the electricity 124 the purge gas, which is not subject to the evaporation of the filling material. Moreover, the degree of saturation of the vaporized filler at the temperature of the wafer is controlled by controlling the difference between the temperature of the wafer and the bath temperature.

In einer anderen Ausführungsform wird der Sättigungsgrad des verdampften Füllmaterials bei Umgebungstemperatur durch Zugabe eines nicht-flüchtigen gelösten Stoffes zu einem flüssigen Bad eines Lösungsmittels (d.h. das Füllmaterial) gesteuert, der den Gleichgewichtsdampfdruck des Lösungsmittels im Vergleich zum Gleichgewichtsdampfdruck des Lösungsmittels allein senkt. Gemäß einem Beispiel kann eine Lösung aus Wasser als Lösungsmittel und einem nicht-flüchtigen gelösten Stoff (wie z.B. Natriumchlorid, Salzsäure, etc.) gebildet werden. Die Lösung weist einen Dampfdruck von Wasser auf, der kleiner ist als der Gleichgewichtsdampfdruck von reinem Wasser. 5 stellt einen Plot 128 des Partialdrucks von Wasser als Funktion der Konzentration der Salzsäure in dem Bad aus Wasser dar. Ein ähnliches Ergebnis besteht für eine Lösung von Natriumchlorid in Wasser. Beispielsweise ergibt von 6% Natriumchlorid in Wasser eine relative Feuchtigkeit p/p0, von 90%.In another embodiment, the degree of saturation of the vaporized filler at ambient temperature is increased by the addition of a non-volatile material. Volatile solute is controlled to a liquid bath of a solvent (ie, the filler), which reduces the equilibrium vapor pressure of the solvent compared to the equilibrium vapor pressure of the solvent alone. As an example, a solution of water may be formed as a solvent and a non-volatile solute (such as sodium chloride, hydrochloric acid, etc.). The solution has a vapor pressure of water that is less than the equilibrium vapor pressure of pure water. 5 makes a plot 128 of the partial pressure of water as a function of the concentration of hydrochloric acid in the bath of water. A similar result exists for a solution of sodium chloride in water. For example, 6% sodium chloride in water gives a relative humidity p / p 0 , of 90%.

In diesen Ausführungsformen wird der Sättigungsgrad des verdampften Füllmaterials (d.h. das Lösungsmittel) geregelt, indem die Konzentration des gelösten Stoffes in der Lösung gesteuert wird. In einigen Ausführungsformen wird die Menge an Lösungsmittel in dem Bad kontrolliert, um die gewünschte Konzentration und damit den erwünscht Partialdruck des verdampften Lösungsmittels aufrechtzuerhalten. In diesen Ausführungsformen ist eine präzise Temperaturregelung nicht erforderlich, solange die Badtemperatur nominell an der Umgebungstemperatur (d.h. Temperatur des Wafers) gehalten wird.In these embodiments, the degree of saturation of the vaporized filler (i.e., the solvent) is controlled by controlling the concentration of solute in the solution. In some embodiments, the amount of solvent in the bath is controlled to maintain the desired concentration and hence the desired partial pressure of the solvent evaporated. In these embodiments, precise temperature control is not required as long as the bath temperature is nominally maintained at the ambient temperature (i.e., temperature of the wafer).

Im Allgemeinen kann jedes geeignetes Spülgas und Füllmaterial bei der Durchführung von Messungen, wie hier beschrieben, ausgewählt werden. Exemplarische Spülgase umfassen inerte Gase, Stickstoff und saubere, trockene Luft. Die Auswahl eines geeigneten Spülgases wird hauptsächlich durch dessen Verfügbarkeit in einer Halbleiterfertigungsanlage bestimmt. Exemplarische Füllmaterialien umfassen Wasser, Ethanol, Isopropylalkohol, Methanol, Benzol, Toluol usw. Die Auswahl geeigneter Füllmaterialien wird durch die Fähigkeit bestimmt, den Dampfdruck, die Eigenschaften zur Füllung eines Hohlraums, den optischen Eigenschaften und jeglichen chemischen Wechselwirkungen zwischen dem Füllmaterial und der zu vermessenden Probe zu steuern.In general, any suitable purge gas and filler may be selected when making measurements as described herein. Exemplary purge gases include inert gases, nitrogen and clean, dry air. The selection of a suitable purge gas is determined primarily by its availability in a semiconductor manufacturing plant. Exemplary fillers include water, ethanol, isopropyl alcohol, methanol, benzene, toluene, etc. The selection of suitable fillers is determined by the ability to determine vapor pressure, cavity filling properties, optical properties, and any chemical interactions between the filler material and the material to be measured Control sample.

Beispielsweise können sowohl der Brechungsindex des Füllmaterials und der Absorptionskoeffizient des Füllmaterials in dem zugrundeliegenden Messmodell berücksichtigt werden, da das flüssige Füllmaterial nicht nur einfallendes Licht bricht, sondern auch einfallendes Licht absorbiert. Beide dieser Eigenschaften erzeugen Unterschiede zwischen Messungen, die mit Füllmaterial und Messungen, die ohne Füllmaterial durchgeführt werden. Dies ist insbesondere bei Messungen mit relativ kurzen Beleuchtungswellenlängen (wie z.B. Vakuum-UV-Wellenlängen von 120 Nanometer bis 190 Nanometer) der Fall. Somit kann eine Auswahl eines flüssigen Füllmaterials, das sich sowohl im Brechungsindex und Absorptionskoeffizienten von Luft erheblich unterscheidet, die Möglichkeit für reduzierte Parameterkorrelationen in einer Multi-Target Messauswertung bieten.For example, both the refractive index of the filling material and the absorption coefficient of the filling material may be taken into account in the underlying measuring model, since the liquid filling material not only breaks incident light but also absorbs incident light. Both of these properties create differences between measurements made with fill material and measurements made without fill material. This is particularly the case for measurements with relatively short illumination wavelengths (such as vacuum UV wavelengths of 120 nanometers to 190 nanometers). Thus, selection of a liquid filler that is significantly different in both the refractive index and absorption coefficient of air may provide the opportunity for reduced parameter correlations in a multi-target measurement evaluation.

Zusätzlich kann eine Auswahl eines flüssigen Füllmaterials, das sowohl im Brechungsindex und als auch im Absorptionskoeffizienten als Funktion der Beleuchtungswellenlänge variiert, die Möglichkeit für reduzierte Parameterkorrelationen bei einer spektralen Messanalyse bieten. 6 zeigt einen Plot 135 der Dispersion von deionisiertem Wasser als Funktion der Wellenlänge. Die geplottete Kurve 136 zeigt die Extinktionskoeffizienten und die geplottete Kurve 137 zeigt den Brechungsindex. Wie in 6 dargestellt, zeigt detionisiertes Wasser starke Veränderungen der Dispersion bei ultravioletten Bereich, Vakuum-UV Bereich und tiefen UV Bereich sowie in dem infraroten Bereich. Spektroskopischen Instrumente, die in diesen Wellenlängenbereichen arbeiten, nutzen die Änderungen der Dispersion, wenn Wasser als Kondensat bei periodischen Strukturen verwendet wird.In addition, selection of a liquid filler that varies both in refractive index and in absorption coefficient as a function of illumination wavelength may provide the opportunity for reduced parameter correlations in a spectral measurement analysis. 6 shows a plot 135 the dispersion of deionized water as a function of wavelength. The plotted curve 136 shows the extinction coefficients and the plotted curve 137 shows the refractive index. As in 6 shown, detonated water shows strong changes in the dispersion in the ultraviolet range, vacuum UV range and deep UV range as well as in the infrared range. Spectroscopic instruments operating in these wavelength ranges utilize the changes in dispersion when water is used as condensate in periodic structures.

In einigen Ausführungsformen werden Messungen unter Verwendung von deionisiertem Wasser als Füllmaterial mit einer Vielzahl von unterschiedlichen spektralen Messtechniken durchgeführt, die ein breites Spektrum von Wellenlängen zwischen 100 Nanometer und 2500 Nanometer erfassen. Beispielhafte Metrologytechniken umfassen die spektroskopische Ellipsometrie, die Mueller-Matrix Ellipsometrie, die spektroskopische Reflektometrie, die winkelaufgelöste Reflektometrie, usw.In some embodiments, measurements are made using deionized water as a filler with a variety of different spectral measurement techniques that detect a broad spectrum of wavelengths between 100 nanometers and 2500 nanometers. Exemplary metrology techniques include spectroscopic ellipsometry, Mueller matrix ellipsometry, spectroscopic reflectometry, angle resolved reflectometry, etc.

In einem weiteren Aspekt der Erfindung, bietet eine Auswahl eines flüssigen Füllmaterials, das Fluoreszenz bei Beleuchtungswellenlängen aufweist, die Möglichkeit für reduzierte Parameterkorrelationen bei bildbasierten Messanalysen. In einigen Ausführungsformen erhöht die Fluoreszenz des Füllmaterials den Bildkontrast und verbessert die Messleistung der bildbasierten Messtechniken, wie bildbasierter Overlay, bildbasierte Inspektion (z.B. Dunkelfeld- und Hellfeldinspektion), usw.In another aspect of the invention, selection of a liquid filler having fluorescence at illumination wavelengths provides the opportunity for reduced parameter correlations in image-based measurement analyzes. In some embodiments, the fluorescence of the filler increases image contrast and improves the measurement performance of image-based measurement techniques, such as image-based overlay, image-based inspection (e.g., darkfield and brightfield inspection), etc.

In einem weiteren Aspekte der Erfindung wird die Kapillarkondensation eingesetzt, um Räume zwischen geometrisch, strukturellen Merkmalen eines Metrologieziels selbst (beispielsweise Strukturen mit kritische Dimension (CD), Gitterstrukturen, Overlay-Strukturen, etc.) während der Messung des Metrologieziels durch Kapillarkondensation zu füllen. Im Allgemeinen wird der gewünschte Sättigungsgrad des verdampften Materials in der gasförmigen Strömung 126 auf Basis der durch Kapillarkondensation zu füllenden maximalen Merkmalsgröße bestimmt. Die Kapillarkondensation wird verwendet, um kleine Merkmale (beispielsweise kleine Volumina wie Kerben, Gräben, Schlitze, Kontaktlöcher, etc.) mit einem Füllmaterial zu füllen. Die Kelvin-Gleichung liefert eine annähernde Berechnung der maximalen Strukturgröße, die mit einem bestimmten Füllmaterial, bei einem bestimmten Partialdruck des Füllmaterials, und bei einer Umgebungstemperatur (z. B. Temperatur des Wafers) befüllt werden kann. Die Gleichung (3) veranschaulicht die Kelvin-Gleichung für einen kondensierten Meniskus mit zwei unterschiedlichen Radien, r1 und r2, wobei, R, die ideale Gaskonstante, Ta, die Umgebungstemperatur, V, das Molvolumen des Füllmaterials, y die Konstante der Oberflächenspannung, die mit dem Füllmaterial verbunden ist, und p/p0, der Partialdruck des Füllmaterials ist. 1 r 1 + 1 r 2 = R T a γ V ln ( p p 0 )

Figure DE112017002298T5_0006
In another aspect of the invention, capillary condensation is used to fill spaces between geometric, structural features of a metrology target itself (eg, critical dimension (CD) structures, lattice structures, overlay structures, etc.) during measurement of the metrology objective by capillary condensation. In general, the desired degree of saturation of the vaporized material in the gaseous flow 126 determined on the basis of the capillary condensation to be filled maximum feature size. The capillary condensation is used to small Features (such as small volumes such as notches, ditches, slots, contact holes, etc.) to fill with a filler. The Kelvin equation provides an approximate calculation of the maximum feature size that can be filled with a particular filler, at a given partial pressure of the filler, and at an ambient temperature (eg, temperature of the wafer). Equation (3) illustrates the Kelvin equation for a condensed meniscus with two different radii, r 1 and r 2 , where, R, the ideal gas constant, T a , the ambient temperature, V, the molar volume of the filler, y the constant of the Surface tension associated with the filler, and p / p 0 , which is the partial pressure of the filler. 1 r 1 + 1 r 2 = R T a γ V ln ( p p 0 )
Figure DE112017002298T5_0006

7 zeigt eine Tabelle 129, die das molare Volumen und die Oberflächenspannung assoziiert mit Wasser, Toluol und Ethanol veranschaulicht. 7 shows a table 129 which illustrates the molar volume and surface tension associated with water, toluene and ethanol.

Für zylindrische Lochmerkmale ist r1 gleich r2. 8 zeigt einen Plot 172 des maximalen Durchmessers eines zylindrischen Lochs, das durch kapillare Kondensation gemäß Gleichung (3) gefüllt werden kann. Plot 172 zeigt den maximalen Durchmesser eines zylindrischen Loches, das durch Wasser (Kurve 175), Ethanol (Kurve174), und Toluol (Kurve 173) für unterschiedliche Partialdrücke eines jeden Füllmaterials und bei einer Umgebungstemperatur von 25 Grad Celsius gefüllt werden kann. Wie in 8 dargestellt ist, können die zylindrischen Löcher mit einem Durchmesser bis zu 40 Nanometer gefüllt werden, wenn die gasförmige Strömung126 dem Messziel mit einem Partialdruck von Wasser oder Ethanol von 95% oder mehr bereitgestellt wird. Wie auch in 8 dargestellt ist, können zylindrische Löcher mit einem Durchmesser von bis zu 90 Nanometer gefüllt werden, wenn die gasförmige Strömung126 dem Messziel mit einem Partialdruck von Toluol von 95% oder mehr bereitgestellt wird.For cylindrical hole features, r 1 is equal to r 2 . 8th shows a plot 172 the maximum diameter of a cylindrical hole that can be filled by capillary condensation according to equation (3). plot 172 shows the maximum diameter of a cylindrical hole through water (curve 175 ), Ethanol (curve 174), and toluene (curve 173 ) can be filled for different partial pressures of each filler and at an ambient temperature of 25 degrees Celsius. As in 8th When the gaseous flow 126 is provided to the measurement target with a partial pressure of water or ethanol of 95% or more, the cylindrical holes may be filled up to 40 nanometers in diameter. As well as in 8th For example, when gaseous flow 126 is provided to the measurement target with a partial pressure of toluene of 95% or greater, cylindrical holes up to 90 nanometers in diameter can be filled.

Für Linien und Zwischenräume ist r2 gleich Null. 9 zeigt ein Diagramm 160, das den maximalen Durchmesser eines langen, grabenartigen Merkmals darstellt, das durch Kapillarkondensation gemäß Gleichung (3) gefüllt werden kann. Plot 160 zeigt den maximalen Durchmesser eines Grabens, der mit Wasser (Kurve 164), mit Ethanol (Kurve 163), und mit Toluol (Kurve 162) für unterschiedliche Partialdrücke für jedes Füllmaterial bei einer Umgebungstemperatur von 25 Grad Celsius gefüllt werden kann. Wie dargestellt, ist der maximale Durchmesser über ein langes, grabenartiges Merkmal der halbe Maximaldurchmesser eines Merkmals, das ein zylindrisches Loch ist. Wie in den 8 und 9 dargestellt ist, scheinen sich die Kurven aus Wasser und Ethanol zu überlappen, da die Leistung von Ethanol als Füllmaterial sehr ähnlich der zu Wasser ist.For lines and spaces r 2 is equal to zero. 9 shows a diagram 160 , which represents the maximum diameter of a long, trench-like feature that can be filled by capillary condensation according to equation (3). plot 160 shows the maximum diameter of a trench that is filled with water (curve 164 ), with ethanol (curve 163 ), and with toluene (curve 162 ) can be filled for different partial pressures for each filler at an ambient temperature of 25 degrees Celsius. As shown, the maximum diameter over a long, trench-like feature is half the maximum diameter of a feature that is a cylindrical hole. As in the 8th and 9 As shown, the curves of water and ethanol appear to overlap because the performance of ethanol as filler is very similar to that of water.

In einem Aspekt der Erfindung wird der Sättigungsgrad des verdampften Füllmaterials bei einer Umgebungstemperatur, Ta, so eingestellt, dass alle Merkmale unterhalb einer gewünschten maximalen Strukturgröße gefüllt sind. In einigen Ausführungsformen wird dies durch Steuerung des Verhältnisses eines der Verdampfung unterliegenden Stroms von Spülgas und eines nicht der Verdampfung unterliegenden Stroms von Spülgas, wie zuvor beschrieben, erreicht. In einigen Ausführungsformen wird dies durch Steuerung der Temperaturdifferenz zwischen dem Wafer und dem Flüssigkeitsbad des Füllmaterials erreicht. In einigen anderen Ausführungsformen wird dies durch Steuern der Konzentration des nicht-flüchtigen, gelösten Stoffes in dem Flüssigkeitsbad des Füllmaterials erreicht.In one aspect of the invention, the saturation level of the vaporized filler at an ambient temperature, T a , is adjusted to fill all features below a desired maximum feature size. In some embodiments, this is achieved by controlling the ratio of an evaporation-undergoing flow of purge gas and a non-evaporative flow of purge gas, as previously described. In some embodiments, this is accomplished by controlling the temperature difference between the wafer and the liquid bath of the filler material. In some other embodiments, this is achieved by controlling the concentration of non-volatile solute in the liquid bath of the filler.

In einem weiteren Aspekt der Erfindung werden Messungen bei verschiedenen Sättigungsgraden des verdampften Füllmaterials bei der Umgebungstemperatur durchgeführt, so dass alle Merkmale unter einem Bereich der maximalen Strukturgrößen gefüllt sind. Die Messungen werden in einem Multi-Target Messmodell kombiniert, um den Wert eines oder mehrerer Parameter von Interesse mit reduzierter Parameterkorrelation und verbesserter Messleistung abzuschätzen.In another aspect of the invention, measurements are made at different saturation levels of the vaporized filler at ambient temperature so that all features are filled below a range of maximum feature sizes. The measurements are combined in a multi-target measurement model to estimate the value of one or more parameters of interest with reduced parameter correlation and improved measurement performance.

10 veranschaulicht ein ungefülltes Metrologieziel 150 aus Linien und Abständen, das eine periodische, zweidimensionale auf einem Substrat 151 hergestellte Resit-Gitterstruktur 152 aufweist. Die Resit-Gitterstruktur 152 besitzt eine nominelle obere kritische Dimension (TCD) von 7 Nanometern und einer Höhe, H, von 50 Nanometer. 10 illustrates an unfilled metrology objective 150 from lines and distances that are a periodic, two-dimensional on a substrate 151 manufactured Resit grid structure 152 having. The Resit grid structure 152 has a nominal upper critical dimension (TCD) of 7 nanometers and a height, H, of 50 nanometers.

11 stellt ein gefülltes Metrologieziel 155 aus Linien und Abständen dar. Das Metrologieziel 155 aus Linien und Abständen besteht aus der gleichen, periodischen, zweidimensionalen Resist-Gitterstruktur 152, die auf einem Substrat 151 hergestellt ist, jedoch sind die Räume zwischen der Resist-Gitterstruktur 152 mit einem Füllmaterial 153 gefüllt. Dies kann in einem Ausführungsbeispiel dadurch erreicht werden, dass man eine gasförmige Strömung 126 auf das Metrologieziel 155 richtet, die Toluol bei einem Partialdruck von etwa 70% oder höher umfasst. In einem anderen Ausführungsbeispiel, kann das Füllen der Gitterstruktur 152 durch Bereitstellen einer gasförmigen Strömung 126 auf das Metrologieziel 155 erreicht werden, die Wasser oder Ethanol bei einem Partialdruck von etwa 85% oder höher enthält. 11 represents a filled metrology goal 155 from lines and distances. The metrology goal 155 lines and distances consists of the same, periodic, two-dimensional resist grid structure 152 on a substrate 151 However, the spaces between the resist grid structure are 152 with a filling material 153 filled. This can be achieved in one embodiment by providing a gaseous flow 126 to the metrology goal 155 which comprises toluene at a partial pressure of about 70% or higher. In another embodiment, the filling of the grid structure 152 by providing a gaseous flow 126 to the metrology goal 155 which contains water or ethanol at a partial pressure of about 85% or higher.

12A zeigt ein ungefülltes Metrologieziel 156 mit mehreren Schichten, das eine oberste Schicht mit einem zylindrischen Kontaktloch aufweist. Wie in 12A dargestellt ist, umfasst das Metrologieziel 156 eine erste Schicht 166, eine zweite Schicht, 167, eine dritte Schicht, 168, und eine vierte Schicht, 169, die eine Nennhöhe von 135 Nanometer aufweisen. Die vierte Schicht enthält ein zylindrisches Loch 170 durch die vierte Schicht mit einem Nenndurchmesser von 10 Nanometer. Die Struktur des Metrologieziels 165 hat eine Nennbreite von 40 Nanometern und eine Nennlänge von 40 Nanometern. 12A shows an unfilled metrology goal 156 multi-layered layer having a topmost layer with a cylindrical contact hole. As in 12A is shown that includes metrology target 156 a first layer 166 , a second layer, 167 , a third layer, 168, and a fourth layer, 169, having a nominal height of 135 nanometers. The fourth layer contains a cylindrical hole 170 through the fourth layer with a nominal diameter of 10 nanometers. The structure of the metrology objective 165 has a nominal width of 40 nanometers and a nominal length of 40 nanometers.

12B zeigt ein gefülltes Metrologieziel 157 einschließlich des gleichen Metrologieziels 156, mit der Ausnahme, dass das zylindrische Loch 170 mit einer Menge an Füllmaterial 171 gefüllt ist. Dies kann in einem Ausführungsbeispiel erreicht werden, wobei die gasförmige Strömung 126 auf das Metrologieziel 156 zusammen mit Toluol bei einem Partialdruck von etwa 85% oder höher gerichtet wird. In einem anderen Ausführungsbeispiel kann das Füllen des zylindrischen Lochs 170 durch Bereitstellen der gasförmigen Strömung 126 auf das Metrologieziel 155 zusammen mit Wasser oder Ethanol, bei einem Partialdruck von etwa 95% oder höher erreicht werden. 12B shows a filled metrology goal 157 including the same metrology objective 156 , except that the cylindrical hole 170 with a lot of filling material 171 is filled. This can be achieved in one embodiment, wherein the gaseous flow 126 to the metrology goal 156 directed together with toluene at a partial pressure of about 85% or higher. In another embodiment, the filling of the cylindrical hole 170 by providing the gaseous flow 126 to the metrology goal 155 together with water or ethanol, at a partial pressure of about 95% or higher.

Die in den 10 - 12B dargestellten Metrologieziele stellen alle nicht einschränkende Beispiele dar. Im Allgemeinen umfasst eine Messstelle eines oder mehrere Metrologieziele, die durch ein Messsystem (z.B. Metrologiesystem 100 in 1) gemessen werden. Im Allgemeinen kann die Messdatenerfassung über den gesamten Wafer oder eine Untermenge der Waferfläche durchgeführt werden. Darüber hinaus werden in einigen Ausführungsformen die Metrologieziele hinsichtlich der Bedruckbarkeit und der Empfindlichkeit gegenüber Veränderungen bei Prozessparametern der Strukturparameter von Interesse, oder beides entworfen. In einigen Beispielen sind die Metrologieziele spezialisierte Ziele. In einigen Ausführungsformen sind die Metrologieziele auf konventionellen Linien/Zwischenraum-Zielen basiert. Als nicht einschränkendes Beispiel werden CD Ziele, SCOL Ziele oder AiM™-Ziele verwendet, die von KLA-Tencor Corporation Milpitas, Kalifornien (USA) erhältlich sind. In einigen anderen Ausführungsformen sind die Metrologieziele bauelementartige Strukturen. In einigen anderen Ausführungsformen sind die Metrologieziele bauelementartige Strukturen oder Teile von bauelementartigen Strukturen. Unabhängig von der Art des eingesetzten Metrologieziels, wird ein Satz von Metrologiezielen, die eine erforschte Empfindlichkeit gegenüber den Prozessvariationen, den Strukturvariationen, oder beides aufweisen, unter Verwendung der Formfüllung, wie hierin beschrieben, mittels Kapillarkondensation gemessen.The in the 10 - 12B In general, a measuring point comprises one or more metrology objectives that are determined by a measuring system (eg metrology system 100 in 1 ) are measured. In general, the measurement data acquisition can be performed over the entire wafer or a subset of the wafer surface. In addition, in some embodiments, the metrology objectives are designed in terms of printability and sensitivity to changes in process parameters of the structural parameters of interest, or both. In some examples, the metrology goals are specialized goals. In some embodiments, the metrology goals are based on conventional line / space goals. As a non-limiting example, CD targets, SCOL targets, or AiM ™ targets available from KLA-Tencor Corporation Milpitas, California (USA) are used. In some other embodiments, the metrology targets are device-like structures. In some other embodiments, the metrology targets are device-like structures or parts of device-like structures. Regardless of the type of metrology objective employed, a set of metrology objectives that have explored sensitivity to process variations, structural variations, or both, are measured using cap filling as described herein by capillary condensation.

In einem weiteren Aspekt der Erfindung werden Messdaten von Strukturen (wie z.B. CD-Strukturen, Overlay-Strukturen, etc.) gesammelt, wenn die Strukturen gefüllt sind (d.h. der hierin beschriebenen Kapillarkondensation unterliegen), und wenn sie nicht erfüllt sind (d.h. nicht der kapillaren Kondensation unterliegen). Die gesammelten Daten werden in einer auf dem Mehrzielmodell basierenden Messung kombiniert, um die Messleistung zu verbessern. In einem Ausführungsbeispiel werden Messdaten gesammelt, wenn das Metrologieziel 156 nicht gefüllt ist, wie in 12A dargestellt ist. In diesem Szenario wird eine gasförmige Strömung 126 auf das Metrologieziel 156 gerichtet, ohne dass Füllmaterial in den Strom verdampft ist. Zusätzlich werden Messdaten gesammelt, wenn das Metrologieziel 156, wie in 12B dargestellt, gefüllt. ist. In diesem Szenario wird eine gasförmige Strömung 126 auf das Metrologieziel 156 gerichtet, wobei eine ausreichende Sättigung des Füllmaterials vorgesehen ist, um das zylindrische Loch 170 zu füllen, wie mit Bezug auf 12B beschrieben ist. Die gesammelten Daten werden durch das Computersystem 130 empfangen. Das Computersystem 130 führt eine modellbasierte Messanalyse unter Verwendung der beiden Sätze von Messdaten mit einem Mehr-Ziel-Modell aus, um Werte des Parameters von Interesse zu bestimmen. In einigen Beispielen wird das hierin beschriebene Mehr-Ziel-Modell offline implementiert, beispielsweise durch ein Computersystem, das die AcuShape® Software von der KLA-Tencor Corporation Milpitas, Kalifornien, USA implementiert hat. Daraus ergibt sich, dass das Mehr-Ziel-Modell als ein Element einer AcuShape®-Bibliothek eingebaut ist, die durch ein die Messungen durchführendes Metrologiesystem unter Verwendung des Multi-Target-Modells zugänglich ist.In another aspect of the invention, measurement data from structures (such as CD structures, overlay structures, etc.) are collected when the structures are filled (ie, undergo the capillary condensation described herein), and when they are not satisfied (ie capillary condensation subject). The collected data is combined in a multi-target model based measurement to improve measurement performance. In one embodiment, measurement data is collected when the metrology goal 156 not filled, as in 12A is shown. In this scenario, a gaseous flow 126 to the metrology goal 156 directed, without filling material has evaporated into the stream. In addition, measurement data is collected when the metrology goal 156 , as in 12B shown, filled. is. In this scenario, a gaseous flow 126 to the metrology goal 156 directed, wherein a sufficient saturation of the filling material is provided to the cylindrical hole 170 to fill, as related to 12B is described. The data collected will be processed by the computer system 130 receive. The computer system 130 performs a model-based measurement analysis using the two sets of measurement data with a multi-target model to determine values of the parameter of interest. In some examples, the multi-target model described herein is implemented offline, for example, by a computer system that has implemented AcuShape® software from KLA-Tencor Corporation Milpitas, California, USA. As a result, the multi-target model is incorporated as an element of an AcuShape® library accessible through a metrology system performing the measurements using the multi-target model.

13 zeigt einen Vergleich der Messergebnisse ohne Füllung der Form und der Messergebnisse, die mit einem Mehr-Ziel-Modell unter Verwendung von Daten, die mit und ohne Füllung der Form für eine Vielzahl von Parametern des in 12A dargestellten Metrologieziels 156 erhalten werden. Der Parameter LI_HT bezieht sich auf die Höhe der ersten Schicht 166 des Metrologieziels 156 aus 12A. L2_HT bezieht sich auf die Höhe der zweiten Schicht 167. L3_HT bezieht sich auf die Höhe der dritten Schicht 168. G4_TCD bezieht sich auf die obere kritische Abmessung des zylindrischen Lochs 170. G4_BCD bezieht sich auf die untere kritische Abmessung des zylindrischen Lochs 170. G4_EL bezieht sich auf die Elliptizität des zylindrischen Lochs 170. Wie in 13 dargestellt ist, wird die Verbesserung der Messgenauigkeit von jedem der LI_HT, L2_HT, L3_HT, G4_TCD, G4_BCD und G4_EL durch einen signifikanten Prozentsatz verbessert, wie durch Messbalken 177A-F dargestellt ist. Ebenso wird die Korrelation der Messung jeder der LI_HT, L2_HT, L3_HT, G4_TCD, G4_BCD und G4_EL verbessert (d. h. verringert), um einen signifikanten Prozentsatz, wie dies jeweils durch die Messbalken 178A-F, dargestellt ist. 13 FIG. 4 shows a comparison of the measurement results without filling the shape and the measurement results obtained with a multi-target model using data with and without filling the shape for a variety of parameters of the in 12A presented metrology goal 156 to be obtained. The parameter LI_HT refers to the height of the first layer 166 the metrology goal 156 out 12A , L2_HT refers to the height of the second layer 167 , L3_HT refers to the height of the third layer 168 , G4_TCD refers to the upper critical dimension of the cylindrical hole 170 , G4_BCD refers to the lower critical dimension of the cylindrical hole 170 , G4_EL refers to the ellipticity of the cylindrical hole 170 , As in 13 is shown improving the measurement accuracy of each of LI_HT . L2_HT . L3_HT . G4_TCD . G4_BCD and G4_EL improved by a significant percentage, such as by measuring bars 177A-F is shown. Similarly, the correlation of the measurement of each of the LI_HT . L2_HT . L3_HT . G4_TCD . G4_BCD and G4_EL improves (ie decreases) to a significant percentage, as indicated by the measuring bars 178A-F , is shown.

16 stellt einen Plot 220 des spektroskopischen Ellipsometrie-Parameters, a, für die Messung der gleichen Struktur sowohl im ungefüllten als auch im gefüllten Zustand dar. Die Kurve 221 zeigt die spektralen Ergebnisse für die Messung bei dem Szenario, wenn die Strukturen ungefüllt sind. Die Kurve 222 zeigt die spektralen Ergebnisse für die Messung bei dem Szenario, wenn die Strukturen gefüllt sind. 16 makes a plot 220 of the spectroscopic ellipsometry parameter, a, for the measurement of the same structure both in the unfilled and in the filled state. The curve 221 shows the spectral results for the measurement in the scenario when the structures are unfilled. The curve 222 shows the spectral results for the measurement in the scenario when the structures are filled.

17 zeigt einen Plot 230 der spektralen Differenz zwischen den Messungen der spektroskopischen Ellipsometrie aus 16. Die Kurve 231 zeigt die Differenz zwischen den Messergebnissen für den Parameter, a. Wie in 17 dargestellt ist, sind die spektralen Unterschiede ziemlich dramatisch. Diese Datensätze werden effektiv in einer Merfachziel-Analyse verwendet, um Korrelationen zu brechen und die Messleistung zu verbessern. 17 shows a plot 230 the spectral difference between the measurements of spectroscopic ellipsometry 16 , The curve 231 shows the difference between the measurement results for the parameter, a. As in 17 is shown, the spectral differences are quite dramatic. These data sets are used effectively in a Merfachzielanalyse to break correlations and to improve the measurement performance.

18 stellt einen Plot 240 des spektroskopischen Ellipsometrie-Parameters, β, für die Messung der gleichen Struktur bei ungefüllten und gefüllten Zustand dar. Die Kurve 241 zeigt die spektralen Ergebnisse für das Messszenario, wenn die Strukturen ungefüllt sind. Die Kurve 242 zeigt die spektralen Ergebnisse für das Messszenario, wenn die Strukturen gefüllt sind. 18 makes a plot 240 the spectroscopic ellipsometry parameter, β , for the measurement of the same structure at unfilled and filled condition. The curve 241 shows the spectral results for the measurement scenario when the structures are unfilled. The curve 242 shows the spectral results for the measurement scenario when the structures are filled.

19 zeigt einen Plot 250 der spektralen Differenz zwischen den in 18 dargestellten spektroskopischen Ellipsometriemessungen. Die Kurve 251 zeigt die Differenz zwischen den Messergebnissen für den Parameter, a. Wie in 19 dargestellt ist, sind die spektralen Unterschiede ziemlich dramatisch. Wiederum können diese Datensätze in einer Mehr-Ziel-Analyse wirksam eingesetzt werden, um Korrelationen zu brechen und die Messleistung zu verbessern. 19 shows a plot 250 the spectral difference between the in 18 represented spectroscopic ellipsometry measurements. The curve 251 shows the difference between the measurement results for the parameter, a. As in 19 is shown, the spectral differences are quite dramatic. Again, these data sets can be leveraged in a multi-objective analysis to break correlations and improve measurement performance.

In einem weiteren Aspekt der Erfindung werden eine Reihe von Messungen durchgeführt, so dass jeder Satz von Messdaten von Strukturen des Metrologieziels gesammelt wird, wenn die Strukturen des Metrologieziels mit einem anderen Füllmaterial, oder mit Kombinationen verschiedener Füllmaterialien gefüllt sind. Die gesammelten Daten werden in einer auf dem Mehr-Ziel-Modell basierenden Messung kombiniert, um Parameterkorrelationen zu verringern und die Messleistung zu verbessern.In a further aspect of the invention, a series of measurements are made such that each set of measurement data is collected from structures of the metrology objective when the structures of the metrology objective are filled with a different filler, or with combinations of different filler materials. The collected data is combined in a multi-target model-based measurement to reduce parameter correlations and improve measurement performance.

In einem weiteren Aspekt der Erfindung werden Messdaten von einem Metrologieziel, das der Kondensation unterliegt, gesammelt, wenn der Kondensationsvorgang einen stabilen Zustand erreicht hat. Mit anderen Worten, die Menge der Füllung durch den Kondensationsprozess hat einen stationären Zustand erreicht.In another aspect of the invention, measurement data from a metrology target undergoing condensation is collected when the condensation process has reached a steady state. In other words, the amount of filling by the condensation process has reached a steady state.

In einem noch weiteren Aspekt der Erfindung werden Messdaten von einem Metrologieziel, das der Kondensation unterliegt, gesammelt, bevor der Kondensationsvorgang einen stabilen Zustand erreicht hat. Mit anderen Worten, die Menge der Füllung durch den Kondensationsprozess ändert sich während der Zeit der Messung.In yet another aspect of the invention, measurement data from a metrology target undergoing condensation is collected before the condensation process has reached a steady state. In other words, the amount of filling by the condensation process changes during the time of measurement.

14 veranschaulicht ein Verfahren 200 zum Durchführen der Messung von Strukturen bei kapillarer Kondensation. Das Verfahren 200 ist geeignet für die Implementierung durch ein Metrologiesystem, wie das Metrologiesystem 100, das in 1 der vorliegenden Erfindung veranschaulicht ist. In einem Aspekt der Erfindung ist es anerkannt, dass Verarbeitungsblöcke der Daten des Verfahrens 200 mit einem vorprogrammierten Algorithmus durchgeführt werden, der von einem oder mehreren Prozessoren des Computersystems 130, oder jedem anderen, allgemeinen Computersystem ausgeführt werden kann. Es sei hier bemerkt, dass die besonderen strukturellen Aspekte des Metrologiesystems 100 keine Einschränkungen darstellen und sollten nur als illustrativ interpretiert werden. 14 illustrates a method 200 for performing the measurement of structures in capillary condensation. The procedure 200 is suitable for implementation by a metrology system, such as the metrology system 100 , this in 1 of the present invention is illustrated. In one aspect of the invention, it is recognized that processing blocks of the data of the method 200 be performed with a preprogrammed algorithm by one or more processors of the computer system 130 , or any other general computer system. It should be noted here that the particular structural aspects of the metrology system 100 are not limitations and should be interpreted as illustrative only.

In Block 201 wird eine erste Menge an Beleuchtungslicht auf ein oder mehrere Strukturelemente, die auf der Probe angeordnet sind, bereitgestellt.In block 201 For example, a first amount of illumination light is provided to one or more structural elements disposed on the sample.

In Block 202 wird eine erste gasförmige Strömung, die ein erstes Füllmaterial in einer Dampfphase enthält, während der Beleuchtung des einen Strukturelements oder der mehreren Strukturelemente dem einen Strukturelement oder den mehreren Strukturelementen bereitgestellt. Ein Teil des ersten Füllmaterials kondensiert auf dem einen Strukturelement oder den mehreren Strukturelementen einer flüssigen Phase. Der Teil des ersten Füllmaterials füllt zumindest einen Teil eines Raums zwischen einem oder mehreren geometrischen Merkmalen des einen Strukturelements oder der mehreren Strukturelemente.In block 202 For example, during the illumination of the one or more structural members, a first gaseous flow containing a first vapor phase vapor material is provided to the one or more structural members. A portion of the first filler condenses on the one or more structural elements of a liquid phase. The portion of the first fill material fills at least a portion of a space between one or more geometric features of the one or more feature elements.

In Block 203 wird, in Reaktion auf die erste Menge an Beleuchtungslicht, eine erste Menge an gesammeltem Licht von dem einem Strukturelement oder mehr Strukturelementen, detektiert.In block 203 For example, in response to the first amount of illumination light, a first amount of collected light from the one or more structural elements is detected.

In Block 204 wird ein erster Satz von Messsignalen erzeugt, der für die erste Menge des gesammelten Lichts kennzeichnend ist.In block 204 a first set of measurement signals indicative of the first set of collected light is generated.

Bei der in 1 dargestellten Ausführungsform, werden spektroskopische Ellipsometermessungen der Metrologieziele durchgeführt, die einer gasförmigen Strömung mit variierenden Mengen an flüssigem Füllmaterial unterliegen. Allerdings kann im Allgemeinen jede geeignete Metrologietechnik verwendet werden, um Messungen von Metrologiezielen auszuführen, die einer gasförmigen Strömung mit variierenden Mengen an flüssigen Füllmaterial in Übereinstimmung mit den hierin beschriebenen Verfahren und Systemen, unterliegen.At the in 1 In the embodiment shown, spectroscopic ellipsometer measurements of the metrology targets are performed, which are subject to a gaseous flow with varying amounts of liquid filler. However, in general, any suitable metrology technique may be used to perform measurements of metrology goals associated with gaseous flow with varying amounts of liquid fill material In accordance with the methods and systems described herein.

Geeignete Metrologietechniken umfassen, sind aber nicht darauf beschränkt, die spektroskopische Ellipsometrie und spektroskopische Reflektometrie, einschließlich einzelner Wellenlänge, mehrerer Wellenlängen und winkelaufgelöste Implementierungen, spektroskopische Scatterometrie, Scatterometrie Overlay, Strahlprofil-Reflektometrie und Strahlprofil-Ellipsometrie, einschließlich winkelaufgelöste und polarisationsaufgelöste Implementierungen, abbildldender Overlay, Dunkelfeld- und Hellfeldinspektion gemusterter Wafer, welche einzeln oder in beliebiger Kombination in Betracht gezogen werden können.Suitable metrology techniques include, but are not limited to, spectroscopic ellipsometry and spectroscopic reflectometry, including single wavelength, multiple wavelength and angle resolved implementations, spectroscopic scatterometry, scatterometry overlay, beam profile reflectometry and beam profile ellipsometry, including angle resolved and polarization resolved implementations, mapping the overlay, Darkfield and brightfield inspection of patterned wafers, which can be considered individually or in any combination.

In einem Beispiel werden Bilder von gefüllten Strukturen und Bildern der gleichen Strukturen in einem ungefüllten Zustand in einer bildbasierten Messung des Overlays gemusterter Waferdefekte verwendet, usw. In einem weiteren Beispiel werden Bilder von gefüllten Strukturen allein in einer bildbasierten Messung des Overlays gemusterter Waferdefekte verwendet, usw. In einem Beispiel des abbildenden Overlays werden AIM Ziele oder Box-in-Box-Ziele gefüllt und gemessen und analysiert, um Overlayfehler abzuschätzen. In diesen Beispielen wird eine bildbasierte Analyse verwendet, um Werte des Parameters von Interesse abzuschätzen.In one example, images of filled structures and images of the same structures in an unfilled state are used in an image-based measurement of overlay of patterned wafer defects, etc. In another example, images of filled structures are used solely in an image-based measurement of the overlay of patterned wafer defects, and so forth In an example of the mapping overlay, AIM targets or box-in-box targets are filled and measured and analyzed to estimate overlay errors. In these examples, image-based analysis is used to estimate values of the parameter of interest.

Im Allgemeinen können die zuvor erwähnten Messtechniken auf die Messung von Prozessparametern, Strukturparametern, Layout-Parametern, Dispersionsparametern oder einer beliebigen Kombination davon angewendet werden. Als nicht einschränkendes Beispiel können Overlay, Profilgeometrie Parameter (z.B. kritische Dimension, Höhe, Seitenwandwinkel), Prozessparameter (z.B. Lithografiefokus und Lithografiedosis), Dispersionsparameter, Layout-Parameter (z. B. Pitch-Abweichung, Randplatzierungsfehler), Schichtdicke, Parameter der Zusammensetzung oder eine beliebige Kombination von Parametern unter Verwendung der oben genannten Techniken gemessen werden.In general, the aforementioned measurement techniques may be applied to the measurement of process parameters, structure parameters, layout parameters, dispersion parameters, or any combination thereof. As a non-limiting example, overlay, profile geometry parameters (eg, critical dimension, height, sidewall angle), process parameters (eg, lithography focus and lithography dose), dispersion parameters, layout parameters (eg, pitch deviation, edge placement error), layer thickness, composition parameters, or any combination of parameters can be measured using the above techniques.

Als nicht-einschränkendes Beispiel können die mit Formfüllung gemessen Strukturen, Linie/Abstand Gitterstrukturen, FinFET-Strukturen, SRAM-Bauelementstrukturen, Flashspeicher Strukturen und DRAM-Speicherstrukturen umfassen.As a non-limiting example, mold fill-measured structures, line / pitch gratings, FinFET structures, SRAM device structures, flash memory structures, and DRAM memory structures may be included.

In einem weiteren Aspekt der Erfindung sind die auf dem Wafer angeordneten Metrologieziele Design-Rule-Ziele. Mit anderen Worten beachten die Metrologieziele die Designregeln des zugrunde liegenden Halbleiterherstellungsprozesses. In einigen Beispielen befinden sich die Metrologieziele vorzugsweise innerhalb der aktiven Chipfläche. In einigen Beispielen haben die Metrologieziele Abmessungen von 15 Mikrometern aus 15 Mikrometer oder kleiner. In einigen anderen Beispielen befinden sich die Metrologieziele in den Anreißlinien oder anderweitig außerhalb der aktiven Chipfläche.In another aspect of the invention, the metrology targets disposed on the wafer are design rule goals. In other words, the metrology goals adhere to the design rules of the underlying semiconductor manufacturing process. In some examples, the metrology targets are preferably within the active chip area. In some examples, the metrology targets have dimensions of 15 microns or smaller than 15 microns. In some other examples, the metrology targets are in the scribe lines or otherwise outside the active chip area.

In einigen Beispielen werden modellbasierte Messungen mit Formfüllung durchgeführt, um einen Parameter von Interesse zu bestimmen. Somit wird das dem Parameter von Interesse zugeordnete Messmodell unabhängig optimiert. Durch die individuelle Messung eines jeden Parameters von Interesse wird die Rechenbelastung reduziert und die Leistung der zugrundeliegenden Messung kann durch Auswählen verschiedener Wellenlängen, der Subsysteme für die Messung und der Messmethoden maximiert werden, die für jeden einzelnen Parameter optimiert sind. Zudem können verschiedene Löser der modellbasierten Messung für jeden Parameter von Interesse ausgewählt, oder anders konfiguriert werden,In some examples, model-based measurements are performed with mold filling to determine a parameter of interest. Thus, the measurement model associated with the parameter of interest is independently optimized. By individually measuring each parameter of interest, the computational burden is reduced and the performance of the underlying measurement can be maximized by selecting different wavelengths, the subsystems for the measurement and the measurement methods optimized for each individual parameter. In addition, various model-based measurement solvers may be selected for each parameter of interest, or otherwise configured.

Allerdings können in einigen anderen Beispielen modellbasierte Messungen mit Formfüllung durchgeführt werden, um mehrere Parameter von Interesse parallel abzuschätzen. Somit wird das Messmodell entwickelt, um mehrere Parameter von Interesse zu lösen.However, in some other examples, model-based mold filling measurements may be performed to estimate several parameters of interest in parallel. Thus, the measurement model is developed to solve several parameters of interest.

In einigen Beispielen beruhen Messungen der Parameter von Interesse, die an einer bestimmten Messstelle durchgeführt wurden, nur auf an dieser bestimmten Messstelle gesammelten Daten, auch wenn Daten von mehreren Messstellen auf dem Wafer gesammelt werden können. In einigen anderen Beispielen werden die Messdaten von mehreren über den Wafer verteilten Stellen gesammelt oder eine Untermenge des Wafers wird für die Analyse der Messung verwendet. Dies kann wünschenswert sein, um über den Wafer verteilte Parametervariationen zu erfassen.In some examples, measurements of the parameters of interest made at a particular site are based only on data collected at that particular site, even though data from multiple sites may be collected on the wafer. In some other examples, the measurement data is collected from multiple locations distributed over the wafer, or a subset of the wafer is used to analyze the measurement. This may be desirable to detect parameter variations distributed across the wafer.

Bei einigen Beispielen werden die Messungen der interessierenden Parameter auf Basis gefüllter Metrologieziele mit mehreren, verschiedenen Messtechniken durchgeführt, welche Techniken für einzelne Ziele, Multi-Ziel Techniken und Techniken der Spektren Vorwärtskopplung umfassen. Die Genauigkeit der gemessenen Parameter kann durch eine beliebige Kombination von einer Seitwärtskopplungsanalyse, einer Vorwärtskopplungsanalyse und einer parallelen Analyse verbessert werden. Die Seitwärtskopplungsanalyse bezieht sich auf die Verwendung mehrerer Datensätze von verschiedenen Bereichen der gleichen Probe und der Übergabe bekannter Parameter von dem ersten Datensatz zum zweiten Datensatz für die Analyse. Die Vorwärtskopplungsanalyse bezieht sich auf die Aufnahme von Datensätzen unterschiedlicher Proben und der Übergabe bekannter Parameter an eine nachgeschaltete Analyse mit schrittweiser Kopie der genauen Parameter-Vorwärtskopplungsanalyse. Die parallele Analyse bezieht sich auf die parallele oder gleichzeitige Anwendung einer nichtlinearen Fittingmethodik auf mehrere Datensätze, wobei zumindest ein bekannter Parameter während des Fittens gekoppelt ist.In some examples, measurements of the parameters of interest are performed based on filled metrology objectives with a plurality of different measurement techniques, including single-target techniques, multi-target techniques, and feed-forward spectral techniques. The accuracy of the measured parameters can be improved by any combination of sideways coupling analysis, feedforward analysis, and parallel analysis. Side-to-side coupling analysis refers to using multiple data sets from different regions of the same sample and passing known parameters from the first data set to the second data set for analysis. The feedforward analysis refers to the acquisition of records of different samples and the transfer of known parameters to a subsequent analysis with a step-by-step copy of the exact one Parameter feedforward analysis. The parallel analysis refers to the parallel or simultaneous application of a nonlinear fitting methodology to multiple data sets, with at least one known parameter being coupled during fitting.

Die Mehrfachwerkzeug- und Strukturanalyse bezieht sich auf eine Vorwärtskopplung-, eine Seitwärtskopplungs- oder eine parallele Analyse, die auf einer Regression, einer Look-up Tabelle (d.h. „Bibliothek“-Anpassung) oder einem anderen Fittprozess von mehreren Datensätzen basieren. Beispielhafte Verfahren und Systeme für Mehrfachwerkzeug- und Strukturanalyse sind in dem US-Patent 7,478,019 , erteilt am 13. Januar 2009 für KLA-Tencor Corp. offenbart, das mit ihrer Gesamtheit durch Bezugnahme hierin aufgenommen ist.The multiple tool and structure analysis refers to feedforward, sideways, or parallel analysis based on a regression, look-up table (or "library" fit), or other fitting process of multiple data sets. Exemplary methods and systems for multiple tool and structural analysis are in the U.S. Patent 7,478,019 , issued January 13, 2009 to KLA-Tencor Corp. which is incorporated herein by reference in its entirety.

In einem weiteren Aspekt der Erfindung können die erhaltenen Messergebnisse, wie hier beschrieben, verwendet werden, um eine aktive Rückkopplung zu einem Prozesswerkzeug (z.B. Lithographiewerkzeug, Ätzanlage, Abscheideanlage, etc.) zur Verfügung zu stellen. Beispielsweise können Werte von kritischen Dimensionen, die mit den hierin beschrieben Verfahren und Systemen bestimmt wurden, an ein Lithographiewerkzeug weitergeleitet werden, um das Lithografiesystem einzustellen und einen gewünschten Output zu erzielen. In ähnlicher Weise können Ätzparameter (beispielsweise Ätzzeit, Diffusität, etc.) oder Abscheidungsparameter (wie z.B. Zeit, Konzentration, etc.) in einem Messmodell enthalten sein, um aktive Rückkopplung an ein Ätzwerkzeug bzw. Abscheidungswerkeug zu liefern. In einigen Beispielen können Korrekturen für Prozessparameter auf Basis der ermittelnden Werte der Geräteparameter an ein Lithographiewerkzeug, eine Ätzanlage oder Abscheideanlage kommuniziert werden.In another aspect of the invention, the obtained measurement results as described herein may be used to provide active feedback to a process tool (e.g., lithography tool, etcher, deposition equipment, etc.). For example, values of critical dimensions determined by the methods and systems described herein may be passed to a lithography tool to adjust the lithography system and achieve a desired output. Similarly, etch parameters (eg, etch time, diffusivity, etc.) or deposition parameters (such as time, concentration, etc.) may be included in a measurement model to provide active feedback to an etch tool. In some examples, corrections for process parameters may be communicated to a lithography tool, an etcher, or a deposition facility based on the determining values of the device parameters.

Es wird angemerkt, dass die verschiedenen, in der gesamten vorliegenden Offenbarung beschriebenen Schritte, durch ein einzelnes Computersystem 130, ein Mehrfachcomputersystem 130 oder mehrere verschiedene Computersysteme 130 ausgeführt werden können. Überdies können verschiedene Subsysteme des Systems 100, wie z.B. das spektroskopische Ellipsometer 101, ein Computersystem umfassen, um zumindest einen Teil der hier beschriebenen Schritte auszuführen. Daher soll die vorstehende Beschreibung nicht als Beschränkung der vorliegenden Erfindung ausgelegt werden, sondern dient lediglich zum Zwecke einer Illustration. Ferner kann das Computersystem 130 derart konfiguriert sein, dass es jeden anderen Schritt oder andere Schritte einer jeden der hierin beschriebenen Ausführungsform des Verfahrens ausführen kann.It is noted that the various steps described throughout the present disclosure are by a single computer system 130 , a multi-computer system 130 or several different computer systems 130 can be executed. Moreover, different subsystems of the system 100 , such as the spectroscopic ellipsometer 101 , a computer system to carry out at least part of the steps described herein. Therefore, the foregoing description is not intended to be construed as limiting the present invention, but is merely for purposes of illustration. Furthermore, the computer system 130 be configured to perform any other step or steps of any of the embodiments of the method described herein.

Das Computersystem 130 kann, ist aber nicht darauf beschränkt, ein Personal Computersystem, Mainframe Computersystem, eine Workstation, einen Computer zur Bildverarbeitung, einen parallelen Prozessor oder ein anderes aus dem Stand der Technik bekanntes Gerät umfassen . Im Allgemeinen kann der Begriff „Computersystem“ breit definiert werden, und jede Vorrichtung, oder eine Kombination von Vorrichtungen mit einem Prozessor oder mehreren Prozessoren umfassen, die Instruktionen von einem Speichermedium ausführen. Im Allgemeinen kann das Computersystem 130 in ein Messsystem, wie etwa Messsystem 100, integriert sein. Alternativ kann das Computersystem 130 vollständig oder teilweise von einem beliebigen Messsystem getrennt sein. In diesem Sinne kann das Computersystem 130 entfernt angeordnet sein und kann Messdaten von jeder Messquelle empfangen und Kommandosignale zu jedem Element des Metrologiesystems 100 übertragen.The computer system 130 may include, but is not limited to, a personal computer system, mainframe computer system, workstation, computer for image processing, a parallel processor, or other device known in the art. In general, the term "computer system" can be broadly defined and include any device, or a combination of devices with one or more processors executing instructions from a storage medium. In general, the computer system 130 in a measuring system, such as measuring system 100 be integrated. Alternatively, the computer system 130 be completely or partially separated from any measuring system. In this sense, the computer system 130 can be located remotely and can receive measurement data from each measurement source and command signals to each element of the metrology system 100 transfer.

Programminstruktionen 134, die Verfahren implementieren, wie die hier beschriebenen, können über ein Übertragungsmedium, wie etwa einem Draht, einem Kabel oder eine drahtlose Übertragungsstrecke, übertragen werden. Die Speicher 132, die Programminstruktionen 134 speichern, können ein computerlesbares Medium, wie beispielsweise einen Nur-Lese-Speicher, ein Direktzugriffsspeicher, eine magnetische oder optische Platte oder ein Magnetband, umfassen.program instructions 134 Those implementing methods such as those described herein may be transmitted over a transmission medium, such as a wire, a cable, or a wireless transmission link. The stores 132 , the program instructions 134 may include a computer readable medium such as a read only memory, a random access memory, a magnetic or optical disk, or a magnetic tape.

Darüber hinaus kann das Computersystem 130 kommunikativ mit dem Spektrometer 104 oder dem Beleuchtungsuntersystem 102 des Ellipsometers 101 auf irgendeine im Stand der Technik bekannte Art und Weise gekoppelt werden.In addition, the computer system 130 communicative with the spectrometer 104 or the lighting subsystem 102 of the ellipsometer 101 be coupled in any manner known in the art.

Das Computersystem 130 kann konfiguriert sein, um Daten oder Informationen von Subsystemen des Systems (wie z.B. Spektrometer 104, Beleuchtungsgerät 102, Dampfinjektionssystem 120, und dergleichen) durch ein Übertragungsmedium zu empfangen und/oder zu akquirieren, das drahtgebundene und/oder drahtlose Abschnitte umfassen kann. Auf diese Weise kann das Übertragungsmedium als eine Datenverbindung zwischen dem Computersystem 130 und anderen Subsystemen des Systems 100 dienen. Ferner kann das Computersystem 130 so konfiguriert werden, dass es Messdaten über ein Speichermedium (d.h. Speicher) erhält. Zum Beispiel können die spektralen Ergebnisse, die unter Verwendung eines Spektrometers des Ellipsometers 101 erhalten wurden, in einer permanenten oder halb-permanenten Speichervorrichtung (nicht gezeigt) gespeichert werden. In dieser Hinsicht können die spektralen Ergebnisse aus einem externen System importiert werden. Außerdem kann das Computersystem 130 Daten von externen Systemen über ein Übertragungsmedium empfangen.The computer system 130 can be configured to retrieve data or information from system subsystems (such as spectrometers 104 , Lighting device 102 , Steam injection system 120 , and the like) through a transmission medium and / or to acquire, which may include wired and / or wireless sections. In this way, the transmission medium may act as a data connection between the computer system 130 and other subsystems of the system 100 serve. Furthermore, the computer system 130 be configured so that it receives measurement data on a storage medium (ie memory). For example, the spectral results obtained using a spectrometer of the ellipsometer 101 are stored in a permanent or semi-permanent storage device (not shown). In this regard, the spectral results can be imported from an external system. In addition, the computer system 130 Receive data from external systems via a transmission medium.

Das Computersystem 130 kann derart konfiguriert werden, dass es Daten oder Informationen an Subsysteme des Systems, (wie z.B. Spektrometer 104, Beleuchtungsgerät 102, Dampfinjektionssystem 120, und dergleichen) durch ein Übertragungsmedium, das drahtgebundene und/oder drahtlose Abschnitte umfassen kann, überträgt. Auf diese Weise kann das Übertragungsmedium als eine Datenverbindung zwischen dem Computersystem 130 und anderen Subsystemen des Systems 100 dienen. Ferner kann das Computersystem 130 so konfiguriert werden, dass es Kommandosignale und Messergebnisse über ein Speichermedium (d.h. Speicher) überträgt. Zum Beispiel können die Messergebnisse 115, die durch eine Analyse der spektralen Daten gewonnen wurden, in einer permanenten oder halb-permanenten Speichervorrichtung (nicht gezeigt) gespeichert werden. In dieser Hinsicht können die spektralen Ergebnisse an ein externes System exportiert werden. Außerdem kann das Computersystem 130 Daten mit externen Systemen über ein Übertragungsmedium senden. Außerdem können die ermittelten Werte des Parameters von Interesse in einem Speicher gespeichert werden. Zum Beispiel können die Werte an Bord des Messsystem 100, beispielsweise im Speicher 132 gespeichert oder an ein externes Speichergerät 115 (beispielsweise über ein Ausgangssignal 115) kommuniziert werden. The computer system 130 can be configured to send data or information to subsystems of the system (such as spectrometers 104 , Lighting device 102 , Steam injection system 120 , and the like) through a transmission medium, which may include wired and / or wireless sections transmits. In this way, the transmission medium may act as a data connection between the computer system 130 and other subsystems of the system 100 serve. Furthermore, the computer system 130 be configured to transmit command signals and measurement results over a storage medium (ie memory). For example, the measurement results 115 stored by analysis of the spectral data stored in a permanent or semi-permanent storage device (not shown). In this regard, the spectral results can be exported to an external system. In addition, the computer system 130 Send data with external systems via a transmission medium. In addition, the determined values of the parameter of interest can be stored in a memory. For example, the values on board the measuring system 100 , for example, in memory 132 stored or to an external storage device 115 (For example, via an output signal 115 ) are communicated.

Wie hierin beschrieben, umfasst der Begriff „kapillare Kondensation“ jedes Verfahren, mit dem ein verdampftes Füllmaterials auf den aktuell zu vermessenden Strukturen in flüssiger Form abgeschieden wird. Dazu gehört die Adsorption und andere verwandte physikalische Mechanismen. Zum Beispiel kann das Füllmaterial untereinander austauschbar als ein Kondensat-Material oder ein Adsorbat-Material bezeichnet werden.As used herein, the term "capillary condensation" includes any method of depositing a vaporized filler material on the structures currently being measured in liquid form. This includes adsorption and other related physical mechanisms. For example, the filler material may be interchangeably referred to as a condensate material or an adsorbate material.

Wie hierin beschrieben, umfasst der Begriff „kritische Dimension“ jede kritische Abmessung einer Struktur (wie z.B. untere kritische Abmessung, mittlere kritische Abmessung, obere kritische Abmessung, Seitenwandwinkel, Gitterhöhe, etc.), eine kritische Abmessung zwischen irgend zwei oder mehr Strukturen (wie z.B. ein Abstand zwischen zwei Strukturen) und eine Verschiebung zwischen zwei oder mehr Strukturen (wie z.B. eine Overlay-Verschiebung zwischen sich überlagernde Gitterstrukturen, etc.). Die Strukturen können dreidimensionale Strukturen, gemusterte Strukturen, Overlay-Strukturen, usw. umfassen.As described herein, the term "critical dimension" includes any critical dimension of a structure (such as lower critical dimension, mean critical dimension, upper critical dimension, sidewall angle, grid height, etc.), a critical dimension between any two or more structures (such as eg a distance between two structures) and a shift between two or more structures (such as an overlay shift between overlapping grid structures, etc.). The structures may include three-dimensional structures, patterned structures, overlay structures, etc.

Wie hierin beschrieben, umfasst der Ausdruck „Anwendung der kritischen Dimension“ oder „Messung der kritischen Dimension“ jede Messung der kritischen Dimension.As described herein, the term "critical dimension application" or "critical dimension measurement" includes any critical dimension measurement.

Wie hierin beschrieben, umfasst der Begriff „Metrologiesystem“ jedes System, das zumindest teilweise eingesetzt wird, um eine Probe in irgendeiner Weise zu charakterisieren, was Messanwendungen, wie die Metrologie der kritischen Dimension, die Metrologie des Overlays, die Metrologie von Fokus/Dosis und die Metrologie der Zusammensetzung, umfasst. Allerdings beschränken solche Begriffe des Standes der Technik nicht den Umfang des Begriffs „Metrologiesystem“, wie hierin beschrieben. Darüber hinaus kann das Metrologiesystem 100 für die Messung von strukturierten Wafern und/oder unstrukturierten Wafern konfiguriert sein. Das Metrologiesystem kann als Inspektionswerkzeug, wie beispielsweise ein LED-Inspektionswerkzeug, ein Kanteninspektionswerkzeug, ein Rückseiteninspektionswerkzeug, ein Makroinspektionswerkzeug oder ein Inspektionswerkzeug in Mehrfachbetriebsweise (mit der Einbeziehung von Daten von einer oder mehreren Plattformen gleichzeitig) und jedes andere Metrologie- oder Inspektionswerkzeug, das von den Vorteilen der Kalibrierung von Systemparametern auf der Grundlage von Daten der kritischen Dimension profitiert, konfiguriert sein. Für die Zwecke dieses Patentdokuments sind die Begriffe „Metrologie“ System und „Inspektion“ System synonym.As described herein, the term "metrology system" includes any system that is used, at least in part, to characterize a sample in any way, such as metrology applications such as critical dimension metrology, overlay metrology, focus / dose metrology, and the metrology of the composition. However, such prior art terms do not limit the scope of the term "metrology system" as described herein. In addition, the metrology system can 100 be configured for the measurement of structured wafers and / or unstructured wafers. The metrology system may be used as an inspection tool, such as an LED inspection tool, an edge inspection tool, a backside inspection tool, a macro inspection tool, or a multi-mode inspection tool (with the inclusion of data from one or more platforms simultaneously) and any other metrology or inspection tool used by the Benefits of calibrating system parameters based on data of the critical dimension benefits, be configured. For the purposes of this patent document, the terms "metrology" system and "inspection" system are synonymous.

Verschiedene Ausführungsformen eines Halbleiterverarbeitungssystems (wie z.B. ein Inspektionssystem oder ein Lithografiesystem) werden hier beschrieben, das für die Verarbeitung einer Probe verwendet werden kann. Der hierin verwendete Begriff „Probe“ bezieht sich auf einen Wafer, eine Strichplatte, oder jede andere Probe, die aus aus dem Stand der Technik bekannten Mitteln verarbeitet werden kann (wie z.B. gedruckt oder auf Defekte untersucht).Various embodiments of a semiconductor processing system (such as an inspection system or a lithography system) are described herein that may be used to process a sample. The term "sample" as used herein refers to a wafer, a reticle, or any other sample which may be processed from means known in the art (such as printed or inspected for defects).

Wie hier verwendet, bezieht sich der Begriff „Wafer“ im Allgemeinen auf Substrate, die aus einem Halbleiter- oder Nichthalbleitermaterial gebildet sind. Beispiele umfassen, sind aber nicht darauf beschränkt, einkristallines Silizium, Galliumarsenid und Indiumphosphid. Diese Substrate werden üblich benutzt, und/oder können in den Halbleiterherstellungsanlagen verarbeitet werden. In einigen Fällen kann ein Wafer nur das Substrat umfassen (d.h. blanker Wafer). Alternativ kann ein Wafer eine oder mehrere Schichten aus unterschiedlichen Materialien umfassen, die auf einem Substrat ausgeformt sind. Eine oder mehrer Schichten auf einem Wafer können „gemustert“ oder „unstrukturiert“ sein. Zum Beispiel kann ein Wafer eine Vielzahl von DIE's aufweisen, die ein sich wiederholendes Muster umfassen.As used herein, the term "wafer" generally refers to substrates formed from a semiconductor or non-semiconductor material. Examples include, but are not limited to, single crystal silicon, gallium arsenide and indium phosphide. These substrates are commonly used and / or can be processed in semiconductor manufacturing facilities. In some cases, a wafer may only comprise the substrate (i.e., bare wafer). Alternatively, a wafer may comprise one or more layers of different materials formed on a substrate. One or more layers on a wafer may be "patterned" or "unstructured." For example, a wafer may have a plurality of DIE's comprising a repeating pattern.

Ein „Retikel“ kann ein Retikel in irgendeiner Stufe eines Herstellungsprozesses des Retikels oder eines fertigen Retikels sein, die bereits oder noch nicht für die Verwendung in einer Halbleiterfertigungsanlage freigegeben worden sind. Ein Retikel oder eine „Maske“, wird im Allgemeinen durch ein im Wesentlichen transparentes Substrat definiert, das im wesentlichen opake Bereiche darauf ausgebildet hat, die in Form eines Musters konfiguriert sind. Das Substrat kann zum Beispiel ein Glasmaterial, wie z.B. amorphes Si02, sein. Ein Retikel kann über einem mit Resist bedeckten Wafer während eines Belichtungsschrittes eines Lithographieprozesses angeordnet sein, so dass das Muster auf dem Retikel auf den Resist übertragen werden kann.A "reticle" may be a reticle at any stage of a manufacturing process of the reticle or finished reticle that has been or may not yet be released for use in a semiconductor manufacturing facility. A reticle or "mask" is generally defined by a substantially transparent substrate that has formed substantially opaque areas thereon, which are configured in the form of a pattern. The substrate may be, for example, a glass material such as amorphous SiO 2. A reticle may be disposed over a resist-covered wafer during an exposure step of a lithography process so that the pattern on the reticle may be transferred to the resist.

Ein oder mehr auf einem Wafer ausgebildete Schichten können gemustert oder ungemustert sein. Beispielsweise kann ein Wafer eine Vielzahl von DIE's umfassen, von denen jedes DIE wiederholende Muster-Eigenschaften besitzt. Die Ausbildung und Verarbeitung solcher Materialschichten führen letztlich zu den fertigen Bauelementen. Viele verschiedene Arten von Bauelementen können auf einem Wafer gebildet werden, und der Begriff Wafer, wie hierin verwendet, soll einen Wafer umfassen, auf dem jegliche aus dem Stand der Technik bekannte Bauelemente ausgebildet werden können.One or more layers formed on a wafer may be patterned or unpatterned. For example, a wafer may comprise a plurality of DIEs, each of which has the repetitive pattern characteristics. The training and processing of such material layers ultimately lead to the finished components. Many different types of devices may be formed on a wafer, and the term wafer as used herein is intended to encompass a wafer upon which any devices known in the art may be formed.

Bei einem oder mehreren Ausführungsbeispielen können die beschriebenen Funktionen in Hardware, Software, Firmware oder eine beliebige Kombination davon implementiert werden. Falls in Form von Software implementiert, können die Funktionen als eine oder mehrere Anweisungen oder Code auf einem computerlesbaren Medium gespeichert oder an ein computerlesbares Medium übermittelt werden. Computerlesbare Medien umfassen sowohl Speichermedien von Computern und Kommunikationsmedien einschließlich jedes Medium, das von einem Ort zum anderen die Übertragung eines Computerprogramms ermöglicht. Speichermedien können beliebige verfügbare Medien sein, auf die von einem Allzweck- oder einem Spezialcomputer zugegriffen werden kann. Als Beispiel, und nicht als Einschränkung zu verstehen, können solche computerlesbaren Medien RAM, ROM, EEPROM, CD-ROM oder andere optische Plattenspeicher, Magnetplattenspeicher oder andere magnetische Speichervorrichtungen umfassen, oder jedes andere Medium, das verwendet werden kann, um den gewünschten Programmcode in Form von Anweisungen oder Datenstrukturen zu tragen oder zu speichern und auf den durch einen Allzweck- oder Spezialcomputer oder einen Allzweck- oder Spezialprozessor zugegriffen werden kann, umfassen. Außerdem wird jede Verbindung richtig als ein computerlesbares Medium bezeichnet. Zum Beispiel falls die Software von einer Website, einem Server oder einer anderen entfernten Quelle unter Verwendung eines Koaxialkabels, Glasfaserkabels, einer verdrillten Leitung, einer Digital Subscriber Line (DSL), oder mittels drahtloser Technologien, wie Infrarot, Radio- und Mikrowellen, dann das Koaxialkabel, Glasfaserkabel, verdrillte, DSL oder drahtlose Technologien wie Infrarot, Funk, und Mikrowellen übertragen wird, sind diese in der Definition des Mediums enthalten. Disc oder Platte, wie hierin verwendet, schließt eine Compact Disc (CD), eine Laserdisc, eine optische Platte, eine Digital Versatile Disc (DVD), eine Diskette und eine Blu-Ray-Disc ein, wobei Platten in der Regel Daten magnetisch wiedergeben, während die Scheiben Daten optisch mit dem Laser reproduzieren. Kombinationen der oben genannten Möglichkeiten sollten ebenfalls im Umfang der computerlesbaren Medien enthalten sein.In one or more embodiments, the described functions may be implemented in hardware, software, firmware, or any combination thereof. If implemented in the form of software, the functions may be stored as one or more instructions or code on a computer readable medium or communicated to a computer readable medium. Computer-readable media include both storage media of computers and communication media, including any medium that allows transfer of a computer program from one location to another. Storage media can be any available media that can be accessed by a general purpose or special purpose computer. By way of example, and not limitation, such computer-readable media may include RAM, ROM, EEPROM, CD-ROM or other optical disk storage, magnetic disk storage, or other magnetic storage devices, or any other medium that may be used to provide the desired program code To carry or store the form of instructions or data structures that can be accessed by a general purpose or special purpose computer or a general purpose or special purpose processor. In addition, each connection is properly called a computer-readable medium. For example, if the software is from a website, server or other remote source using a coaxial cable, fiber optic cable, a twisted pair, a Digital Subscriber Line (DSL), or wireless technologies such as infrared, radio, and microwave, then the Coaxial cable, fiber optic cable, twisted, DSL or wireless technologies such as infrared, radio, and microwaves is transmitted, these are included in the definition of the medium. Disc or disc as used herein includes a compact disc (CD), a laser disc, an optical disc, a digital versatile disc (DVD), a floppy disk, and a Blu-ray disc, which disks typically display data magnetically while the slices reproduce data optically with the laser. Combinations of the above options should also be included in the scope of computer-readable media.

Obwohl bestimmte spezifische Ausführungsformen zu Informationszwecken oben beschrieben sind, haben die Lehren dieses Patentdokuments allgemeine Anwendbarkeit und sind nicht auf die spezifischen, oben beschrieben Ausführungsformen beschränkt. Dementsprechend können verschiedene Modifikationen, Anpassungen und Kombinationen von verschiedenen Merkmalen der beschriebenen Ausführungsformen ausgeführt werden, ohne von dem Schutzbereich der Erfindung in den nachstehenden Ansprüchen abzuweichen.Although certain specific embodiments are described above for information purposes, the teachings of this patent document have general applicability and are not limited to the specific embodiments described above. Accordingly, various modifications, adaptations, and combinations of various features of the described embodiments may be made without departing from the scope of the invention in the claims below.

ZITATE ENTHALTEN IN DER BESCHREIBUNG QUOTES INCLUDE IN THE DESCRIPTION

Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.This list of the documents listed by the applicant has been generated automatically and is included solely for the better information of the reader. The list is not part of the German patent or utility model application. The DPMA assumes no liability for any errors or omissions.

Zitierte PatentliteraturCited patent literature

  • US 62/330751 [0001]US 62/330751 [0001]
  • US 62/441887 [0001]US 62/441887 [0001]
  • US 15/204938 [0001]US 15/204938 [0001]
  • US 7755764 [0037]US 7755764 [0037]
  • US 7478019 [0099]US 7478019 [0099]

Claims (28)

Ein Messsystem, umfassend: eine Beleuchtungsquelle, die konfiguriert ist, eine erste Menge an Beleuchtungslicht für ein Strukturelement oder mehrere Strukturelemente, die auf einer Probe angeordnet sind, bereitzustellen; ein Dampfinjektionssystem, das konfiguriert ist, eine erste gasförmige Strömung, die ein erstes Füllmaterial in einer Dampfphase enthält, zu einem Strukturelement oder mehreren Strukturelementen während der Beleuchtung des einen Strukturelements oder der mehreren Strukturelemente, bereitzustellen, wobei ein Teil des ersten Füllmaterials auf dem Strukturelement oder mehreren Strukturelementen in einer flüssigen Phase kondensiert, und wobei ein Teil des ersten Füllmaterials zumindest einen Teil eines Raums zwischen einem oder mehreren geometrischen Merkmalen des einen Strukturelements oder der mehreren Strukturelemente füllt; und einen Detektor, der konfiguriert ist eine erste Menge des gesammelten Lichts von dem einen Strukturelement oder den mehreren Strukturelementen in Antwort auf die erste Menge an Beleuchtungslicht zu empfangen und einen ersten Satz von Messsignalen zu erzeugen, der für die erste Menge des gesammelten Lichts bezeichnend ist.A measuring system comprising: an illumination source configured to provide a first amount of illumination light for one or more feature elements disposed on a sample; a vapor injection system configured to provide a first gaseous flow containing a first vapor phase vapor material to one or more structural members during illumination of the one or more structural members, wherein a portion of the first filler material is disposed on the structural member or structural members condensing a plurality of structural elements in a liquid phase, and wherein a portion of the first filling material fills at least a portion of a space between one or more geometric features of the one or more structural elements; and a detector configured to receive a first quantity of the collected light from the one or more structural elements in response to the first amount of illumination light and to generate a first set of measurement signals indicative of the first amount of collected light. Messsystem nach Anspruch 1, wobei das Dampfinjektionssystem ferner ausgebildet ist, eine zweite gasförmige Strömung, die ein zweites Füllmaterial in einer Dampfphase enthält, zu einem Strukturelement oder mehreren Strukturelementen während der Beleuchtung des einen Strukturelements oder der mehreren Strukturelemente, bereitzustellen, wobei ein Teil des zweiten Füllmaterials auf dem Strukturelement oder mehreren Strukturelementen in einer flüssigen Phase kondensiert, und wobei ein Teil des zweiten Füllmaterials zumindest einen Teil eines Raums zwischen einem oder mehreren geometrischen Merkmalen des einen Strukturelements oder der mehreren Strukturelemente füllt.Measuring system after Claim 1 wherein the vapor injection system is further configured to provide a second gaseous flow containing a second vapor phase vapor deposition material to one or more structural members during illumination of the one or more structural members, wherein a portion of the second filler material is disposed on the structural member or a plurality of structural elements in a liquid phase, and wherein a portion of the second filler material fills at least a portion of a space between one or more geometric features of the one or more structural elements. Messsystem nach Anspruch 1, ferner umfassend: ein Computersystem, das konfiguriert ist: um den ersten Satz von Messsignalen zu empfangen, die mit einer ersten Messung von einem Strukturelement oder mehreren Strukturelementen verbunden ist; und um einen Wert eines interessierenden Parameters des einen Strukturelements oder der mehreren Strukturelemente abzuschätzen, der zumindest teilweise auf dem ersten Satz von Messsignalen basiert.Measuring system after Claim 1 , further comprising: a computer system configured to: receive the first set of measurement signals associated with a first measurement of one or more feature elements; and estimate a value of a parameter of interest of the one or more features based at least in part on the first set of measurement signals. Messsystem nach Anspruch 1, wobei die Beleuchtungsquelle ferner derart konfiguriert ist, dass sie eine zweite Menge an Beleuchtungslicht für ein Strukturelement oder mehrere Strukturelemente bereitstellt, die auf einer Probe angeordnet sind, wobei das Dampfinjektionssystem ferner derart konfiguriert ist, dass es eine zweite gasförmige Strömung bildet, die das erste Füllmaterial bei einem anderen Partialdruck umfasst als die erste gasförmige Strömung, wobei der Detektor ferner derart konfiguriert ist, dass er eine zweite Menge des gesammelten Lichts von dem einen Strukturelement oder den mehreren Strukturelementen in Antwort auf die zweite Menge an Beleuchtungslicht empfängt, und einen zweiten Satz von Messsignalen erzeugt, der für die zweite Menge des gesammelten Lichts bezeichnend ist.Measuring system after Claim 1 wherein the illumination source is further configured to provide a second amount of illumination light for one or more feature elements disposed on a sample, the vapor injection system being further configured to form a second gaseous flow including the first Filler at a different partial pressure than the first comprises gaseous flow, wherein the detector is further configured to receive a second amount of the collected light from the one or more structural elements in response to the second amount of illumination light, and a second set of measurement signals indicative of the second set of collected light. Messsystem nach Anspruch 4, ferner umfassend: ein Computersystem, das konfiguriert ist um: den ersten Satz von Messsignalen zu erhalten, der mit einer ersten Messung von einem Strukturelement oder mehreren Strukturelementen verbunden ist; den zweiten Satz von Messsignalen zu erhalten, der mit einer zweiten Messung von einem Strukturelement oder mehreren Strukturelementen verbunden ist; und einen Wert eines Parameters von Interesse des einen Strukturelements oder der mehreren Strukturelemente abzuschätzen, die zumindest teilweise auf den ersten und zweiten Satz von Messsignalen und einem Multi-Target-Messmodell basieren.Measuring system after Claim 4 , further comprising: a computer system configured to: obtain the first set of measurement signals associated with a first measurement of one or more feature elements; obtain the second set of measurement signals associated with a second measurement of one or more features; and estimate a value of a parameter of interest of the one or more feature elements based at least in part on the first and second set of measurement signals and a multi-target measurement model. Messsystem nach Anspruch 4, wobei der Partialdruck des ersten Füllmaterials in der zweiten gasförmigen Strömung annähernd Null ist.Measuring system after Claim 4 , wherein the partial pressure of the first filling material in the second gaseous flow is approximately zero. Messsystem nach Anspruch 1, wobei das Messsystem als irgendeines von einm spektoskopischen Ellipsometer, einem spektroskopischen Reflektometer, einem winkelaufgelösten Reflektometer, einem Inspektionssystem für Dunkelfeld, einem Inspektionssystem für Hellfeld und einem abbildenden Overlay-Messsystem ausgebildet ist.Measuring system after Claim 1 wherein the measuring system is embodied as any of a spectroscopic ellipsometer, a spectroscopic reflectometer, an angle resolved reflectometer, a dark field inspection system, a bright field inspection system and an imaging overlay measuring system. Messsystem nach Anspruch 1, wobei die erste Menge an Beleuchtungslicht ein breitbandiges Licht ist, das Beleuchtungswellenlängen von 100 Nanometer bis 2.500 Nanometer umfasst.Measuring system after Claim 1 wherein the first amount of illumination light is a broadband light comprising illumination wavelengths of 100 nanometers to 2,500 nanometers. Messsystem nach Anspruch 1, wobei die Probentemperatur ungefähr die gleiche Temperatur ist, wie die Temperatur des ersten Füllmaterials, das in der gasförmigen Strömung dampfförmig vorhanden ist.Measuring system after Claim 1 wherein the sample temperature is approximately the same temperature as the temperature of the first filling material vaporized in the gaseous flow. Messsystem nach Anspruch 1, wobei das Dampfinjektionssystem einen ersten Strom von ungesättigten Spülgas mit einem zweiten Strom von mit dem ersten Füllmaterial in einer Dampfphase gesättigten Spülgases mischt, um die erste gasförmige Strömung bereitzustellen.Measuring system after Claim 1 wherein the steam injection system mixes a first stream of unsaturated sweep gas with a second stream of sweep gas saturated with the first fill material in a vapor phase to provide the first gaseous flow. Messsystem nach Anspruch 10, wobei das Dampfinjektionssystem einen Partialdruck des Füllmaterials in der ersten gasförmigen Strömung durch Ändern eines Verhältnisses des Stroms des ungesättigten Spülgases und des Stroms des mit dem ersten Füllmaterial in der Dampfphase gesättigten Spülgases einstellt.Measuring system after Claim 10 wherein the steam injection system has a partial pressure of Filling material in the first gaseous flow by changing a ratio of the flow of the unsaturated purge gas and the flow of saturated with the first filling material in the vapor phase purge gas adjusts. Messsystem nach Anspruch 10, wobei das Dampfinjektionssystem umfasst: ein Blasdüsenrohr, das das erste Füllmaterial in einer flüssigen Phase umfasst, wobei ein Teil des flüssigen Füllmaterials in die zweite gasförmige Strömung des Spülgases verdampft, um den zweiten Strom des Spülgases mit dem ersten Füllmaterials in einer Dampfphase zu sättigen.Measuring system after Claim 10 wherein the steam injection system comprises: a blowing nozzle tube comprising the first filling material in a liquid phase, wherein a portion of the liquid filling material evaporates into the second gaseous flow of the purge gas to saturate the second stream of purge gas with the first filling material in a vapor phase. Messsystem nach Anspruch 1, wobei das Füllmaterial eines von Wasser, Ethanol, Toluol, Isopropylalkohol, Methanol und Benzol ist.Measuring system after Claim 1 wherein the filler is one of water, ethanol, toluene, isopropyl alcohol, methanol and benzene. Messsystem nach Anspruch 1, wobei das erste Füllmaterial eine Fluoreszenz in Reaktion auf die erste Menge des Beleuchtungslichtes aufweist.Measuring system after Claim 1 wherein the first fill material has fluorescence in response to the first amount of illumination light. Messsystem nach Anspruch 3, wobei das Abschätzen des Wertes des interessierenden Parameters jedes von einer modellbasierten Regression, einer modellbasierten Bibliothekssuche, einer modellbasierten Bibliothek-Regression, einer bildbasierten Analyse, und ein Metrologie-Modell auf Basis der Signalantwort beinhaltet.Measuring system after Claim 3 wherein estimating the value of the parameter of interest includes each of a model-based regression, a model-based library search, a model-based library regression, an image-based analysis, and a metrology model based on the signal response. Ein Messsystem, umfassend: eine Beleuchtungsquelle, die konfiguriert ist, eine erste Menge an Beleuchtungslicht für ein Strukturelement oder mehrere Strukturelemente, die auf einer Probe angeordnet sind, bereitzustellen; ein Dampfinjektionssystem, umfassend: einen ersten Massendurchflussregler, der einen Durchfluss von einer ersten Strömung eines Spülgases regelt; einen zweiten Massendurchflussregler, der einen Durchfluss von einer zweiten Strömung des Spülgases regelt; und ein Blasdüsenrohr, das ein erstes Füllmaterial in einer flüssigen Phase umfasst, wobei die zweite Strömung des Spülgases durch das Blasdüsenrohr führt und ein Teil des flüssigen Füllmaterials verdampft in die zweite Strömung des Spülgases, um die zweite Strömung des Spülgases mit dem ersten Füllmaterial in einer Dampfphase zu sättigen, wobei die erste Strömung des Spülgases und die zweite, mit dem ersten Füllmaterial gesättigte Strömung des Spülgases kombiniert werden, um eine gasförmige Strömung während der Beleuchtung eines Strukturelements oder mehrerer Strukturelemente auf ein Strukturelement oder mehrere Strukturelemente zu richten, die auf der Probe angeordnet sind; und einen Detektor, der konfiguriert ist, um eine erste Menge an gesammelten Licht, das von dem einen Strukturelement oder den mehreren Strukturelementen in Antwort auf die ersten Menge an Beleuchtungslicht zu empfangen und einen ersten Satz von Messsignalen zu erzeugen, die der ersten Menge des gesammelten Lichts entsprechen.A measuring system comprising: an illumination source configured to provide a first amount of illumination light for one or more feature elements disposed on a sample; a steam injection system comprising: a first mass flow controller that regulates a flow of a first flow of a purge gas; a second mass flow controller that regulates a flow of a second flow of the purge gas; and a blast nozzle tube comprising a first filler material in a liquid phase, the second flow of purge gas passing through the blast nozzle tube and a portion of the liquid filler vaporized into the second flow of purge gas to vaporize the second flow of purge gas with the first filler material to saturate, wherein the first flow of the purge gas and the second, saturated with the first filling material flow of purge gas are combined to direct a gaseous flow during the illumination of one or more structural elements on one or more structural elements arranged on the sample are; and a detector configured to receive a first amount of collected light received from the one or more structural elements in response to the first amount of illumination light and to generate a first set of measurement signals corresponding to the first set of collected light correspond. Messsystem nach Anspruch 16, ferner umfassend: ein Computersystem, das konfiguriert ist um: ein erstes Kommandosignal an den ersten Massendurchflussregler zu senden, das den ersten Massendurchflussregler veranlasst, die Flussrate des ersten Stroms des Spülgases anzupassen; und ein zweites Befehlssignal an den zweiten Massendurchflussregler zu senden, das den zweiten Massendurchflussregler veranlasst, die Flussrate des zweiten Stroms des Spülgases anzupassen, so dass die Flussrate des ersten Stroms des Spülgases und die Flussrate des zweiten Stroms des Spülgases derart angepasst werden, dass ein gewünschter Partialdruck des ersten Füllmaterials in der gasförmigen Strömung erzielt wird.Measuring system after Claim 16 , further comprising: a computer system configured to: send a first command signal to the first mass flow controller that causes the first mass flow controller to adjust the flow rate of the first flow of the purge gas; and send a second command signal to the second mass flow controller that causes the second mass flow controller to adjust the flow rate of the second flow of purge gas such that the flow rate of the first flow of purge gas and the flow rate of the second flow of purge gas are adjusted to a desired one Partialdruck of the first filling material is achieved in the gaseous flow. Ein Verfahren, umfasst: Bereitstellen einer ersten Menge an Beleuchtungslicht für ein Strukturelement oder mehrere Strukturelemente, die auf einer Probe angeordnet sind; Bereitstellen einer ersten gasförmigen Strömung, die ein erstes Füllmaterial in einer Dampfphase enthält, in Richtung des Strukturelements oder der mehreren Strukturelemente während der Beleuchtung des einen Strukturelements oder der mehreren Strukturelemente, wobei ein Teil des ersten Füllmaterials auf dem Strukturelement oder den mehreren Strukturelementen in einer flüssigen Phase kondensiert, und wobei ein Teil des ersten Füllmaterials zumindest einen Teil eines Raums zwischen einem geometrischen Merkmal oder mehrere geometrische Merkmale des Strukturelements oder den mehreren Strukturelementen füllt; Detektieren einer ersten Menge von gesammelten Licht von dem Strukturelement oder mehreren Strukturelementen in Antwort auf die erste Menge des Beleuchtugnslichts; und Erzeugen eines ersten Satzes von Messsignalen, der für die erste Menge an gesammeltem Licht bezeichnend ist.A method comprising: Providing a first amount of illuminating light for one or more structural elements disposed on a sample; Providing a first gaseous stream containing a first vapor phase filler material toward the structural element or elements during illumination of the one or more structural elements, wherein a portion of the first filler material on the structural element or elements is liquid Phase condenses, and wherein a portion of the first fill material fills at least a portion of a space between a geometric feature or a plurality of geometric features of the structural element or the plurality of structural elements; Detecting a first amount of collected light from the one or more structural elements in response to the first amount of illuminating light; and Generating a first set of measurement signals indicative of the first amount of collected light. Verfahren nach Anspruch 18, ferner umfassend: Bereitstellen einer zweiten gasförmigen Strömung, die ein zweites Füllmaterial in einer Dampfphase enthält, für ein Strukturelement oder mehrere Strukturelemente während der Beleuchtung des einen Strukturelements oder der mehreren Strukturelemente, wobei ein Teil des zweiten Füllmaterials auf dem Strukturelement oder mehreren Strukturelementen in einer flüssigen Phase kondensiert, und wobei ein Teil des zweiten Füllmaterials zumindest einen Teil eines Raums zwischen einem oder mehreren geometrischen Merkmalen des einen Strukturelements oder der mehreren Strukturelemente füllt.Method according to Claim 18 , further comprising: providing a second gaseous stream containing a second vapor phase vapor deposition material for one or more structural elements during illumination of the one or more structural elements, wherein a portion of the second filler material is disposed on the structural element or multiple structural elements in one condensed liquid phase, and wherein a portion of the second filler at least a portion of a space between one or more geometric features of the one or more structural elements fills. Verfahren nach Anspruch 18, ferner umfassend: Bereitstellen einer zweiten Menge an Beleuchtungslicht an das Strukturelement oder die mehreren Strukturelemente, die auf einer Probe angeordnet sind Bereitstellen einer zweiten gasförmigen Strömung, die das erste Füllmaterial bei einem anderen Partialdruck umfasst als die erste gasförmige Strömung; Detektieren einer zweiten Menge des gesammelten Lichts von dem einen Strukturelement oder den mehreren Strukturelementen in Antwort auf die zweite Menge an Beleuchtungslicht; und Erzeugen eines zweiten Satzes von Messsignalen, der für die zweite Menge des gesammelten Lichts bezeichnend ist.Method according to Claim 18 , further comprising: providing a second amount of illumination light to the one or more structural elements disposed on a sample; providing a second gaseous flow comprising the first fill material at a different partial pressure than the first gaseous flow; Detecting a second amount of the collected light from the one or more structural elements in response to the second amount of illumination light; and generating a second set of measurement signals indicative of the second set of collected light. Verfahren nach Anspruch 20, ferner umfassend: Abschätzen eines Werts eines Parameters von Interesse des einen Strukturelements oder der mehreren Strukturelemente, die zumindest teilweise auf den ersten und zweiten Satz von Messsignalen basieren.Method according to Claim 20 , further comprising: estimating a value of a parameter of interest of the one or more feature elements based at least in part on the first and second set of measurement signals. Verfahren nach Anspruch 21, wobei das Abschätzen des Wertes des Parameters von Interesse irgendeines einer modellbasierten Regression, einer modellbasierten Bibliothekssuche, einer modellbasierten Bibliothek-Regression, einer bildbasierten Analyse, und eines Metrologie-Modell auf Basis der Signalantwort beinhaltet.Method according to Claim 21 wherein estimating the value of the parameter of interest includes any one of a model-based regression, a model-based library search, a model-based library regression, an image-based analysis, and a metrology model based on the signal response. Verfahren nach Anspruch 18, wobei eine Temperatur der Probe in etwa die gleiche Temperatur ist, wie die Temperatur des ersten Füllmaterials, das in der gasförmigen Strömung dampfförmig vorhanden ist.Method according to Claim 18 wherein a temperature of the sample is at approximately the same temperature as the temperature of the first filling material which is in vapor form in the gaseous flow. Verfahren nach Anspruch 18, wobei das Bereitstellen der ersten gasförmigen Strömung das Mischen eines ersten Stroms von ungesättigtem Spülgas mit einem zweiten Strom von Spülgas, das mit dem ersten Füllmaterial in einer Dampfphase gesättigt ist, umfasst.Method according to Claim 18 wherein providing the first gaseous stream comprises mixing a first stream of unsaturated purge gas with a second stream of purge gas saturated with the first filler in a vapor phase. Verfahren nach Anspruch 24, ferner umfassend: Anpassen eines Partialdrucks des ersten Füllmaterials in der ersten gasförmigen Strömung durch Ändern eines Verhältnisses des Stroms von ungesättigtem Spülgas und des Stroms des mit dem ersten Füllmaterial in einer Dampfphase gesättigten Spülgases.Method according to Claim 24 , further comprising: adjusting a partial pressure of the first filling material in the first gaseous flow by changing a ratio of the flow of unsaturated purge gas and the flow of purge gas saturated with the first filling material in a vapor phase. Verfahren nach Anspruch 18, wobei das Füllmaterial eines von Wasser, Ethanol, Toluol, Isopropylalkohol, Methanol und Benzol ist.Method according to Claim 18 wherein the filler is one of water, ethanol, toluene, isopropyl alcohol, methanol and benzene. Verfahren nach Anspruch 18, wobei das erste Füllmaterial eine Fluoreszenz in Reaktion auf die erste Menge des Beleuchtungslichtes aufweist.Method according to Claim 18 wherein the first fill material has fluorescence in response to the first amount of illumination light. Verfahren nach Anspruch 18, ferner umfassend: Anpassen einen Sättigungsgrads des ersten Füllmaterials in der ersten gasförmigen Strömung, so dass jegliche Zwischenräume zwischen dem einen geometrischen Merkmal oder den mehreren geometrischen Merkmalen unterhalb einer gewünschten maximalen Strukturgröße gefüllt werden.Method according to Claim 18 , further comprising: adjusting a saturation level of the first fill material in the first gaseous flow such that any gaps between the one or more geometric features are filled below a desired maximum feature size.
DE112017002298.3T 2016-05-02 2017-04-28 Measurement of semiconductor structures with capillary condensation Withdrawn DE112017002298T5 (en)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201662330751P 2016-05-02 2016-05-02
US62/330,751 2016-05-02
US15/204,938 2016-07-07
US15/204,938 US10281263B2 (en) 2016-05-02 2016-07-07 Critical dimension measurements with gaseous adsorption
US201762441887P 2017-01-03 2017-01-03
US62/441,887 2017-01-03
PCT/US2017/030267 WO2017192406A1 (en) 2016-05-02 2017-04-28 Measurement of semiconductor structures with capillary condensation

Publications (1)

Publication Number Publication Date
DE112017002298T5 true DE112017002298T5 (en) 2019-02-14

Family

ID=60203204

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112017002298.3T Withdrawn DE112017002298T5 (en) 2016-05-02 2017-04-28 Measurement of semiconductor structures with capillary condensation

Country Status (5)

Country Link
JP (1) JP6790123B2 (en)
KR (1) KR102219787B1 (en)
CN (1) CN109075100B (en)
DE (1) DE112017002298T5 (en)
WO (1) WO2017192406A1 (en)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7755764B2 (en) 2007-01-26 2010-07-13 Kla-Tencor Corporation Purge gas flow control for high-precision film measurements using ellipsometry and reflectometry

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI315091B (en) * 2001-12-31 2009-09-21 Tokyo Electron Limite Method of fault detection for material process system
JP3693972B2 (en) * 2002-03-19 2005-09-14 富士通株式会社 Bonded substrate manufacturing apparatus and substrate bonding method
US20090081810A1 (en) * 2004-10-06 2009-03-26 Ebara Corporation Substrate processing apparatus and substrate processing method
WO2009099776A1 (en) * 2008-01-31 2009-08-13 Applied Materials, Inc. Closed loop mocvd deposition control
US20100235114A1 (en) * 2009-03-10 2010-09-16 Kla-Tencor Corporation Systems and methods for determining one or more characteristics of a specimen using radiation in the terahertz range
KR101306986B1 (en) * 2010-03-09 2013-09-26 한국전자통신연구원 Apparatus for forming thin films
KR20130006986A (en) * 2011-06-28 2013-01-18 엘지전자 주식회사 Mobile terminal and method for controlling the same
US10079183B2 (en) * 2013-06-26 2018-09-18 Kla-Tenor Corporation Calculated electrical performance metrics for process monitoring and yield management
CN103839851A (en) * 2014-03-17 2014-06-04 上海华虹宏力半导体制造有限公司 Endpoint judgment method

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7755764B2 (en) 2007-01-26 2010-07-13 Kla-Tencor Corporation Purge gas flow control for high-precision film measurements using ellipsometry and reflectometry

Also Published As

Publication number Publication date
KR20180132947A (en) 2018-12-12
WO2017192406A1 (en) 2017-11-09
CN109075100B (en) 2020-06-30
KR102219787B1 (en) 2021-02-23
JP2019515294A (en) 2019-06-06
JP6790123B2 (en) 2020-11-25
CN109075100A (en) 2018-12-21

Similar Documents

Publication Publication Date Title
DE112017002295T5 (en) Porosity measurement of semiconductor structures
DE112017002291T5 (en) MEASUREMENT OF CRITICAL DIMENSION USING GASEOUS ADSORPTION
JP6983944B2 (en) Methods and measurement systems for image-based measurements
US10151986B2 (en) Signal response metrology based on measurements of proxy structures
US10101670B2 (en) Statistical model-based metrology
DE112018005533T5 (en) DETECTION AND MEASUREMENT OF THE DIMENSIONS OF ASYMMETRIC STRUCTURES
KR102035377B1 (en) On-device metrology
DE102014101482B4 (en) Method and system for dark field inspection
TWI703653B (en) Model-based single parameter measurement
DE112017001846T5 (en) Semiconductor metrology with information from several process steps
JP6924261B2 (en) Hybrid weighing for characterizing patterned wafers
DE69922942T2 (en) MEASURE A DIFRUCTION STRUCTURE, BROADBAND, POLARIZING AND ELLIPSOMETRIC AND A SUBJECT STRUCTURE
DE102005056916B4 (en) Method of designing an overlay marker
DE112016001982T5 (en) CLEAN-EFFICIENT ON X-RAY SUPERVISED MEASUREMENT OF THE OVERLAY
DE112017000384T5 (en) Systems and methods for advanced infrared spectroscopic ellipsometry
DE112013003491T5 (en) Modeling and analysis machine for a combined X-ray and optically based metrology
DE112013005358T5 (en) Apparatus and method for optical metrology with optimized system parameters
DE112020002023T5 (en) METHODS AND SYSTEMS FOR COMBINING X-RAY METROLOGY DATA SETS TO IMPROVE PARAMETER ESTIMATION
KR20170067885A (en) Metrology of multiple patterning processes
KR20200032249A (en) On-device measurement using target decomposition
DE112016000853T5 (en) Optical metrology with reduced sensitivity to focus errors
DE102013213785A1 (en) Method and system for determining overlap process windows in semiconductors by inspection techniques
DE112017000464T5 (en) Single wavelength ellipsometry with improved spot size capability
EP3944022B1 (en) Method and device for characterizing a wafer patterned using at least one lithography step
DE112017002298T5 (en) Measurement of semiconductor structures with capillary condensation

Legal Events

Date Code Title Description
R005 Application deemed withdrawn due to failure to request examination