DE112015007213B4 - Halbleiter-package mit durchgangsbrücken-die-verbindungen und verfahren zum herstellen eines halbleiter-package - Google Patents

Halbleiter-package mit durchgangsbrücken-die-verbindungen und verfahren zum herstellen eines halbleiter-package Download PDF

Info

Publication number
DE112015007213B4
DE112015007213B4 DE112015007213.6T DE112015007213T DE112015007213B4 DE 112015007213 B4 DE112015007213 B4 DE 112015007213B4 DE 112015007213 T DE112015007213 T DE 112015007213T DE 112015007213 B4 DE112015007213 B4 DE 112015007213B4
Authority
DE
Germany
Prior art keywords
die
bridge
layer
package
bridge die
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE112015007213.6T
Other languages
English (en)
Other versions
DE112015007213T5 (de
Inventor
Zhiguo Qian
Jianyong Xie
Kemal Aygun
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of DE112015007213T5 publication Critical patent/DE112015007213T5/de
Application granted granted Critical
Publication of DE112015007213B4 publication Critical patent/DE112015007213B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5381Crossover interconnections, e.g. bridge stepovers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4853Connection or disconnection of other leads to or from a metallisation, e.g. pins, wires, bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4857Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4864Cleaning, e.g. removing of solder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5385Assembly of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L24/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/82Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13111Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16235Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a via metallisation of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16237Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bonding area disposed in a recess of the surface of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L2224/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • H01L2224/241Disposition
    • H01L2224/24135Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/24137Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being arranged next to each other, e.g. on a common substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/48225Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/48227Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation connecting the wire to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1517Multilayer substrate
    • H01L2924/15192Resurf arrangement of the internal vias
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/15786Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2924/15787Ceramics, e.g. crystalline carbides, nitrides or oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/15786Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2924/15788Glasses, e.g. amorphous oxides, nitrides or fluorides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/1579Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Geometry (AREA)
  • Production Of Multi-Layered Print Wiring Board (AREA)
  • Lead Frames For Integrated Circuits (AREA)

Abstract

Halbleiter-Package (200, 320), umfassend:eine erste Aufbauschicht (102, 204) mit einer oberen Oberfläche und einer der oberen Oberfläche gegenüberliegenden unteren Oberfläche, wobei die erste Aufbauschicht (102, 204) einen Leistungskontakt (108; 206; 304) auf der oberen Oberfläche der ersten Aufbauschicht (102, 204) aufweist;eine zweite Aufbauschicht (110, 210), die über der ersten Aufbauschicht (102, 204) liegt, wobei die zweite Aufbauschicht (110, 210) einen Hohlraum definiert, der über dem Leistungskontakt (108; 206) liegt;einen Brücken-Die (118, 212, 324), der innerhalb des Hohlraums der zweiten Aufbauschicht (110, 210) angeordnet ist, wobei der Brücken-Die (118, 212, 324) eine Brücken-Die-Rückseite und eine Brücken-Die-Oberseite aufweist, wobei die Brücken-Die-Oberseite eine Mehrzahl von Brücken-Die-Oberseitenkontakten aufweist, wobei die Brücken-Die-Rückseite elektrisch mit dem Leistungskontakt (108, 206) gekoppelt ist; undeine dritte Aufbauschicht (130, 220), die über der Oberseite des Brücken-Dies (118, 212, 324) liegend und über der zweiten Aufbauschicht (110, 210) angeordnet ist, wobei die dritte Aufbauschicht (112, 220) eine Zwischenverbindungsleiterbahn aufweist (132; 224), wobei die Zwischenverbindungsleiterbahn elektrisch mit einem ersten Brücken-Die-Oberseitenkontakt (230) der Mehrzahl von Brücken-Die-Oberseitenkontakten gekoppelt ist, wobei der erste Brücken-Die-Oberseitenkontakt über einen Brücken-Die-Bulk des Brücken-Dies (118, 212, 324) elektrisch mit der Brücken-Die-Rückseite gekoppelt ist.

Description

  • GEBIET DER TECHNIK
  • Diese Offenbarung betrifft im Allgemeinen Halbleiter-Packages mit einem Brücken-Die und insbesondere elektrische Durchgangsbrücken-Die-Verbindungen.
  • HINTERGRUND
  • Eine integrierte Schaltung bzw. integrierte Schaltungen und andere elektronische Vorrichtungen können auf einem Halbleiter-Package untergebracht sein. Das Halbleiter-Package kann auf einem elektronischen System integriert sein, beispielsweise auf einem Verbraucherelektroniksystem. Die integrierte(n) Schaltung(en) und/oder elektronischen Vorrichtungen, die auf dem Halbleiter-Package bereitgestellt werden, können Eingangs-/Ausgangsanforderungen mit relativ feinem Pitch sowie relativ strenge Anforderungen an die Leistungsabgabe oder Masseebene aufweisen. Patentdokument 1, DE 10 2014 107 514 A1 bezieht sich auf geschichtete Verbindungsstrukturen in Anordnungen integrierter Schaltungen. Patentdokument 2, WO 2015/ 130 264 A1 bezieht sich auf eine mikroelektronische Struktur.
  • Figurenliste
  • Es wird nun auf die beigefügten Zeichnungen Bezug genommen, die nicht notwendigerweise maßstabsgetreu sind, und wobei:
    • 1A-1G stellen vereinfachte schematische Querschnittsdiagramme eines beispielhaften Halbleiter-Packages mit Durchgangsbrücken-Die-Verbindungen und einen Fertigungsprozess dafür gemäß beispielhaften Ausführungsformen der Offenbarung dar.
    • 2 stellt ein vereinfachtes schematisches Querschnittsdiagramm dar, das ein Halbleiter-Package mit mehreren darin bereitgestellten Dies mit Durchgangsbrücken-Die-Verbindungen gemäß beispielhaften Ausführungsformen der Offenbarung veranschaulicht.
    • 3A und 3B stellen vereinfachte schematische Querschnittsdiagramme dar, die Leistungsabgabepfade in einem Multi-Die-Halbleiter-Package ohne und mit Durchgangs-Die-Verbindungen gemäß beispielhaften Ausführungsformen der Offenbarung veranschaulichen.
    • 4 stellt ein Flussdiagramm dar, das ein beispielhaftes Verfahren zum Fertigen von Halbleiter-Packages mit den Durchgangsbrücken-Die-Verbindungen aus 1-3 gemäß beispielhaften Ausführungsformen der Offenbarung veranschaulicht.
    • 5A-5F stellen vereinfachte schematische Querschnittsdiagramme eines beispielhaften Brücken-Dies mit Durchgangs-Die-Verbindungen und einen Fertigungsprozess dafür gemäß beispielhaften Ausführungsformen der Offenbarung dar.
    • 6 stellt ein Flussdiagramm dar, das ein beispielhaftes Verfahren zum Fertigen des Brücken-Dies mit den Durchgangs-Die-Verbindungen aus 5A-5F gemäß beispielhaften Ausführungsformen der Offenbarung veranschaulicht.
  • DETAILLIERTE BESCHREIBUNG DER AUSFÜHRUNGSFORMEN DER OFFENBARUNG
  • Ausführungsformen der Offenbarung werden im Folgenden unter Bezugnahme auf die beigefügten Zeichnungen näher beschrieben, in denen beispielhafte Ausführungsformen der Offenbarung gezeigt sind. Diese Offenbarung kann jedoch in vielen verschiedenen Formen ausgeführt werden. Gleiche Zahlen beziehen sich durchgehend auf gleiche, aber nicht notwendigerweise dieselben oder identische Elemente.
  • Die folgenden Ausführungsformen werden ausreichend detailliert beschrieben, um es zumindest Fachleuten auf dem Gebiet zu ermöglichen, die Offenbarung zu verstehen und zu verwenden. Es versteht sich, dass andere Ausführungsformen basierend auf der vorliegenden Offenbarung offensichtlich sein werden und dass Prozess-, mechanische, Material-, dimensionale, Prozessanlagen- und parametrische Änderungen vorgenommen werden können.
  • In der folgenden Beschreibung sind zahlreiche spezielle Details dargelegt, um ein gründliches Verständnis der verschiedenen Ausführungsformen der Offenbarung bereitzustellen. Es wird jedoch offensichtlich sein, dass die Offenbarung ohne diese speziellen Details ausgeführt werden kann. Um zu vermeiden, dass die vorliegende Offenbarung verschleiert wird, können einige wohlbekannte Systemkonfigurationen und Prozessschritte nicht vollständig offenbart sein. Ähnlich sind die Zeichnungen, die Ausführungsformen der Offenbarung zeigen, halbdiagrammatisch und nicht maßstabsgetreu, und insbesondere dienen einige der Abmessungen zur Klarheit der Darstellung und können in den Zeichnungen übertrieben sein. Wenn mehrere Ausführungsformen offenbart und beschrieben werden, dass sie einige gemeinsame Merkmale aufweisen, werden zusätzlich ähnliche und gleiche Merkmale der Klarheit und Einfachheit der Darstellung, Beschreibung und des Verständnisses halber derselben üblicherweise mit gleichen Bezugszeichen beschrieben, selbst wenn die Merkmale nicht identisch sind.
  • Der Begriff „horizontal“, wie er hierin verwendet wird, kann als eine Richtung parallel zu einer Ebene oder Oberfläche (z. B. Oberfläche eines Substrats) unabhängig von ihrer Ausrichtung definiert werden. Der Begriff „vertikal“, wie er hierin verwendet wird, kann sich auf eine Richtung beziehen, die orthogonal zur horizontalen Richtung ist, wie gerade beschrieben. Begriffe wie „auf‟, „oberhalb“, „unterhalb“, „Unterseite“, „Oberseite“, „Seite-“ (wie in „Seitenwand“), „höher“, „niedriger“, „obere“, „über“ und „unter“ können in Bezug auf die horizontale Ebene referenziert werden. Der Begriff „Verarbeitung“, wie er hierin verwendet wird, schließt das Abscheiden von Material oder Photoresist, Strukturieren, Belichten, Entwickeln, Ätzen, Reinigen, Abtragen, Polieren und/oder Entfernen des Materials oder Photoresists ein, wie es zum Bilden einer beschriebenen Struktur erforderlich ist.
  • In beispielhaften Ausführungsformen können Halbleiter-Package-Strukturen ein Package-Substrat aufweisen. In einigen Fällen kann das Package-Substrat eine organische Struktur sein. In anderen Fällen kann das Package-Substrat anorganisch sein (z. B. Keramik, Glas usw.). Das Package-Substrat kann in beispielhaften Ausführungsformen eine Kernschicht mit einer oder mehreren Zwischenverbindungsschichten aufweisen, die auf einer oder beiden Seiten der Kernschicht aufgebaut sind. Die Aufbauschichten, wie sie auf dem Kern aufgebaut sind, können darin ausgebildete Zwischenverbindungen aufweisen. Die Zwischenverbindungen können elektrische Pfade für Signale zwischen elektronischen Komponenten (z. B. integrierten Schaltungen, passiven Vorrichtungen usw.), Eingangs-/Ausgangs(E/A)-Verbindungen auf dem Halbleiter-Package, Signal-Fan-out von/zu den elektronischen Komponenten, Signalverbindungen zwischen zwei oder mehr elektrischen Komponenten, Leistungsabgabe an (eine) elektrische Komponente(n), Masseverbindungen an (eine) elektrische Komponente(n), Taktsignalabgabe an die elektrische Komponente(n), Kombinationen davon oder dergleichen bereitstellen. Die Aufbauschichten können auf einer oder beiden Seiten des Package-Kerns gefertigt sein. In einigen Fällen kann die gleiche Anzahl von Aufbauschichten auf beiden Seiten des Package-Kerns vorhanden sein. In anderen Fällen können die Aufbauschichten, die auf beiden Seiten des Package-Kerns ausgebildet sind, asymmetrisch sein. Ferner kann der Kern des Halbleiter-Packages eine Mehrzahl von Durchkontaktierungen aufweisen, um elektrische Verbindungen von einer Seite des Kerns zur anderen Seite des Kerns herzustellen. Somit können Durchkontaktierungen im Kern elektrische Verbindungen zwischen einer oder mehreren Aufbauschichten auf der Oberseite des Halbleiter-Packages zu einer oder mehreren Aufbauschichten auf der Unterseite des Halbleiter-Packages ermöglichen.
  • Eine oder mehrere elektronische Komponenten, einschließlich wenigstens eines Dies für integrierte Schaltungen, können elektrisch und mechanisch über einen beliebigen geeigneten Mechanismus, wie beispielsweise Metallsäulen (z. B. Kupfersäulen), Flip-Chip-Bumps, Löt-Bumps, beliebiger Typ von bleiarmen oder bleifreien Löt-Bumps, Zinn-Kupfer-Bumps, Drahtbonds, Wedge-Bonds, Controlled Collapse Chip Connect (C4), anisotroper leitfähiger Film (ACF, Anisotropic Conductive Film), nicht-leitfähiger Film (NCF, Nonconductive Film), Kombinationen davon oder dergleichen, mit dem Package-Substrat gekoppelt sein. In einigen beispielhaften Ausführungsformen können die Aufbauschichten auf der Unterseite des Kerns eine oder mehrere Eingangs-/Ausgangs(E/A)-Verbindungen zwischen dem Halbleiter-Package und einer Platine aufweisen. Auf einer oder beiden Seiten des Package-Substrats können Halbleiter-Package-zu-Board-Level-Zwischenverbindungen bereitgestellt sein. In beispielhaften Ausführungsformen können die Halbleiter-Package-zu-Board-Level-Zwischenverbindungen Kugelgitteranordnung(BGA, Ball Grid Array)-Verbindungen, andere Flächenverbindungen, Peripherieverbindungen oder dergleichen sein.
  • Ausführungsformen der Offenbarung können ein Halbleiter-Package und ein Verfahren zum Fertigen des Halbleiter-Packages bereitstellen. In beispielhaften Ausführungsformen kann das Halbleiter-Package einen oder mehrere Brücken-Dies aufweisen, wie beispielsweise einen oder mehrere eingebettete Dies innerhalb eines Halbleiter-Packages, das auf einer eingebetteten Multi-Die-Zwischenverbindungsbrücke (EMIB, Embedded Multi-Die Interconnect Bridge) basiert, wie hierin beschrieben. Diese Halbleiter-Packages mit dem eingebetteten Brücken-Die können unter Verwendung der hierin offenbarten Verfahren gefertigt werden. Der Brücken-Die kann innerhalb des Package-Substrats bereitgestellt sein, wie beispielsweise in einer Kavität, die innerhalb von Aufbauschichten des Package-Substrats ausgebildet ist. um relativ feinere (z. B. hochdichte) Zwischenverbindungen zwischen elektrischen Komponenten (z. B. integrierten Schaltungen), die auf dem Halbleiter-Package bereitgestellt werden, zu ermöglichen. Der Brücken-Die kann ein relativ starreres Substrat als das Halbleiter-Package-Substrat, das innerhalb eines Teils des Halbleiter-Package-Substrats eingebettet ist, bereitstellen. Infolge der relativ größeren Steifigkeit des eingebetteten Brücken-Dies kann es möglich sein, Zwischenverbindungen mit feinerem Pitch zwischen Dies herzustellen, die auf der Oberfläche des Halbleiter-Packages angeordnet sind.
  • In einigen Fällen kann der eingebettete Brücken-Die in einer Halbleiterfertigungseinrichtung (z. B. Fab) gefertigt werden, während das Halbleiter-Package-Substrat in einer Halbleiter-Packaging-Einrichtung gefertigt werden kann. Typischerweise kann eine Fab Anlagen und Einrichtungen zur Fertigung von Merkmalen kleinerer Abmessungen auf dem Brücken-Die aufweisen, als sie in einer Packaging-Einrichtung gefertigt werden könnten. Alternativ können Anlagen vom Siliziumfertigungstyp in einer Packaging-Einrichtung bereitgestellt werden, um den Brücken-Die mit Strukturen mit relativ feinem Pitch zu fertigen.
  • In beispielhaften Ausführungsformen können Dies, die am Halbleiter-Package-Substrat angebracht sind, Teile, die über dem Brücken-Die liegen, und andere Teile, die nicht über dem Brücken-Die liegen, aufweisen. In einigen beispielhaften Ausführungsformen können die Dies (z. B. integrierte Schaltungen), die im Halbleiter-Package in einem Package sind, wie hierin beschrieben, Eingangs-/Ausgangs(E/A)-Verbindungen für verschiedene Größen aufweisen. Beispielsweise kann ein bestimmter Die E/A-Verbindungen mit feinerem Pitch mit einem anderen Die, der auf dem Halbleiter-Package in einem Package ist, über den Brücken-Die aufweisen und kann andere E/A-Verbindungen aufweisen, die Verbindungen mit lockererem Pitch sind, bei denen sich die Signale nicht durch den Brücken-Die ausbreiten.
  • Das Halbleiter-Package kann eine Leistungsschicht (z. B. eine Metallzwischenverbindungsschicht des Halbleiter-Packages bei einer Versorgungsspannung von einer oder mehreren integrierten Schaltungen oder anderen Komponenten des Halbleiter-Packages) aufweisen, die innerhalb des Halbleiter-Packages bereitgestellt werden. Zusätzlich kann das Halbleiter-Package eine Masseebene aufweisen, die in einer Schicht ausgebildet ist, die sich innerhalb des Package-Substrats befindet, wie beispielsweise auf dem Package-Kern und/oder einer Aufbauschicht. Gemäß beispielhaften Ausführungsformen kann der Brücken-Die ausgelegt sein, so dass eine Leistungs- und/oder Masseverbindung durch den Brücken-Die bereitgestellt werden kann, beispielsweise durch den Bulk des Brücken-Dies.
  • Gemäß beispielhaften Ausführungsformen der Offenbarung können die Bulk-Teile des Brücken-Dies mit einem relativ hohen Dotierungsgrad (z. B. NA oder ND ~ 1019-1020 cm-3 usw.) dotiert sein, um eine relativ niedrige Bulk-Resistivität (5 mΩ·cm usw.) des Silizium-Bulks des Brücken-Dies bereitzustellen. Infolgedessen können nicht nur Back-End-of-Line(BEOL)-Zwischenverbindungsschichten auf dem Brücken-Die verwendet werden, um Signalpfade über den Brücken-Die bereitzustellen, sondern der Bulk des Brücken-Dies kann auch für die Bereitstellung von Leistung verwendet werden. In beispielhaften Ausführungsformen kann der Brücken-Die mit Durchkontaktierungen in einer Kavität angeordnet sein, die in den Aufbauschichten des Halbleiter-Packages ausgebildet ist. In beispielhaften Ausführungsformen kann der Bulk des Brücken-Dies elektrisch mit einem von einer Leistung oder Masse verbunden sein. Der Brücken-Die kann ferner eine oder mehrere darauf angeordnete Aufbauschichten aufweisen. Mit anderen Worten kann der Brücken-Die eine Aufbauschicht darunter und darüber aufweisen. Der Brücken-Die kann in diesen beispielhaften Ausführungsformen einen vertikalen Pfad für die Leistung oder Masse von der darunter liegenden Aufbauschicht zur darüber liegenden Aufbauschicht bereitstellen. Es versteht sich, dass ohne Leiten durch den Brücken-Die die Leistung oder Masse im Package-Substrat um den Brücken-Die herum geleitet werden würde. Ein derartiges Routing kann durch verschiedene Zwischenverbindungen (z. B. Vias und/oder Leiterbahnen) weniger direkt sein, was zu einem resistiveren und/oder induktiveren Pfad zu bestimmten Endknoten (z. B. E/As von ICs in Packages) relativ zum Routing durch den Brücken-Die führt, wie hierin offenbart.
  • In beispielhaften Ausführungsformen kann der Bulk des Brücken-Dies Verunreinigungen (z. B. Akzeptor- oder Donoratome) aufweisen, um einen Pfad mit relativ niedriger Resistivität zum Leiten von Leistung oder Masse bereitzustellen. Der Brücken-Die kann ferner einen ohmschen Kontakt auf der Rückseite des Brücken-Dies aufweisen. Dies kann in beispielhaften Ausführungsformen ein metallischer (z. B. ein Refraktärmetall usw.) Kontakt zur Rückseite des Brücken-Dies sein. In einigen beispielhaften Ausführungsformen kann der metallische Rückseitenkontakt zu degenerativ dotiertem Silizium auf der Rückseitenoberfläche (z. B. der unteren Oberfläche des Brücken-Dies) sein. Der Brücken-Die kann ferner eine oder mehrere Ebenen von Zwischenverbindungen (z. B. Metallschichten) aufweisen, die darauf ausgebildet sind. Metallleiterbahnen in der/den Ebene(n) der Zwischenverbindung können Pfade für Signale bereitstellen und/oder um einen Kontakt zum Bulk des Brücken-Dies bereitzustellen. Kontakte (z. B. Vias und/oder Kontakte zum Bulk des Brücken-Dies) können einen Pfad zum Leiten von Leistung oder Masse durch den Bulk des Brücken-Dies bereitstellen. Somit können die Metallleiterbahnen der Zwischenverbindungsschichten auf dem Brücken-Die sowohl für das Leiten durch das Bulk-Silizium des Brücken-Dies als auch für die Signalisierung und E/A der integrierten Schaltungen, die im Halbleiter-Package mit Durchgangsbrücken-Die-Verbindungen angeordnet sind, verwendet werden, gemäß beispielhaften Ausführungsformen der Offenbarung.
  • In beispielhaften Ausführungsformen kann Leistung an Dies verteilt werden, die auf dem Halbleiter-Package mit Durchgangsbrücken-Die-Verbindungen in einem Package sind. In diesem Fall kann der Bulk der Brücken-Dies elektrisch mit einer Leistungsebene des Halbleiter-Packages verbunden sein und kann eine vertikale Route für die Leistung durch den Brücken-Die zur Oberseite des Brücken-Dies bereitstellen. In beispielhaften Ausführungsformen kann der Brücken-Die einen rückseitigen ohmschen Kontakt mit der Leistungsebene aufweisen. Die Leistungsebene kann einen oder mehrere Kontakte mit der Rückseite des Brücken-Dies aufweisen, wobei eine leitfähige Paste oder ein anderer Klebstoff verwendet wird, der zwischen dem Brücken-Die und der Aufbauschicht der Leistungsebene bereitgestellt wird. Der Brücken-Die kann in einer Kavität angeordnet sein, die innerhalb des Halbleitersubstrats ausgebildet ist, wie beispielsweise durch das Entfernen von Teilen von einer oder mehreren Aufbauschichten. Der Bulk des Brücken-Dies kann bei der Spannung der Stromversorgung liegen, und der durch den Brücken-Die fließende Strom kann von der Leistungsaufnahme sowie von den anderen Verbindungen zur Stromversorgung der integrierten Schaltungen abhängen, die im Halbleiter-Package mit Durchgangsbrücken-Die-Verbindungen in einem Package sind. Es versteht sich, dass durch Bereitstellen von Leistung durch den Brücken-Die, anstatt Leistungspfade um den Brücken-Die herumleiten zu müssen, ein direkterer (z. B. relativ vertikalerer) Leistungspfad für die E/As der integrierten Schaltungen, die im Halbleiter-Package in einem Package sind, bereitgestellt werden kann. In beispielhaften Ausführungsformen kann das Bereitstellen von Leistung auf einem direkteren Pfad, wie hierin offenbart, zu einer allgemein höheren Qualität der Leistungsabgabe führen, wie beispielsweise mit reduziertem ohmschen und dynamischen Abfall von der Quellenleistungsspannung oder mit reduzierter Variation der Leistungsabgabe über verschiedene Dies und/oder verschiedene Teile eines Dies, die im Halbleiter-Package in einem Package sind.
  • In beispielhaften Ausführungsformen können Masseverbindungen an Dies bereitgestellt werden, die auf dem Halbleiter-Package mit Durchgangsbrücken-Die-Verbindungen in einem Package sind. In diesem Fall kann der Bulk der Brücken-Dies elektrisch mit einer Masseebene des Halbleiter-Packages verbunden sein und kann eine vertikale Route für die Masse durch den Brücken-Die zur Oberseite des Brücken-Dies bereitstellen. In beispielhaften Ausführungsformen kann der Brücken-Die einen rückseitigen ohmschen Kontakt mit der Masseebene aufweisen. Die Masseebene kann einen oder mehrere Kontakte mit der Rückseite des Brücken-Dies aufweisen, wobei eine leitfähige Paste oder ein anderer Klebstoff verwendet wird, der zwischen dem Brücken-Die und der Aufbauschicht der Masseebene bereitgestellt wird. Der Brücken-Die kann in einer Kavität angeordnet sein, die innerhalb des Halbleitersubstrats ausgebildet ist, wie beispielsweise durch das Entfernen von Teilen von einer oder mehreren Aufbauschichten. Der Bulk der Brücken-Dies kann bei der Bezugs- /Massespannung (z. B. 0 Volt) liegen, und der Rückstrom, der durch den Brücken-Die von den integrierten Schaltungen in einem Package fließt, kann von der Leistungsaufnahme und von den anderen Verbindungen zur Masse der integrierten Schaltungen abhängen, die im Halbleiter-Package mit Durchgangsbrücken-Die-Verbindungen in einem Package sind. Es versteht sich, dass durch Bereitstellen von Masseverbindungen durch den Brücken-Die, anstatt Massepfade um den Brücken-Die herumleiten zu müssen, ein direkterer (z. B. relativ vertikalerer) Massepfad für die E/As der integrierten Schaltungen, die im Halbleiter-Package in einem Package sind, bereitgestellt werden kann. In beispielhaften Ausführungsformen kann das Bereitstellen von Masseverbindungen auf einem direkteren Pfad, wie hierin offenbart, zu einer allgemein höheren Qualität der Masseverbindung führen, wie beispielsweise mit reduziertem ohmschen Abfall und relativ engerer Ausrichtung zur Bezugsspannung oder mit reduzierter Massespannungsvariation über verschiedene Dies und/oder verschiedene Teile eines Dies, die im Halbleiter-Package in einem Package sind.
  • 1A-1G stellen vereinfachte schematische Querschnittsdiagramme eines beispielhaften Halbleiter-Packages mit Durchgangsbrücken-Die-Verbindungen und einen Fertigungsprozess dafür gemäß beispielhaften Ausführungsformen der Offenbarung dar. Obwohl 1A-1G einen bestimmten Prozessfluss und ein daraus resultierendes Halbleiter-Package mit Durchgangsbrücken-Die-Verbindungen darstellen, versteht es sich, dass Variationen der Prozesse und Strukturen durch die hierin bereitgestellte Offenbarung in Erwägung gezogen werden und umfasst sind. Beispielsweise versteht es sich, dass einige Prozesse in einer anderen Reihenfolge als der hierin dargestellten durchgeführt werden können. Als weiteres Beispiel versteht es sich, dass einige Prozesse geeignete Substitute aufweisen können, die implementiert werden können, ohne von Ausführungsformen der Offenbarung abzuweichen.
  • Die Prozesse, wie sie hierin dargestellt sind, können implementiert werden, um gleichzeitig oder nahezu gleichzeitig eine Mehrzahl von Halbleiter-Packages mit Durchgangsbrücken-Die-Verbindungen zu fertigen. Obwohl eine bestimmte Fertigungssequenz hier mit der Fertigung von verschiedenen Strukturen und/oder Merkmalen, sowohl endgültig als auch/oder temporär, gezeigt ist, können beliebige Variationen zum Fertigen ähnlicher Merkmale gemäß beispielhaften Ausführungsformen der Offenbarung implementiert werden. Des Weiteren können zusätzliche und/oder weniger Merkmale als die hierin offenbarten Merkmale für die Fertigung des Halbleiter-Packages gemäß beispielhaften Ausführungsformen der Offenbarung vorhanden sein. Obwohl die Querschnitte, wie hier dargestellt, eine bestimmte Anzahl von Halbleiter-Packages (z. B. ein einzelnes Halbleiter-Package) zeigen, die gleichzeitig auf einer Package-Substratplatte gefertigt werden, versteht es sich, dass eine beliebige Anzahl von Halbleiter-Packages vorhanden sein kann, die gleichzeitig oder nahezu gleichzeitig auf einer bestimmten Package-Substratplatte gefertigt werden. Obwohl eine beispielhafte Ausführungsform der Sequenz von Prozessen zum Fertigen eines Halbleiter-Packages mit Durchgangsbrücken-Die-Verbindungen auf einer einzelnen Package-Substratplatte dargestellt ist, versteht es sich zusätzlich, dass eine beliebige Anzahl von Package-Substratplatten vorhanden sein können, die gleichzeitig und/oder nahezu gleichzeitig durch einen beliebigen der hierin dargestellten Prozesse verarbeitet werden können. Beispielsweise können einige Prozesse Batch-Prozesse sein, bei denen eine bestimmte Einheit zusammen mit einer anderen dieser Einheit verarbeitet werden kann. In anderen Fällen können Einheitenprozesse auf laufenden Arbeiten (WIP, Work-in-Progress) sequentiell durchgeführt werden.
  • 1A stellt ein vereinfachtes schematisches Querschnittsdiagramm eines beispielhaften Halbleiter-Package-Kerns 100, auf dem Aufbauschichten gefertigt werden können, gemäß beispielhaften Ausführungsformen der Offenbarung dar. An diesem Punkt kann der Package-Kern 100 eine Größe aufweisen, die größer als die Größe des Package-Substrats ist. Mit anderen Worten können das Package-Substrat und der Kern in separate Halbleiter-Packages vereinzelt werden, nachdem andere Fertigungsprozesse im Batch-Verfahren mit anderen Package-Substraten auf der gleichen Platte abgeschlossen wurden. Der Package-Kern 100 kann eine beliebige geeignete Größe und/oder Form aufweisen. Beispielsweise kann der Package-Kern 100 in beispielhaften Ausführungsformen eine rechteckige Platte sein. In beispielhaften Ausführungsformen kann der Package-Kern 100 aus einem beliebigen geeigneten Material gefertigt sein, einschließlich Polymermaterial, Keramikmaterial, Kunststoffe, Verbundmaterialien, Glas, Epoxidlaminate von Glasfaserplatten, FR-4-Materialien, FR-5-Materialien, Kombinationen davon oder dergleichen. Obwohl hier nicht dargestellt, versteht es sich, dass der Package-Kern 100 darin ausgebildete Durchkontaktierungen aufweisen kann. Durchkontaktierungen können zum Ausbreiten elektrischer Signale von der Oberseite des Package-Kerns 100 zur Unterseite des Package-Kerns 100 und umgekehrt verwendet werden.
  • 1B stellt ein vereinfachtes schematisches Querschnittsdiagramm einer Aufbauschicht 102, die auf dem Package-Kern 100 aus 1 gefertigt ist, mit einem Leistungsebenenkontakt 108 dar, gemäß beispielhaften Ausführungsformen der Offenbarung. Die Aufbauschicht 102 kann dielektrische Materialien 106 und elektrische Verbindungen 104, 108 (z. B. Vias, Pads, Leiterbahnen usw.) darauf aufweisen. Der Leistungsebenenkontakt 108 kann in beispielhaften Ausführungsformen im Vergleich zu anderen elektrischen Verbindungen 104 eine relativ große Kontaktfläche aufweisen. In einigen beispielhaften Ausführungsformen kann der Leistungsebenenkontakt 108 so gefertigt sein, dass er einen Oberflächenbereich aufweist, der so groß ist, wie es durch geeignete Packaging-Designregeln erlaubt ist. In einigen beispielhaften Ausführungsformen kann der Leistungsebenenkontakt 108 geschlitzt sein oder verschiedene andere Ausbildungsmuster aufweisen, um zu vermeiden, dass eine relativ große zusammenhängende Metallfläche innerhalb des dielektrischen Materials 106 vorliegt. Durch Trennen der Fläche des Leistungsebenenkontakts 108 kann in beispielhaften Ausführungsformen ein relativ robusteres Design mit einer relativ größeren Toleranz für Temperaturzyklen, relativ reduzierten Ausfallraten usw. realisiert werden.
  • Die Aufbauschicht 102 oder die Zwischenverbindungsschicht kann durch eine Vielzahl von geeigneten Prozessen ausgebildet werden. Das dielektrische Material 106 kann auf den Halbleiter-Package-Kern 100 laminiert sein. In beispielhaften Ausführungsformen kann das dielektrische Laminat ein beliebiges geeignetes Material sein, einschließlich Polymermaterial, Keramikmaterial, Kunststoffe, Verbundmaterialien, Flüssigkristallpolymer (LCP, Liquid Crystal Polymer), Epoxidlaminate von Glasfaserplatten, FR-4-Materialien, FR-5-Materialien, Kombinationen davon oder dergleichen. In einigen beispielhaften Ausführungsformen können der Package-Kern 100 und das dielektrische Aufbaumaterial 106 vom gleichen Materialtyp sein. In anderen beispielhaften Ausführungsformen können der Package-Kern 100 und das dielektrische Aufbaumaterial 106 nicht vom gleichen Materialtyp konstruiert sein. Vias und/oder Gräben können in der Aufbauschicht 102 unter Verwendung eines beliebigen geeigneten Mechanismus, einschließlich Photolithographie, Plasmaätzen, Laserablation, Nassätzen, Kombinationen davon oder dergleichen, strukturiert werden. Die Vias und Gräben können jeweils durch vertikale und horizontale Metallleiterbahnen innerhalb der Aufbauschicht 102 definiert werden. Die Vias und Gräben können dann mit Metall gefüllt werden, wie beispielsweise durch stromlose Metallplattierung, elektrolytische Metallplattierung, physikalische Gasphasenabscheidung, Kombinationen davon oder dergleichen. Überschüssiges Metall kann durch einen beliebigen geeigneten Mechanismus entfernt werden, wie beispielsweise Ätzen, Reinigen, Polieren und/oder chemisch-mechanisches Polieren (CMP), Kombinationen davon oder dergleichen.
  • 1C stellt ein vereinfachtes schematisches Querschnittsdiagramm einer Mehrzahl von Aufbauschichten 102, 110, 112 dar, die auf dem Package-Kern 100 aus 1A gefertigt ist, gemäß beispielhaften Ausführungsformen der Offenbarung. In beispielhaften Ausführungsformen können nachfolgende Aufbauschichten 110, 112 auf ähnliche Weise wie die Fertigung der ersten Aufbauschicht 102 gefertigt werden. Es versteht sich auch, dass, obwohl die Aufbauschichten 102, 110, 112 auf der Oberseite des Package-Kerns 100 dargestellt sind, die Aufbauschichten in beispielhaften Ausführungsformen der Offenbarung auf der Unterseite des Package-Kerns 100 und/oder auf beiden Seiten des Package-Kerns 100 gefertigt werden können. In der Tat können in einigen beispielhaften Ausführungsformen die Aufbauschichten auf beiden Seiten des Kerns gleichzeitig oder nahezu gleichzeitig gefertigt werden. Beispielsweise kann das dielektrische Material 106 und/oder das Metall in diesen beispielhaften Ausführungsformen auf beide Seiten des Package-Kerns 100 laminiert werden. Ferner können in diesen beispielhaften Ausführungsformen andere Prozesse, wie beispielsweise Plattierungs- und/oder Reinigungsprozesse, für eine Aufbauschicht durchgeführt werden, die auf beiden Seiten des Package-Kerns 100 gefertigt ist.
  • Wie oben erörtert, können die Aufbauschichten 110, 112, wie in 1C dargestellt, aus einem beliebigen geeigneten Material gefertigt sein, einschließlich aus Polymermaterial, Keramikmaterial, Kunststoffen, Verbundmaterialien, LCP, Epoxidlaminaten von Glasfaserplatten, FR-4-Materialien, FR-5-Materialien, Kombinationen davon oder dergleichen, aber nicht darauf beschränkt. Wie bereits erwähnt, können der Package-Kern 100 und das gesamte dielektrische Aufbaumaterial 106 in einigen beispielhaften Ausführungsformen vom gleichen Materialtyp sein. In anderen beispielhaften Ausführungsformen können der Package-Kern 100 und wenigstens eines oder mehrere der dielektrischen Aufbaumaterialien 106 nicht vom gleichen Materialtyp konstruiert sein. Vias und/oder Gräben können in der Aufbauschicht unter Verwendung eines beliebigen geeigneten Mechanismus, einschließlich Photolithographie, Plasmaätzen, Laserablation, Nassätzen, Kombinationen davon oder dergleichen, strukturiert werden. Die Vias und Gräben können jeweils durch vertikale und horizontale Metallleiterbahnen innerhalb der Aufbauschicht definiert werden. Die Vias und Gräben können dann mit Metall gefüllt werden, wie beispielsweise durch stromlose Metallplattierung, elektrolytische Metallplattierung, physikalische Gasphasenabscheidung, Kombinationen davon oder dergleichen. Überschüssiges Metall kann durch einen beliebigen geeigneten Mechanismus entfernt werden, wie beispielsweise Ätzen, Reinigen, Polieren und/oder chemisch-mechanisches Polieren (CMP), Kombinationen davon oder dergleichen.
  • In beispielhaften Ausführungsformen können die Teile der Aufbauschichten 110, 112, die über dem Leistungsebenenkontakt 108 der Aufbauschicht 102 liegen, keine Metallleiterbahnen und/oder Metall-Pads aufweisen. In der Tat können Designregeln in beispielhaften Ausführungsformen das Bereitstellen von Metallleiterbahnen verhindern, die innerhalb des dielektrikischen Aufbaumaterials 106 in Teilen der Aufbauschichten 110, 112 eingebettet sind, die über dem Leistungsebenenkontakt 108 liegen. Eine derartige Ausschlusszone kann in beispielhaften Ausführungsformen größer als die tatsächliche Größe des Leistungsebenenkontakts 108 sein, um Ungenauigkeiten bei der Brücken-Die-Platzierung und/oder Ungenauigkeiten bei der Kavitätsausbildung in nachfolgenden Prozessen zu erlauben.
  • 1D stellt ein vereinfachtes schematisches Querschnittsdiagramm einer Kavität 114 dar, die in den Aufbauschichten 110, 112 ausgebildet ist, die in 1C dargestellt sind, mit leitfähigem Klebstoff 116, der auf der Oberseite des Leistungsebenenkontakts 108 angeordnet ist, gemäß beispielhaften Ausführungsformen der Offenbarung. Die Kavität 114 kann durch einen beliebigen geeigneten Mechanismus ausgebildet werden, einschließlich beispielsweise Laserablation, Trockenätzen, Nassätzen, Kombinationen davon oder dergleichen. Es versteht sich, dass für Prozesse, wie beispielsweise Nassätzen und/oder Trockenätzen, ein strukturierender (z. B. photolithographischer) Prozess durchgeführt werden kann, um die Bereiche zu definieren, in denen das dielektrische Aufbaumaterial 106 entfernt werden soll. Es versteht sich auch, dass die Ausbildung der Kavität 114 mit mehreren Prozessen durchgeführt werden kann. Beispielsweise kann einem Laserablationsprozess ein unmaskierter Nassätz-/Reinigungsprozess folgen. In beispielhaften Ausführungsformen können die Abmessungen der Kavität 114 relativ größer sein als die entsprechenden Abmessungen des Brücken-Dies, der innerhalb der Kavität 114 sitzen soll. Der Unterschied in den Abmessungen zwischen der Kavität 114 und den entsprechenden Abmessungen des Brücken-Dies kann wenigstens teilweise von der Ausrichtungs- und/oder Platzierungsgenauigkeit von Pick-and-Place-Werkzeugen oder anderen Mechanismen abhängen, mit denen der Brücken-Die innerhalb der Kavität 114 angeordnet werden soll.
  • Leitfähiger Klebstoff 116, wie beispielsweise leitfähige Tinte und/oder leitfähige Paste, kann auf der Oberfläche des Leistungsebenenkontakts 108 aufgetragen werden. Der leitfähige Klebstoff 116 kann auf der Oberfläche des Leistungsebenenkontakts 108 durch Spin-Abscheidung, Sprühabscheidung, Siebdruck, Rakelprozess und/oder einen beliebigen anderen geeigneten Abscheidungsprozess angeordnet sein. In beispielhaften Ausführungsformen kann der leitfähige Klebstoff 116 das Metall des Leistungsplattenkontakts 108 benetzen und sich daher über die Oberfläche des Leistungsplattenkontakts 108 durch Van-der-Waals-Kräfte und/oder Oberflächenbenetzungswirkung verteilen. In der gleichen oder in anderen beispielhaften Ausführungsformen kann der leitfähige Klebstoff 116 durch mechanische Kraft, wie beispielsweise durch einen Rakelprozess, in die Kavität 114 gedrückt werden. In noch anderen beispielhaften Ausführungsformen kann der leitfähige Klebstoff 116 vorzugsweise unter Verwendung eines Siebdruckprozesses abgeschieden werden, wie beispielsweise durch Ausrichten eines strukturierten Siebs auf der Oberseite der Oberfläche des Leistungsplattenkontakts 108 und des leitfähigen Klebstoffs 116. In einigen beispielhaften Ausführungsformen kann die Menge an leitfähigem Klebstoff 116, die in der Kavität 114 abgeschieden wird, eine vorbestimmte Menge sein. In einigen Fällen kann die vorbestimmte Menge ungefähr die erwartete Differenz zwischen dem Volumen der Kavität 114 und dem Volumen des Brücken-Dies sein, der in nachfolgenden Prozessen innerhalb der Kavität 114 eingebettet werden soll. In diesem Fall kann der leitfähige Klebstoff 116 zwischen den Seitenwänden des eingebetteten Brücken-Dies und den Seitenwänden der Kavität 114 aufgenommen werden. Auf diese Weise kann eine relativ planare Oberfläche nach dem Einbetten des Brücken-Dies erreicht werden, indem der Spalt gefüllt wird, der entworfen sein kann, um Fehlausrichtungsfehler beim Einbetten des Brücken-Dies in nachfolgenden Prozessen zu berücksichtigen.
  • Der leitfähige Klebstoff 116 kann ein Epoxidmaterial mit darin suspendierten Metallnanopartikeln oder -mikropartikeln sein. In beispielhaften Ausführungsformen kann der leitfähige Klebstoff 116 darin suspendierte Nanopartikel aus Silber (Ag) aufweisen. In anderen beispielhaften Ausführungsformen kann die leitfähige Tinte darin suspendierte Nanopartikel aus Kupfer (Cu), Zinn (Sn), Eisen (Fe), Gold (Au), Kombinationen davon oder dergleichen aufweisen. In einigen Ausführungsformen kann der leitfähige Klebstoff 116 darin suspendierte nichtmetallische, elektrisch leitfähige Partikel aufweisen. Zusätzlich dazu, dass leitfähige Materialien im leitfähigen Klebstoff 116 vorhanden sind, können ferner andere chemische Mittel vorhanden sein, um die physikalischen, elektrischen und/oder Verarbeitungseigenschaften des leitfähigen Klebstoffs 116 abzustimmen. In beispielhaften Ausführungsformen kann der leitfähige Klebstoff 116 Lösungsmittel aufweisen, die ermöglichen, dass der leitfähige Klebstoff 116 eine Viskosität aufweist, die für die Spaltfüllung relativ bevorzugt sein kann, während gleichzeitig eine relativ schnelle Erhöhung der Viskosität und/oder Klebrigkeit für die Bereitstellung in der Kavität 114 erzielt wird. In den gleichen oder anderen beispielhaften Ausführungsformen kann der leitfähige Klebstoff 116 Reduktionsmittel aufweisen, um die Oxidation von Metallpartikeln, die in der leitfähigen Tinte suspendiert sein können, zu verhindern oder zu reduzieren. Des Weiteren kann der leitfähige Klebstoff 116 Füllstoffpartikel (z. B. Kohlenstofffasern, Silicapartikel, Keramiken usw.) in Anteilen enthalten, die den leitfähigen Klebstoff 116 mit wünschenswerten Eigenschaften versehen, wie beispielsweise einem bevorzugten Bereich von Viskosität, einem bevorzugten Bereich von Klebrigkeit, einem bevorzugten Bereich von Hydrophobizität (z. B. Oberflächenbenetzung), einem bevorzugten Bereich von Partikelsuspensionseigenschaften, einem bevorzugten Bereich von Aushärtungstemperaturen, Kombinationen davon oder dergleichen. In beispielhaften Ausführungsformen kann der leitfähige Klebstoff 116 ein Epoxid der Stufe B sein, wobei der leitfähige Klebstoff 116 auf der Oberseite des Leistungsplattenkontakts 108 bereitgestellt und dann ausgehärtet (z. B. vernetzt, gehärtet usw.) werden kann, nachdem der Brücken-Die eingebettet wurde. In beispielhaften Ausführungsformen kann der leitfähige Klebstoff 116 während der nachfolgenden Verarbeitung ausgehärtet werden, beispielsweise während die nachfolgende(n) Schicht(en) des dielektrischen Aufbaumaterials 106 auf der Oberseite des Brücken-Dies laminiert wird/werden.
  • 1E stellt ein vereinfachtes schematisches Querschnittsdiagramm des beispielhaften Halbleiter-Packages dar, wobei der Brücken-Die 118 innerhalb der Kavität 114 aus 1D eingebettet ist, gemäß beispielhaften Ausführungsformen der Offenbarung. Obwohl gezeigt ist, dass der Brücken-Die 118 eng in die Kavität 114 passt, versteht es sich, dass zwischen den Kanten des Brücken-Dies 118 und den Seitenwänden der Kavität 114 ein gewisser Spalt vorhanden sein kann. In beispielhaften Ausführungsformen kann ein derartiger Spalt wenigstens teilweise mit einem Epoxid gefüllt sein, wie beispielsweise dem leitfähigen Klebstoff 116 oder anderen nicht leitfähigen Materialien. Die Rückseite des Brücken-Dies 118 kann auf dem leitfähigen Klebstoff 116 aufliegen und einen elektrischen Kontakt mit diesem herstellen und somit elektrisch mit dem Leistungsplattenkontakt 108 gekoppelt sein. Der Brücken-Die 118 kann einen Bulk-Teil 120 und eine oder mehrere Metallzwischenverbindungsschichten 122 aufweisen, die auf der Oberseite des Bulk-Teils 120 gefertigt sind. Der Bulk-Teil 120 kann über den leitfähigen Klebstoff 116 einen elektrischen Kontakt mit dem Leistungsplattenkontakt 108 herstellen. Die Metallzwischenverbindungsschicht(en) 122 kann/können dielektrische Materialien 124 mit Metall-Vias/Kontakten 128 und Metallleitungen 126 aufweisen. In einigen beispielhaften Ausführungsformen können eines/r oder mehrere der Vias/Kontakte 128 Kontakt mit dem Bulk-Teil 120 des Brücken-Dies 118 herstellen, um Leistung vom Leistungsebenenkontakt 108 über den leitfähigen Klebstoff 116 und den Bulk-Teil 120 und zu einer/m oder mehreren Metallleitungen 126 oder Pads zu leiten. Diese gespeisten Metallleitungen 126 können elektrisch verbunden sein, wie beispielsweise über eine andere Aufbauschicht, die über dem Brücken-Die liegt, mit einem oder mehreren Dies, die im Halbleiter-Package mit Durchgangsbrücken-Die-Kontakten in einem Package sein sollen. Die Metallleitungen 126, wie beispielsweise Metallleiterbahnen und/oder Kontakt-Pads, können zum Zweck des Routings der Signalisierungs-E/A zwischen den Dies verwendet werden, die auf dem Halbleiter-Package mit Durchgangsbrücken-Die-Kontakten montiert sind.
  • 1F stellt ein vereinfachtes schematisches Querschnittsdiagramm des Halbleiter-Packages mit eingebettetem Brücken-Die 118 mit einer Aufbauschicht 130 auf der Oberseite und den Brücken-Die 118 einkapselnd dar, gemäß beispielhaften Ausführungsformen der Offenbarung. Die Aufbauschicht 130 kann auf ähnliche Weise wie die Aufbauschichten 102, 110, 112 gefertigt werden. Die Aufbauschicht 130 kann wie die anderen Aufbauschichten 102, 110, 112 ein dielektrisches Material 106 aufweisen, das die Metallleitungen und/oder Pads 104, 132 trennt. In beispielhaften Ausführungsformen können die Metallleitungen 104, 132, mit denen die E/As verbunden sein können, eine andere Größe aufweisen. Beispielsweise können Kontakte 132 mit kleinerer Abmessung und Kontakte 104 mit größerer Abmessung vorhanden sein, wie gezeigt. In beispielhaften Ausführungsformen können die Kontakte 132 mit kleinerer Abmessung zu Metallleitungen 126 des Brücken-Dies 118 hergestellt werden, und die Kontakte 104 mit größerer Abmessung können für Kontakte gefertigt werden, die mit darunter liegenden Aufbauschichtkontakten hergestellt werden. In einigen beispielhaften Ausführungsformen können die Kontakte mit kleinerer Abmessung ferner mit E/A-Kontakten mit relativ feiner Abmessung eines oder mehrerer Dies verbunden sein. In diesen beispielhaften Ausführungsformen kann die Steifigkeit, die dem Packaging in ausgewählten Bereichen (z. B. in Bereichen, die über dem oder in relativer Nähe zum Brücken-Die 118 liegen) bereitgestellt wird, die Verwendung von E/A-Kontakten mit relativ kleinerer Abmessung der Dies in Packages, Pads 132 mit kleinerer Abmessung an der oberen Schicht der Package-Aufbauschichten 130 und/oder Routing mit höherer Dichte über den Brücken-Die 118 ermöglichen.
  • 1G stellt ein vereinfachtes schematisches Querschnittsdiagramm des Halbleiter-Packages mit eingebettetem Brücken-Die 118 aus 1F mit zwei darauf angebrachten Dies 134, 136 dar, gemäß beispielhaften Ausführungsformen der Offenbarung. Die Dies 134, 136 können durch einen beliebigen geeigneten Mechanismus angebracht werden. Die Dies 134, 136 können beliebige geeignete elektronische Komponenten sein, einschließlich integrierter Schaltungen, Oberflächenmontagevorrichtungen, aktiver Vorrichtungen, passiver Vorrichtungen, Dioden, Transistoren, Verbindern, Widerständen, Induktoren, Kondensatoren, mikroelektromechanischer Systeme (MEMSs), Kombinationen davon oder dergleichen, aber nicht darauf beschränkt. Die Dies 134, 136 können elektrisch und mechanisch über einen beliebigen geeigneten Kontakt 138, wie beispielsweise Metallsäulen (z. B. Kupfersäulen), Flip-Chip-Bumps, Löt-Bumps, beliebiger Typ von bleiarmen oder bleifreien Löt-Bumps, Zinn-Kupfer-Bumps, Drahtbonds, Wedge-Bonds, Controlled Collapse Chip Connect (C4), anisotroper leitfähiger Film (ACF, Anisotropic Conductive Film), nicht-leitfähiger Film (NCF, Nonconductive Film), Kombinationen davon oder dergleichen, mit dem Package-Kern 100 gekoppelt sein. In einigen beispielhaften Ausführungsformen kann der Typ des Kontakts 138 für die verschiedenen Dies 134, 136 unterschiedlich sein. Beispielsweise kann ein Die 134, 136 Kupfersäulenkontakte 138 aufweisen, und der andere Die 134, 136 kann Löt-Bump-Kontakte 138 aufweisen. In anderen beispielhaften Ausführungsformen können die verschiedenen Dies 134, 136 den gleichen Typ von Kontakten 138 aufweisen (z. B. weisen beide Dies 134, 136 Kupfersäulenkontakte 138 auf), können jedoch unterschiedliche Abmessungen dieser Kontakte 138 aufweisen. Mit anderen Worten kann ein Die 134, 136 eine kleinere oder größere Kontaktgröße als der andere Die 134, 136 aufweisen, und die Aufbauschicht 130 auf der Oberseite kann ausgelegt sein, um den Größenunterschied zu berücksichtigen. Des Weiteren kann jeder der Dies 134, 136 in beispielhaften Ausführungsfomen unterschiedliche Typen und/oder unterschiedliche Größen von Kontakten 138 zur Aufbauschicht 130 auf der Oberseite und den darauf befindlichen Kontakten 132, 104 aufweisen. Beispielsweise können die Kontakte 138 auf Die-Ebene relativ kleinere Abmessungen aufweisen, wenn sie Kontakt mit den Kontakten 132 auf Package-Ebene statt den Kontakten 104 auf Package-Ebene herstellen. In der Tat können die Verwendung des Brücken-Dies 118 und die dadurch bereitgestellte Steifigkeit in beispielhaften Ausführungsformen die Verwendung von feineren Kontakten 138 auf Die-Ebene und/oder Kontakten 132 auf Package-Ebene ermöglichen, um eine E/A und/oder Signalisierung mit höherer Dichte zu ermöglichen.
  • Obwohl die Sequenz von Prozessen, die in 1A-1G dargestellt sind, ein Package (z. B. System-in-Package (SiP)) mit zwei Dies 134, 136 veranschaulicht, versteht es sich, dass eine beliebige geeignete Anzahl von Dies in der SiP-Struktur vorhanden sein kann. Es versteht sich ferner, dass der Die ein beliebiger geeigneter Typ von Die (z. B. elektronische Komponenten) sein kann. In einem nicht einschränkenden Beispiel können ein Mikroprozessor-Die, ein nichtflüchtiger Speicher-Die, ein flüchtiger Speicher-Die und ein Grafikcontroller-Die auf einem einzelnen SiP als ein Halbleiter-Package mit Durchgangsbrücken-Die-Verbindungen vorhanden sein. Die verschiedenen Dies auf diesem SiP können durch Kontakte, die über einen Brücken-Die zu einem Leistungsebenenkontakt hergestellt werden, mit Leistung versorgt werden. Zusätzlich können Kontakte zwischen den Dies (z. B. Kontakt vom Mikroprozessor zu nichtflüchtigem Speicher, Kontakt vom Prozessor zu flüchtigem Speicher, vom Grafikcontroller zu nichtflüchtigem Speicher usw.) auch über Zwischenverbindungen hergestellt werden, die auf dem Brücken-Die bereitgestellt werden. In einem anderen nicht einschränkenden Beispiel kann ein SiP mit Brücken-Dies einen Die für einen digitalen Signalprozessor (DSP), einen Basisband-Die, einen Speicher-Die und einen Leistungsverstärker-Die aufweisen. In diesem Beispiel können wieder ein oder mehrere der Dies wenigstens teilweise über Durchgangsbrücken-Die-Kontakte zu einem gespeisten Kontakt des SiP gespeist werden. Zusätzlich können ein oder mehrere der Dies wenigstens teilweise über Zwischenverbindungsstrukturen, die auf der Oberseite des Brücken-Dies gefertigt sind, kommunikativ miteinander verbunden sein.
  • 2 stellt ein vereinfachtes schematisches Querschnittsdiagramm dar, das ein Halbleiter-Package 200 mit mehreren darin bereitgestellten Dies 226, 228 mit Durchgangsbrücken-Die-Verbindungen gemäß beispielhaften Ausführungsformen der Offenbarung veranschaulicht. Wie oben erörtert, kann ein Kern 202 mit wenigstens einer Aufbauschicht 204 vorhanden sein, die über dem Kern 202 bereitgestellt wird und einen darin befindlichen Leistungsebenenkontakt 206 aufweist. Obwohl hier eine einzelne Aufbauschicht 204 zwischen dem Kern 202 und dem Brücken-Die 212 gezeigt ist, versteht es sich, dass eine beliebige geeignete Anzahl von Aufbauschichten zwischen dem Kern 202 und dem Brücken-Die 212 vorhanden sein kann. Es kann eine beliebige geeignete Anzahl von Aufbauschicht(en) 210 über der Leistungsebenenaufbauschicht 204 vorhanden sein. Nach dem Fertigen der Aufbauschicht(en) 210 kann eine Kavität, die über dem Leistungsebenenkontakt 206 in der Leistungsebenenaufbauschicht 204 liegt, innerhalb der Aufbauschichten 210 ausgebildet werden, um den Brücken-Die 212 aufzunehmen. In beispielhaften Ausführungsformen kann der Brücken-Die 212 mit Durchgangskontakten innerhalb der Kavität und über wenigstens einem Teil des Leistungsebenenkontakts 206 angeordnet sein. Eine Schicht aus leitfähigem Klebstoff 208 kann zwischen dem Leistungsebenenkontakt 206 und der Rückseite des Bulks 214 des Brücken-Dies 212 bereitgestellt sein.
  • Es versteht sich, dass die Dicke des Brücken-Dies 212 in beispielhaften Ausführungsformen der Offenbarung so sein kann, dass sie ungefähr die Dicke einer ganzzahligen Anzahl von Aufbauschichten ist. Dies kann so sein, damit die Verarbeitungsoberfläche nach dem Einbetten des Brücken-Dies 212 in der Kavität, die in den Aufbauschichten 210 ausgebildet ist, relativ planar bleibt. Die Planarität der Oberfläche an diesen intermittierenden Fertigungspunkten kann einen relativ robusteren Fertigungsprozess und ein daraus resultierendes Halbleiter-Package 200 bereitstellen. Beispielsweise kann das Bereitstellen einer relativ flachen intermittierenden Oberfläche zu einer relativ verbesserten Haftung nachfolgender Schichten führen, die über dem Brücken-Die 212 und der Oberfläche der Aufbauschicht 210 liegen können.
  • Eine oder mehrere darüber liegenden Aufbauschichten 220 können auf der Oberseite des Brücken-Dies 212 ausgebildet sein. Die darüber liegende Aufbauschicht 220 kann Metallkontakte 222, 224 aufweisen, die Metallleiterbahnen und/oder Vias 218, die in einer oder mehreren Back-End-of-Line(BEOL)-Zwischenverbindungsschichten 216 des Brücken-Dies 212 ausgebildet sind, ausrichten und kontaktieren können. Falls die darüber liegende Aufbauschicht 220 auch die oberste Aufbauschicht ist, wie hier dargestellt, dann können die Dies 226, 228 darauf montiert werden. In anderen beispielhaften Ausführungsformen ist die Aufbauschicht 220, die über dem Brücken-Die 212 liegt, möglicherweise nicht die oberste Aufbauschicht. In diesen Fällen können eine oder mehrere Aufbauschichten über der Aufbauschicht vorhanden sein, die über dem Brücken-Die 212 liegen und diesen kontaktieren, und die Dies 226, 228 können auf dieser einen oder diesen mehreren Aufbauschichten montiert sein.
  • Die Dies 226, 228 können auf dem Halbleiter-Package 200 mit Durchgangsbrücken-Die-Verbindungen in beispielhaften Ausführungsformen unter Verwendung von Die-zu-Package-Verbindungen 230, 232, 234, 236 verschiedener Typen und/oder Abmessungen montiert werden. In einigen beispielhaften Ausführungsformen können die Die-zu-Package-Verbindungen 230, 234, die über oder in relativer Nähe zum Brücken-Die 212 liegen können, enger sein (z. B. kleinere Größe, reduzierter Pitch und/oder höhere räumliche Dichte usw.) als die Die-zu-Package-Verbindungen 232, 236, die nicht über dem Brücken-Die 212 und/oder nicht in relativer Nähe zum Brücken-Die 212 liegen. In einigen beispielhaften Ausführungsformen können die Die-zu-Package-Verbindungen 230, 232, 234, 236 mit einem oder mehreren Leistungsabgabemetallkontakten 224 der Aufbauschicht 220 verbunden sein, die mit Leistungsabgabemetallleitbahnen und/oder Vias 218 der Verbindungsschicht(en) 216 des Brücken-Dies 212 verbunden sein können, die wiederum mit dem Bulk 214 des Brücken-Dies 212 verbunden ein können, die ferner wiederum mit dem Leistungsebenenkontakt 206 der Aufbauschicht 204 verbunden sein können. Somit kann durch den vorgenannten Pfad Leistung an einen oder mehrere der Dies 226, 228 durch den Bulk 214 des Brücken-Dies 212 gemäß beispielhaften Ausführungsformen der Offenbarung zugeführt werden.
  • Der erste Die 226 und der zweite Die 228 können elektrisch und mechanisch an der Package-Aufbauschicht 220 durch einen beliebigen geeigneten Mechanismus angebracht sein, einschließlich Metallsäulen (z. B. Kupfersäulen), Flip-Chip-Bumps, Löt-Bumps, eines beliebigen Typs von bleiarmen oder bleifreien Löt-Bumps, Zinn-Kupfer-Bumps, Drahtbonds, Wedge-Bonds, C4, ACF, NCF, Kombinationen davon oder dergleichen, aber nicht darauf beschränkt. Pick-and-Place-Werkzeuge und - Prozesse können beispielsweise verwendet werden, um die Dies 226, 228 auf dem Package auszurichten und anzubringen. In beispielhaften Ausführungsformen können Kupfersäulen zur Anbringung des Dies verwendet werden. Die Kupfersäulen können von beliebiger geeigneter Größe sein. Beispielsweise können die Kupfersäulen ungefähr im Bereich von etwa 10 µm bis etwa 150 µm in der Breite liegen. Die Dies 226, 228 können durch beliebige geeignete Mechanismen am Halbleitersubstrat ausgerichtet und angebracht werden. Beispielsweise kann ein thermosonischer Prozess verwendet werden, um die Kupfersäulen unter Verwendung von Gold/Nickel, Zinn/Blei oder einer beliebigen geeigneten Metallurgie mit entsprechenden Pads auf dem Package-Substrat zu verschmelzen. Als eine andere beispielhafte Ausführungsform kann ein Wellenlötprozess verwendet werden, um die Dies 226, 228 an der Package-Aufbauschicht 220 anzubringen.
  • In beispielhaften Ausführungsformen kann das Unterfüllungsmaterial 238, 240 um die Die-zu-Package-Kontakte 230, 232, 234, 236 zwischen den Dies 226, 228 und der Aufbauschicht 220 bereitgestellt werden. Repräsentative Epoxidmaterialien im Unterfüllungsmaterial 238, 240 können ein Amin-Epoxid, Imidizol-Epoxid, ein Phenol-Epoxid oder ein Anhydrid-Epoxid einschließen. Andere Beispiele für Unterfüllungsmaterial schließen Polyimid, Benzocyclobuten (BCB), eine Bismaleimid-artige Unterfüllung, eine Unterfüllung von Polybenzoxazin (PBO) oder eine Unterfüllung von Polynorbornen ein. Zusätzlich kann das Unterfüllungsmaterial 238, 240 ein Füllmaterial, wie beispielsweise Silica, einschließen. Das Unterfüllungsmaterial 238, 240 kann durch Schleuderbeschichtungs-, Extrusionsbeschichtungs- oder Sprühbeschichtungstechniken eingebracht werden. In einer anderen Ausführungsform schließt das Unterfüllungsmaterial 238, 240 ein Standardfertigungspassivierungsmaterial ein, wie beispielsweise ein anorganisches Passivierungsmaterial (z. B. Siliziumnitrid, Siliziumoxynitrid) oder organisches Passivierungsmaterial (z. B. Polyimid).
  • Das Formteil 242 kann auf der oberen Oberfläche der Aufbauschicht 220 angeordnet sein und die Dies 226, 228 einkapseln. Das Formmaterial kann ein beliebiges geeignetes Formmaterial sein. Beispielsweise kann das Formmaterial eine flüssig verteilte wärmehärtende Epoxidharzformmasse sein. Die Formmasse kann auf der Oberfläche der Aufbauschicht 220 unter Verwendung eines beliebigen geeigneten Mechanismus abgeschieden werden, einschließlich Flüssigkeitsverteilung, Schleuderbeschichtung, Sprühbeschichtung, Rakel, Siebdruck, Kombinationen davon oder dergleichen, jedoch nicht darauf beschränkt.
  • Die Formmasse kann, nachdem sie auf der oberen Oberfläche der Aufbauschicht 220 verteilt worden ist, ausgehärtet werden, während Druck durch eine Chase-Oberfläche darauf angewendet wird. In beispielhaften Ausführungsformen kann das Chase (z. B. eine relativ flache Oberfläche, die auf die Oberseite des flüssigen Formmaterials gepresst wird, das auf der Oberseite der Aufbauschicht 220 angeordnet ist) selbst erhitzt werden. Nach dem Aushärten (z. B. Vernetzen) kann sich die abgeschiedene Formmasse erhärten und ein Formteil 242 ausbilden, um an der Aufbauschicht 220 zu haften und die Dies 226, 228 einzukapseln. In beispielhaften Ausführungsformen kann das Formteil 242 Füllstoffe und/oder andere Materialien darin aufweisen, um den Wärmeausdehnungskoeffizienten (CTE, Coefficient of Thermal Expansion) bevorzugt zu steuern, Spannungen zu reduzieren, flammhemmende Eigenschaften zu verleihen, die Adhäsion zu fördern und/oder die Feuchtigkeitsaufnahme im Formteil 242 zu reduzieren. Das Formteil 242 kann in beispielhaften Ausführungsformen eine beliebige geeignete Dicke aufweisen.
  • Wie oben offenbart, kann das Halbleiter-Package 200 mit Durchgangsbrücken-Die-Verbindungen Durchgangsbohrungen 244 aufweisen, die im Kern 202 ausgebildet sind. Diese Durchgangsbohrungen 244 können eine elektrische Konnektivität der Dies 226, 228 mit einer oder mehreren Aufbauschichten 246 auf der Unterseite bereitstellen. Ähnlich wie die Aufbauschichten 204, 210 auf der Oberseite kann/können die Aufbauschicht(en) 246 auf der Unterseite auch ein dielektrisches Material 248, wie beispielsweise ein dielektrisches Laminatmaterial, und Metallkontakte 250 aufweisen. Obwohl eine einzelne Aufbauschicht auf der Unterseite des Halbleiter-Packages 200 gezeigt ist, versteht es sich, dass auf der Unterseite des Halbleiter-Packages 200 eine beliebige geeignete Anzahl von Aufbauschichten vorhanden sein kann. Es versteht sich, dass in einigen beispielhaften Verarbeitungsschemata eine Mehrzahl von Aufbauschichten auf der Unterseite des Halbleiter-Packages 200 vorhanden sein können. In einigen beispielhaften Ausführungsformen kann in der Tat eine gleiche Anzahl von Aufbauschichten auf der Unterseite wie auf der Oberseite des Halbleiter-Packages 200 vorhanden sein. In einigen Fällen können die Prozesse zum Ausbilden von Aufbauschichten dafür zugänglich sein, Aufbauschichten auf beiden Seiten des Kerns 202 nahezu gleichzeitig und auf eine relativ kosteneffektive Weise auszubilden. Beispielsweise kann ein dielektrisches Material für eine Aufbauschicht gleichzeitig auf beiden Seiten des Kerns 202 laminiert werden.
  • In beispielhaften Ausführungsformen können Kontakte 252 für Package-Ebenen-E/A auf der/den Aufbauschicht(en) 246 auf der Unterseite bereitgestellt sein. Die Kontakte 252 können beliebige geeignete Kontakte für Halbleiter-Package-zu-Board-Zwischenverbindungen sein, wie beispielsweise Kugelgitteranordnung(BGA, Ball Grid Array)- oder andere Flächenarraykontakte.
  • 3A und 3B stellen vereinfachte schematische Querschnittsdiagramme dar, die Leistungsabgabepfade 316, 330 in einem Multi-Die-Halbleiter-Package ohne Durchgangs-Die-Verbindungen 300 und einem Multi-Die-Halbleiter-Package mit Durchgangs-Die-Verbindungen 320 gemäß beispielhaften Ausführungsformen der Offenbarung veranschaulichen.
  • 3A stellt ein vereinfachtes schematisches Querschnittsdiagramm dar, das ein Halbleiter-Package 300 mit einem darin eingebetteten Brücken-Die 306 veranschaulicht, wobei der Brücken-Die 306 keine Durchgangsbrücken-Die-Verbindungen bereitstellt und damit nicht anspruchsgemäß ist. Mit anderen Worten kann der Brücken-Die 306 aus 3A nicht verwendet werden, um eine Leistungs- oder Masseverbindung von den Leistungskontakten 302 und/oder einer/m Leistungsebenenleiterbahn/-kontakt 304 zu den Dies 312, 314 über die Kontakte 310 bereitzustellen. Der Klebstoff 308 kann möglicherweise kein leitfähiges Material sein und/oder der Brücken-Die 306 kann möglicherweise keinen relativ elektrisch leitfähigen Pfad von der Unterseite zur Oberseite des Brücken-Dies 306 bereitstellen. Zusätzlich kann der Brücken-Die 306 so gefertigt sein, dass die Kontakte 310 nicht elektrisch mit dem Bulk des Brücken-Dies 306 verbunden sein können. In diesem Fall kann der Pfad zum Bereitstellen von Leistung für verschiedene Bereiche der Dies 312, 314 ein gekrümmter Pfad 316 sein, wobei der Leistungsstromfluss um den eingebetteten Brücken-Die 306 herum erfolgen kann. Es versteht sich, dass ein derartiger umständlicher Pfad 316 zum Bereitstellen von Leistung an die Dies 312, 314 zu einer Verschlechterung der Qualität der zugeführten Leistung führen kann. Beispielsweise kann ein indirekter Weg zur Leistungsabgabe zu relativ größeren Spannungsabfällen, die durch größere Widerstände und Induktivitäten verursacht werden, einem relativ größeren Rauschen (Schrotrauschen, elektromagnetisches Interferenzrauschen, Übersprechrauschen usw.), einem relativ größeren, durch die Leistungsabgabe induzierten Timing-Jitter und/oder einem relativ größeren Versatz über räumlich verteilte Leistungsabgabepunkte führen. In Ausführungsformen, in denen der Brücken-Die 306 für Masseebenenverbindungen verwendet wird, können ähnliche Arten von Schwachstellen von einem relativ umständlichen Pfad 316 resultieren.
  • 3B stellt ein vereinfachtes schematisches Querschnittsdiagramm dar, das ein Halbleiter-Package 320 mit einem darin eingebetteten Brücken-Die 324 veranschaulicht, wobei der Brücken-Die 324 für Durchgangsbrücken-Die-Verbindungen gemäß beispielhaften Ausführungsformen der Offenbarung ausgelegt ist. Mit anderen Worten kann der Brücken-Die 324 verwendet werden, um Leistungs- oder Masseverbindungen von den Leistungskontakten 328 und/oder einer/m Leistungsebenenleiterbahn/-kontakt 304 zu den Dies 312, 314 über die Kontakte 326 bereitzustellen. Der Klebstoff 322 kann ein leitfähiger Klebstoff sein und der Brücken-Die 324 kann einen relativ leitfähigen elektrischen Pfad von der Unterseite zur Oberseite des Brücken-Dies 324 bereitstellen. In diesem Fall kann der Brücken-Die 324 so gefertigt sein, dass die Kontakte 326 elektrisch mit dem Bulk des Brücken-Dies 324 verbunden sein können. In diesem Fall kann der Pfad zum Bereitstellen von Leistung für verschiedene Bereiche der Dies 312, 314 ein relativ direkter, vertikaler Pfad 330 sein, wobei wenigstens ein Teil des Leistungsstromflusses durch den eingebetteten Brücken-Die 324 erfolgen kann. Es versteht sich, dass ein derartiger direkter Pfad 330 zum Bereitstellen von Leistung an die Dies 312, 314 zu relativen Verbesserungen in der Qualität der zugeführten Leistung im Vergleich zum umständlichen Pfad 316 aus 3A führen kann. Beispielsweise kann ein direkter Weg zur Leistungsabgabe zu relativ reduzierten Spannungsabfällen aufgrund von niedrigeren Widerständen und Induktivitäten, einem relativ niedrigeren Rauschen (Schrotrauschen, elektromagnetisches Interferenzrauschen, Übersprechrauschen usw.), einem relativ reduzierten, durch die Leistungsabgabe induzierten Timing-Jitter und/oder einem relativ reduzierten Versatz über räumlich verteilte Leistungsabgabepunkte führen. In Ausführungsformen, in denen der Brücken-Die 324 für Masseebenenverbindungen verwendet wird, können ähnliche Arten von Verbesserungen von einem relativ direkten Pfad 330 realisiert werden.
  • 4 stellt ein Flussdiagramm dar, das ein beispielhaftes Verfahren zum Fertigen von Halbleiter-Packages mit den Durchgangsbrücken-Die-Verbindungen aus 1-3 gemäß beispielhaften Ausführungsformen der Offenbarung veranschaulicht.
  • Bei Block 402 kann eine Leistungsebenenzwischenverbindung auf einem Package-Substrat ausgebildet werden. Es versteht sich, dass das Package-Substrat zu diesem Zeitpunkt in Plattenform vorliegen kann und mit einer Mehrzahl anderer Halbleiter-Packages verarbeitet werden kann, die auf der gleichen Package-Substratplatte gefertigt werden. Zu einem späteren Zeitpunkt kann das Package-Substrat vereinzelt werden (z. B. durch Laserablation, Säge usw.), um einzelne Package-Substrate auszubilden. Die Leistungsebenenzwischenverbindung kann eine Aufbauschicht mit aufgebautem Dielektrikum und Metallleiterbahnen und/oder Pads sein, die darin angeordnet sind. Die Leistungsebenenzwischenverbindung kann einen Leistungsebenenkontakt aufweisen, der auf ihrer Oberfläche angeordnet ist. In einigen beispielhaften Ausführungsformen kann die Leistungsebenenzwischenverbindung direkt über dem Kern des Halbleiter-Packages liegen, und in anderen Fällen kann die Leistungsebenenzwischenverbindung über (einer) anderen Aufbauschicht(en) liegen, die auf der Oberseite des Kerns angeordnet ist/sind. In einigen alternativen beispielhaften Ausführungsformen versteht es sich, dass bei der Fertigung des Halbleiter-Packages möglicherweise kein Kern vorhanden ist. In diesen kernlosen Designs kann immer noch eine Leistungsebene vorhanden sein, die ausgebildet werden kann, beispielsweise durch Aufbau auf anderen Aufbauschichten (z. B. darunter liegenden Aufbauschichten).
  • Bei Block 404 können eine oder mehrere Zwischenverbindungsschichten auf der Oberseite der Leistungsebene ausgebildet werden. Wie die Leistungsebenenzwischenverbindung können die eine oder die mehreren Zwischenverbindungsschichten Aufbauschichten mit Metallleiterbahnen und/oder Pads eines dielektrischen Aufbaumaterials sein. In beispielhaften Ausführungsformen können die Aufbauschichten möglicherweise keine Leiterbahnen und/oder Pads aufweisen, die über dem Leistungsebenenkontakt in der Leistungsebenenschicht liegen. Mit anderen Worten kann es eine Ausschlusszone für Metallmerkmale in den Aufbauschichten geben, wo die Kavität für den Brücken-Die ausgebildet werden soll.
  • Bei Block 406 kann eine Kavität in der einen oder den mehreren Zwischenverbindungsschichten ausgebildet werden, und leitfähiges Material kann innerhalb der Kavität und in Kontakt mit dem Leistungsebenenkontakt aufgetragen werden. Die Kavität kann durch einen beliebigen geeigneten Mechanismus ausgebildet werden, einschließlich strukturierter Nassätzung, strukturierter Trockenätzung, Laserablation, Nassreinigung, Kombinationen davon oder dergleichen, aber nicht darauf beschränkt. In einigen Fällen kann der Metallkontakt vor dem Ausbilden der Aufbauschichten bei Block 404 oberflächenbehandelt werden, so dass sich das dielektrische Material der darüber liegenden Aufbauschichten relativ sauber von der Oberfläche des Leistungsebenenkontakts löst. Nachdem der Leistungsebenenkontakt an der Unterseite der Kavität freigelegt ist, kann der leitfähige Klebstoff darauf angeordnet werden.
  • Bei Block 408 kann der Brücken-Die so in die Kavität eingesetzt werden, dass die Rückseite des Brücken-Dies die leitfähige Paste kontaktiert. In beispielhaften Ausführungsformen kann der Brücken-Die über der Kavität ausgerichtet und in die Kavität eingesetzt werden. In beispielhaften Ausführungsformen kann dieser Prozess unter Verwendung von Pick-and-Place-Werkzeugen zum Ausrichten und Anordnen des Brücken-Dies innerhalb der Kavität durchgeführt werden. Der Brücken-Die kann in beispielhaften Ausführungsformen eine Höhe aufweisen, so dass die obere Oberfläche (z. B. die obere Oberfläche des Brücken-Dies und die obere Oberfläche der bisher gefertigten obersten Aufbauschicht) des bisher ausgebildeten Halbleiter-Packages nach dem Einsetzen in die Kavität relativ planar ist. Die Unterseite des Brücken-Dies kann so angeordnet werden, dass ein ohmscher Kontakt mit dem Leistungsebenenkontakt erzielt wird. Die obere Oberfläche des Brücken-Dies kann relativ zum Rest des Halbleiter-Packages angeordnet werden, so dass ein Kontakt zu einem oder mehreren elektrischen Pads auf der Oberfläche des Brücken-Dies hergestellt werden kann.
  • Bei Block 410 kann wenigstens eine zusätzliche Package-Zwischenverbindungsschicht auf der Oberseite des Brücken-Dies ausgebildet werden, wobei die wenigstens eine zusätzliche Package-Zwischenverbindungsschicht Zwischenverbindungen aufweisen kann, die Metallleiterbahnen des Brücken-Dies kontaktieren. Die wenigstens eine zusätzliche Package-Zwischenverbindungsschicht in Form einer oder mehrerer Aufbauschichten kann so ausgebildet sein, dass sie einen elektrischen Kontakt zu einem oder mehreren Pads auf der Oberfläche des Brücken-Dies herstellt. In beispielhaften Ausführungsformen kann die Aufbauschicht, die unmittelbar auf der Oberseite des Brücken-Dies ausgebildet ist, elektrische Kontakte mit dem darunter liegenden Brücken-Die und/oder anderen Aufbauschichten, die den Brücken-Die umgeben, aufweisen.
  • Bei Block 412 können ein oder mehrere Dies für integrierte Schaltungen auf der Oberseite der wenigstens einen zusätzlichen Package-Zwischenverbindungsschicht montiert werden. Die Dies können eine beliebige geeignete elektronische Vorrichtung sein, wie beispielsweise eine halbleiterbasierte elektronische Vorrichtung. In beispielhaften Ausführungsformen kann der Die eine integrierte Schaltung (IC, Integrated Circuit) mit wenigstens einer aktiven Vorrichtung (z. B. Transistor, Dioden usw.) und/oder passiven Vorrichtung (z. B. Widerstände, Induktoren, Kondensatoren usw.) sein.
  • Es sollte angemerkt werden, dass das Verfahren 400 gemäß bestimmten Ausführungsformen der Offenbarung auf verschiedene Weisen modifiziert werden kann. Beispielsweise können eine oder mehrere Operationen des Verfahrens 400 in anderen Ausführungsformen der Offenbarung eliminiert oder in einer anderen Reihenfolge ausgeführt werden. Zusätzlich können andere Operationen gemäß anderen Ausführungsformen der Offenbarung zum Verfahren 400 hinzugefügt werden.
  • 5A-5F stellen vereinfachte schematische Querschnittsdiagramme eines beispielhaften Brücken-Dies mit Durchgangs-Die-Verbindungen und einen Fertigungsprozess dafür gemäß beispielhaften Ausführungsformen der Offenbarung dar. Obwohl 5A-5F einen bestimmten Prozessfluss und daraus resultierenden Brücken-Die darstellen, versteht es sich, dass Variationen der Prozesse und Strukturen durch die hierin bereitgestellte Offenbarung in Erwägung gezogen werden und umfasst sind. Beispielsweise versteht es sich, dass einige Prozesse in einer anderen Reihenfolge als der hierin dargestellten durchgeführt werden können. Als weiteres Beispiel versteht es sich, dass einige Prozesse geeignete Substitute aufweisen können, die implementiert werden können, ohne von Ausführungsformen der Offenbarung abzuweichen. Es versteht sich ferner, dass, obgleich hierin beschriebene Fertigungsprozesse Prozesse sein können, die typischerweise in einer Halbleiterfertigungseinrichtung/einem Reinraum (Fab) durchgeführt werden können, die Prozesse in einer beliebigen geeigneten Verarbeitungseinrichtung unter Verwendung einer beliebigen geeigneten Verarbeitungsanlage durchgeführt werden können.
  • 5A stellt ein vereinfachtes schematisches Querschnittsdiagramm eines Bulk-Silizium-Wafers 500 dar, der dotiert 502 ist und auf dem der Brücken-Die gefertigt werden soll, gemäß beispielhaften Ausführungsformen der Offenbarung. Der Wafer 500 kann eine beliebige geeignete Größe, Dicke, Kristallart, Güte und Flach- /Kerben-/Laserritzorientierung aufweisen. In beispielhaften Ausführungsformen kann der Wafer 500 ein beliebiger Wafer der Größe 300 mm (12 Zoll), 200 mm (8 Zoll), 150 mm (6 Zoll), 100 mm (4 Zoll), 50 mm (2 Zoll) und/oder einer beliebigen anderen geeigneten Größe sein. In beispielhaften Ausführungsformen kann der Wafer 500 eine Dicke in ungefähr einem Bereich von etwa 400 µm bis etwa 1,5 mm aufweisen. In einigen beispielhaften Ausführungsformen kann die Dicke des Wafers 500 ungefähr im Bereich von etwa 720 µm bis etwa 775 µm liegen. In einigen anderen beispielhaften Ausführungsformen kann die Dicke des Wafers 500 ungefähr im Bereich von etwa 950 µm bis etwa 1,1 mm liegen. In beispielhaften Ausführungsformen kann die Wafer-Kristallorientierung des Wafers 500 eine beliebige von <100>, <110>, <111> oder eine beliebige andere geeignete Kristallorientierung sein. In einigen beispielhaften Ausführungsformen kann ein Wafer vom p-Typ verwendet werden, und in anderen beispielhaften Ausführungsformen kann ein Wafer vom n-Typ verwendet werden. In einigen beispielhaften Ausführungsformen kann der Wafer 500 ein Wafer mit Vorrichtungsqualität mit oder ohne einer ultrareinen Epitaxieschicht sein. In anderen beispielhaften Ausführungsformen kann der Wafer 500 ein Wafer mit Testqualität sein. In anderen beispielhaften Ausführungsformen können nicht-kreisförmige Siliziumsubstrate verwendet werden. In noch weiteren alternativen Ausführungsformen können nichtkristalline Silizium-Wafer, wie beispielsweise Polysilizium-Wafer, verwendet werden. In noch anderen beispielhaften Ausführungsformen können andere Halbleiter-Wafer als Silizium (z. B. Germanium-Wafer) für die nachfolgenden Prozesse verwendet werden.
  • Der Wafer 500 kann vor dem Dotieren gereinigt werden. Der Dotierungsprozess kann einen beliebigen von einem Ionenimplantationsprozess und/oder einem Diffusionsprozess aufweisen. Dotierstoffmaterial kann durch Ionenimplantation eingebracht werden. In beispielhaften Ausführungsformen, in denen eine Ionenimplantation zum Dotieren verwendet wird, kann eine relativ dünne Schicht von Oxid (z. B. SiO2, Tetraethylorthosilicat-abgeschiedenes Oxid (TEOS) usw.) vor dem Ionenimplantationsprozess gezüchtet und/oder abgeschieden werden. In einigen beispielhaften Ausführungsformen kann die dünne Oxidschicht geopfert und vor einer nachfolgenden Verarbeitung gestrippt werden. In anderen beispielhaften Ausführungsformen kann die dünne Oxidschicht auf der Oberfläche verbleiben und die nachfolgende Verarbeitung des Wafers 500 nicht stören. Die Ionenimplantation kann mit beliebiger geeigneter Energie, Dosis und/oder Strom durchgeführt werden. Nach dem Ionenimplantationsprozess kann ein Wärme-/Glühprozess durchgeführt werden, um den Dotierstoff 502 (z. B. diffundiertes Dotierstoffmaterial) zu aktivieren und/oder zu treiben. In beispielhaften Ausführungsformen können Donordotierstoffe (ND) (z. B. Phosphor, Arsen, Wismut, Antimon usw.) verwendet werden, um einen Wafer 500 vom n-Typ auszubilden. In anderen beispielhaften Ausführungsformen können Akzeptordotierstoffe (NA) (z. B. Bor usw.) verwendet werden, um einen Wafer 500 vom p-Typ auszubilden. In anderen beispielhaften Ausführungsformen kann der Dotierungsprozess durch thermische Diffusion durchgeführt werden, indem Dotierstoffe (ND oder NA) 502 auf der Oberfläche und/oder in der Gasphase auf der Oberfläche des Wafers 500 eingebracht werden. Der thermische Prozess kann in beispielhaften Ausführungsformen die Dotierstoffe 502 in den Wafer 500, wie beispielsweise durch Ficksche Diffusion (z. B. entsprechend Ficks zweitem Diffusionsgesetz), treiben.
  • In beispielhaften Ausführungsformen kann der Wafer 500 wenigstens relativ proximal zur oberen Oberfläche auf ungefähr einen Bereich von etwa 1018 cm-3 bis etwa 1020 cm-3 dotiert sein. In beispielhaften Ausführungsformen kann die Dotierungsdichte des Donordotierstoffs 502 ungefähr 1020 cm-3 betragen. In beispielhaften Ausführungsformen kann der Wafer 500 degeneriert dotiert sein. Die Resistivität nach dem Dotieren des Wafers 500 kann in beispielhaften Ausführungsformen ungefähr im Bereich von etwa 10-5 Ω·cm bis etwa 10-3 Ω·cm liegen.
  • 5B stellt ein vereinfachtes schematisches Querschnittsdiagramm des Bulk-Silizium-Wafers 500 aus 5A mit einer Kontaktschicht 504 mit einem Dielektrikum 506 und Bulk-Siliziumkontakten 508 gemäß beispielhaften Ausführungsformen der Offenbarung dar. Das Dielektrikum 506 kann gezüchtet und/oder abgeschieden werden. Beispielsweise kann das Dielektrikum in einem trockenen (O2) thermischen Prozess oder einem nassen (H2O) thermischen Prozess gezüchtet werden. Der thermische Prozess kann einen Teil des Bulk-Siliziums verbrauchen, indem das Dielektrikum (SiO2) darauf ausgebildet wird. In anderen beispielhaften Ausführungsformen kann das Dielektrikum 506 auf der Oberfläche des dotierten Wafers 500 abgeschieden werden, beispielsweise durch chemische Gasphasenabscheidung (CVD, Chemical Vapor Deposition), plasmaunterstützte CVD (PECVD, Plasma Enhanced CVD), Atmosphärendruck-CVD (APCVD, Atmospheric Pressure CVD), Kombinationen davon oder dergleichen. Die vorgenannten Prozesse können eine beliebige Vielzahl von Chemien und Zufuhrgasen verwenden, wie beispielsweise TEOS, Silan/Sauerstoff (SiH4/O2), Trimethylsilan (3MS), Dimethylsilan (2MS), Verdünnungsgase (Ar, He, Xe, Ne), Kombinationen davon oder dergleichen. Wie oben erörtert, kann vor dem Ionenimplantationsprozess aus 5A in einigen Fällen eine dünne Oxidschicht auf der Oberseite des Bulk-Silizium-Wafers 500 abgeschieden worden sein. In beispielhaften Ausführungsformen kann die dünne Oxidschicht, die für Ionenimplantationszwecke abgeschieden wird, auf der Oberfläche des Bulk-Silizium-Wafers 500 verbleiben, auf dessen Oberseite der Rest des Dielektrikums 506 gezüchtet und/oder abgeschieden werden kann.
  • Nach dem Ausbilden (z. B. Züchten oder Abscheiden) des Dielektrikums 506 können eine Reihe von Prozessen durchgeführt werden, um Kontakte 508 mit dem Bulk-Silizium-Wafer 500 auszubilden. Diese Prozesse können einen Strukturierungsprozess, wie beispielsweise einen Photolithographieprozess, aufweisen, wobei ein lichtempfindlicher Photoresist auf der Oberseite des Dielektrikums 506 abgeschieden werden kann und strukturiert werden kann, indem ein Licht mit geeigneter Frequenz durch eine Maske (z. B. eine gemusterte Schablone) darauf gerichtet wird und der Photoresist entwickelt wird. Obwohl ein photolithographischer Strukturierungsprozess erörtert wird, versteht es sich, dass ein beliebiger geeigneter Strukturierungsprozess (z. B. Elektronenstrahlen, Röntgenstrahlen, Schablonenprägung, Siebdruck usw.) für den Zweck der Definition der Positionen der Bulk-Kontakte 508 verwendet werden kann. Nach dem Ausbilden einer Photoresistmaske können die Kontaktlöcher im Dielektrikum 506 geätzt werden. Das Ätzen des Dielektrikums kann einen beliebigen geeigneten Mechanismus beinhalten, einschließlich Nassätzen und/oder Trockenätzen, aber nicht darauf beschränkt. In einigen beispielhaften Ausführungsformen kann ein Plasmaätzen, wie beispielsweise ein magnetfeldunterstütztes reaktives Ionenätzen (MERIE, Magnetically Enhanced Reactive Ion Etch), durchgeführt werden, um die Kontaktlöcher für den dotierten Bulk-Wafer 500 auszubilden. In beispielhaften Ausführungsformen kann der Photoresist nach dem Ausbilden des Kontaktlochs gestrippt/verascht werden. Nachdem die Kontaktlöcher ausgebildet sind, können die Kontaktlöcher mit Metall oder einem beliebigen anderen geeigneten Leiter gefüllt werden, um die Kontakte 508 auszubilden. Die Kontaktlöcher können unter Verwendung eines beliebigen geeigneten Metallabscheidungsprozesses gefüllt werden, einschließlich CVD, physikalischer Gasphasenabscheidung (PVD, Physical Vapor Deposition) und/oder Plattieren, aber nicht darauf beschränkt. In beispielhaften Ausführungsformen können die Kontaktlöcher mit Wolfram (W) oder einem anderen Refraktärmetall unter Verwendung eines CVD-Prozesses gefüllt werden. In diesen oder anderen beispielhaften Ausführungsformen kann das Wolfram über der Oberfläche des Dielektrikums 506 abgeschieden werden, während es in den Kontaktlöchern abgeschieden wird. Ein chemisch-mechanischer Polierprozess (CMP-Prozess) kann durchgeführt werden, um das Wolfram von der Oberfläche des Dielektrikums 506 zu entfernen. In einigen alternativen Ausführungsformen können die Kontakte 508 vor dem Füllen mit Wolfram mit anderen Refraktärmetallen und/oder deren Nitriden (z. B. Titannitrid (TiN), Tantalnitrid (TaN) usw.) ausgekleidet sein.
  • 5C stellt ein vereinfachtes schematisches Querschnittsdiagramm des Silizium-Wafers 500 aus 5B mit einer oder mehreren Schichten 510, 520 von Metallzwischenverbindungen, die darauf gefertigt sind, gemäß beispielhaften Ausführungsformen der Offenbarung dar. Die Metallzwischenverbindungsschichten können durch einen beliebigen geeigneten Prozess ausgebildet werden, wie beispielsweise strukturierte Metallprozesse (z. B. Aluminium-Subtraktionsprozesse) und/oder Inlaid-Prozesse (z. B. Kupfer-Damascene, Kupfer-Dual-Damascene usw.). In beispielhaften Ausführungsformen können die Gräben 514 innerhalb des Dielektrikums 512 in einem Single-Inlaid-Prozess auf der Oberseite der Kontakte 508 ausgebildet werden, und dann können die Vias 516 und die Gräben 518 in einer Dual-Inlaid-Weise ausgebildet werden, wobei die Metallisierung der Vias 516 und der Gräben 518 gleichzeitig oder nahezu gleichzeitig durchgeführt werden kann. In ähnlicher Weise können die Vias 524 und die Gräben 526 der Metallschicht 520 innerhalb des Dielektrikums 522 in einer Dual-Inlaid-Weise ausgebildet werden. Alternativ können die Metallzwischenverbindungsschichten 510, 520 in einer Single-Inlaid-Weise gefertigt werden, entweder Via zuerst („Via-First“) oder Graben zuerst („Trench-First“). In noch anderen alternativen Ausführungsformen können die Metallzwischenverbindungsschichten 510, 520 in einem subtraktiven Metallisierungsschema ausgebildet werden, wobei Gräben durch Ätzen von abdeckendem, abgeschiedenem Metall (z. B. Aluminium) definiert werden können.
  • In beispielhaften Ausführungsformen können die Prozesse, die am Ausbilden der Gräben 518, 526 und der Vias 516, 524 in den Metallzwischenverbindungsschichten 510, 520 beteiligt sind, ein beliebiger geeigneter Prozess zum Abscheiden von Dielektrikum, Strukturieren von Vias, Ätzen von Vias, Strukturieren von Gräben, Ätzen von Gräben und Füllen von Vias und Gräben mit Metall und Rückpolieren von überschüssigem Metall sein. In einigen beispielhaften Ausführungsformen kann ein erster Photolithographieprozess (z. B. Spin-on-Resist, Belichtung, Entwicklung usw.) durchgeführt werden, um mit dem Photoresist die Vias 516, 524 der Metallzwischenverbindungsschichten 510, 520 zu definieren. Nach dem Definieren der Vias 516, 524 können die Durchkontaktierungslöcher geätzt werden, wie beispielsweise durch Verwendung eines MERIE-Prozesses mit einer beliebigen geeigneten Chemie (z. B. Chemien mit CF4, C2F6, C3F8, C4F6, C4F8 usw.), und der Photoresist kann gestrippt werden. Ein zweiter Photolithographieprozess kann durchgeführt werden, um die Gräben 518, 526 in den Metallzwischenverbindungsschichten 510, 520 zu definieren. Die Gräben 518, 526 können dann bis zu einer gewünschten Tiefe innerhalb des Dielektrikums 512, 522 geätzt werden, und dann kann der Photoresist gestrippt werden. Nach dem Ausbilden des Vias und der Grabenvertiefung können eine Metallauskleidung und/oder eine Barrieremetallschicht (z. B. TaN, TiN usw.) in einer kontinuierlichen Weise über den Wänden der Durchkontaktierungslöcher und Grabenkavitäten sowie der Oberfläche des Wafer-Dielektrikums 512, 522 abgeschieden werden. Die Barrieremetallschicht kann mittels eines beliebigen geeigneten Mechanismus, wie beispielsweise durch CVD, abgeschieden werden. Nach dem Abscheiden der Barrieremetallschicht kann eine Keimschicht aus Kupfer auf der Oberseite der Barrieremetallschicht durch einen beliebigen geeigneten Mechanismus, wie beispielsweise PVD, abgeschieden werden. Der Keim kann eine kontinuierliche (z. B. elektrisch kontinuierliche) Schicht sein, um eine nachfolgende Elektroplattierung zu ermöglichen. Nach dem Abscheiden der Cu-Keimschicht kann eine Cu-Elektroplattierung unter Verwendung von beliebigen geeigneten Prozessparametern und -chemien durchgeführt werden, wie beispielsweise einer Kupfer(II)-lösung mit Tensiden und Inhibitoren, um das Bottom-up-Via-Füllen zu verbessern. Der Cu-Elektroplattierungsprozess kann die Vias und Gräben füllen sowie Cu auf der Oberfläche des Dielektrikums abscheiden. Nach der Cu-Elektroplattierung kann ein CMP-Prozess durchgeführt werden, um die Cu-Plattierung, den Cu-Keim und das Barrieremetall von der Oberfläche des Dielektrikums 512, 522 zu entfernen.
  • 5D stellt ein vereinfachtes schematisches Querschnittsdiagramm des Silizium-Wafers aus 5C mit rückseitiger Dünnung des Bulk-Silizium-Wafers 500 gemäß beispielhaften Ausführungsformen der Offenbarung dar. Der Dünnungsprozess kann in beispielhaften Ausführungsformen ein rückseitiges Schleifen gefolgt von einem rückseitigen Polieren einer vorbestimmten Tiefe 528 gefolgt von einem Reinigungsprozess einschließen. In beispielhaften Ausführungsformen kann die rückseitige Dünnung zuerst das Montieren des Brücken-Die-Wafers auf einem zweiten Substrat beinhalten, wie zum Beispiel einem Handle-Wafer oder Dicing-Tape. In diesen Ausführungsformen kann die Vorderseite (z. B. die Seite, auf der die Zwischenverbindungsmetallschichten gefertigt wurden) am zweiten Substrat angebracht werden (z. B. in einer nach unten gerichteten Weise). Der Schleif- und/oder Polierprozess kann beliebige geeignete Tribologie- und/oder Prozessparameter beinhalten, einschließlich Poliertücher und/oder Körnung und/oder Slurry von einem beliebigen geeigneten Typ und/oder einer beliebigen geeigneten Größe. Der Wafer 500 kann auf eine geeignete Dicke des Bulk-Wafers 530 gedünnt werden, um in eine Kavität zu passen, die im Halbleiter-Package ausgebildet ist, in dem er angeordnet werden soll. Falls beispielsweise eine Aufbauschicht des Halbleiter-Packages 30 µm dick ist, dann kann der Brücken-Die auf eine Dicke von ungefähr 30 µm gedünnt werden, um in eine Kavität zu passen, die eine Dicke von einer Aufbauschicht aufweist. Als ein weiteres Beispiel kann der Brücken-Die, falls die Aufbauschicht 30 µm dick ist und die Kavität für das Einsetzen des Brücken-Dies drei Aufbauschichten dick ist, auf eine Dicke von ungefähr 90 µm gedünnt werden, um in die Kavität zu passen. In einigen beispielhaften Ausführungsformen kann der Brücken-Die-Wafer auf ungefähr den Bereich von etwa 25 µm bis etwa 400 µm gedünnt sein; in der gleichen oder in anderen beispielhaften Ausführungsformen ungefähr auf den Bereich von etwa 25 µm bis etwa 60 µm; und in noch weiteren beispielhaften Ausführungsformen auf ungefähr den Bereich von etwa 150 µm bis etwa 250 µm.
  • 5E stellt ein vereinfachtes schematisches Querschnittsdiagramm des gedünnten Silizium-Wafers 530 aus 5D mit Rückseitenmetall 532 gemäß beispielhaften Ausführungsformen der Offenbarung dar. Nach der Dünnung können, falls Tape- und/oder Handle-Substrate für den Dünnungsprozess angebracht wurden, die Tape- oder Handle-Substrate entfernt werden, oder sie können für die nachfolgende Verarbeitung angebracht bleiben. Der Wafer 530 kann einen ohmschen Kontakt aufweisen, der auf der Rückseite mit dem Rückseitenmetall 532 ausgebildet ist. In einigen beispielhaften Ausführungsformen kann optional ein anderer Dotierungsprozess (Diffusion, Ionenimplantation usw.) auf der geschliffenen und/oder polierten Rückseite des Wafers 530 durchgeführt werden, um eine hoch dotierte Oberfläche für einen relativ guten ohmschen Kontakt bereitzustellen. Als Nächstes kann Metall 532 auf der Rückseite des Wafers 530 unter Verwendung eines beliebigen geeigneten Prozesses, wie beispielsweise CVD, PVD oder dergleichen, abgeschieden werden. In einigen Fällen kann Wolfram auf der Rückseite abgeschieden werden. In anderen Fällen können Ni/Au-Kontakte auf der Rückseite des Wafers 530 ausgebildet werden. In der Tat kann eine beliebige geeignete Metallurgie zum Ausbilden des Rückseitenmetalls 532 verwendet werden.
  • 5F stellt ein vereinfachtes schematisches Querschnittsdiagramm des Silizium-Wafers aus 5E, der vereinzelt und bereit zum Einbetten in das Halbleiter-Package aus 1D ist, gemäß beispielhaften Ausführungsformen der Offenbarung dar. Nach dem Ausbilden des rückseitigen ohmschen Kontakts kann der Wafer gereinigt und vereinzelt werden (z. B. in Einzelchips zersägt werden). Der Reinigungsprozess kann einen beliebigen geeigneten Reinigungsprozess (z. B. Schallreinigung (SC1), SC2, Piranha-Reinigung usw.) beinhalten. Der Dicing-Prozess kann das Anbringen des Wafers am Dicing-Tape in einer Face-up-Konfiguration (z. B. nach oben gerichtete Zwischenverbindungsmetallschichten) und das Durchführen eines Sägeprozesses oder eines Laserprozesses zum Vereinzeln jedes der Brücken-Dies beinhalten, die auf dem Wafer 530 durch die in 5A-5F dargestellten Prozesse ausgebildet wurden.
  • 6 stellt ein Flussdiagramm dar, das ein beispielhaftes Verfahren 600 zum Fertigen des Brücken-Dies mit den Durchgangs-Die-Verbindungen aus 5A-5F gemäß beispielhaften Ausführungsformen der Offenbarung veranschaulicht.
  • Bei Block 602 kann eine obere Oberfläche von Bulk-Silizium dotiert sein. Wie oben erörtert, kann das Dotieren durch einen beliebigen geeigneten Mechanismus durchgeführt werden, einschließlich Diffusion, Ionenimplantation, Kombinationen davon oder dergleichen, aber nicht darauf beschränkt. Bei Block 604 können dielektrische und Bulk-Siliziumkontakte ausgebildet werden. Das Dielektrikum kann ein beliebiges geeignetes gezüchtetes Dielektrikum (z. B. SiO2) und/oder abgeschiedenes Dielektrikum (z. B. TEOS-abgeschiedenes Dielektrikum, 3MS-abgeschiedenes Dielektrikum, 2MS-abgeschiedenes Dielektrikum, Low-k-Dielektrikum usw.) sein. Kontakte können unter Verwendung beliebiger geeigneter Prozesse ausgebildet werden, wie beispielsweise Strukturieren, Ätzen, Photoresist-Stripping, Barrieremetallabscheidung, CMP usw. Bei Block 606 können eine oder mehrere Metallzwischenverbindungsschichten ausgebildet werden. Die eine oder mehreren Metallzwischenverbindungsschichten können durch einen beliebigen geeigneten Prozess ausgebildet werden, einschließlich Via-First-Trench-Last, Trench-First-Via-Last, Single-Inlaid, Dual-Inlaid, Cu-Zwischenverbindungen, subtraktives Metall, Al-Zwischenverbindungen, Kombinationen davon oder dergleichen, aber nicht darauf beschränkt. Es versteht sich, dass einige der auf dem Brücken-Wafer gefertigten Zwischenverbindungen für die Zwecke des Leitens von Signalen zwischen zwei oder mehr Dies, die im Halbleiter-Package in einem Package sind, mit Durchgangsbrücken-Die-Verbindungen verwendet werden können, wie hierin offenbart.
  • Bei Block 608 kann eine rückseitige Dünnung des Bulk-Wafers durchgeführt werden. Der Dünnungsprozess kann eine beliebige geeignete Sequenz von Schleifen, Polieren und/oder Reinigen verwenden. Der Dünnungsprozess kann in situ überwacht werden, um eine Zieldicke zu erreichen. In einigen beispielhaften Ausführungsformen kann die Zieldicke ein ganzzahliges Vielfaches der Dicke der Aufbauschichten sein, die auf der Oberfläche des Halbleiter-Packages ausgebildet sind, wie hierin beschrieben. Bei Block 610 kann Rückseitenmetall auf dem Wafer abgeschieden werden. In einigen Fällen kann eine Rückseitendotierung vor dem Abscheiden des Rückseitenmetalls durchgeführt werden. Beispielsweise kann die Rückseite degeneriert dotiert werden, um einen guten ohmschen Kontakt zum Rückseitenmetall auszubilden. Das Rückseitenmetall kann durch einen beliebigen geeigneten Mechanismus, wie beispielsweise CVD, PVD und/oder Plattieren, abgeschieden werden. In Block 612 können eine Reinigung und Vereinzelung durchgeführt werden. Die Vereinzelung kann mittels Dicing-Tape durchgeführt werden. Nach dem Dicing kann der Brücken-Die auf einem Dicing-Tape derart gehalten werden, dass der Brücken-Die unter Verwendung von Pick-and-Place-Werkzeugen zum Anordnen in der Kavität, die in den Halbleiter-Packages ausgebildet ist, aufgenommen werden kann, wie hierin beschrieben.
  • Es sollte angemerkt werden, dass das Verfahren 600 gemäß bestimmten Ausführungsformen der Offenbarung auf verschiedene Weisen modifiziert werden kann. Beispielsweise können eine oder mehrere Operationen des Verfahrens 600 in anderen Ausführungsformen der Offenbarung eliminiert oder in einer anderen Reihenfolge ausgeführt werden. Zusätzlich können andere Operationen gemäß anderen Ausführungsformen der Offenbarung zum Verfahren 600 hinzugefügt werden.
  • Es versteht sich, dass es sich bei der hierin beschriebenen Vorrichtung um einen beliebigen geeigneten Typ von Mikroelektronik-Packaging und Konfigurationen davon handeln kann, einschließlich beispielsweise System-in-Package (SiP), Systemon-Package (SoP), Package-on-Package (PoP), Interposer-Package, 3D-gestapeltes Package usw. In der Tat kann jeder geeignete Typ von mikroelektronischen Komponenten in den Halbleiter-Packages mit Durchgangsbrücken-Die-Verbindungen bereitgestellt werden, wie hierin beschrieben. Beispielsweise können Mikrocontroller, Mikroprozessoren, Basisbandprozessoren, digitale Signalprozessoren, Speicher-Dies, Field-Gate-Arrays, Logik-Gate-Dies, passive Komponenten-Dies, MEMSs, Oberflächenmontagevorrichtungen, anwendungsspezifische integrierte Schaltungen, Basisbandprozessoren, Verstärker, Filter, Kombinationen davon oder dergleichen in den Halbleiter-Packages mit Durchgangsbrücken-Die-Verbindungen in einem Package sein, wie hierin offenbart. Die Halbleiter-Packages mit Durchgangsbrücken-Die-Verbindungen, wie hierin offenbart, können in einer beliebigen Vielfalt von elektronischen Vorrichtungen bereitgestellt werden, einschließlich Verbraucher-, Industrie-, Militär-, Kommunikations-, Infrastruktur- und/oder anderen elektronischen Vorrichtungen.
  • Das Halbleiter-Package mit Brücken-Die-Verbindungen, wie hierin beschrieben, kann verwendet werden, um einen oder mehrere Prozessoren aufzunehmen. Der eine oder die mehreren Prozessoren können ohne Einschränkung eine zentrale Verarbeitungseinheit (CPU, Central Processing Unit), einen digitalen Signalprozessor (DSP), einen Computer mit reduziertem Befehlssatz (RISC, Reduced Instruction Set Computer), einen Computer mit komplexem Befehlssatz (CISC, Complex Instruction Set Computer), einen Mikroprozessor, einen Mikrocontroller, ein feldprogrammierbares Gate-Array (FPGA) oder eine beliebige Kombination davon aufweisen. Die Prozessoren können auch eine oder mehrere anwendungsspezifische integrierte Schaltungen (ASICs, Application Specific Integrated Circuits) oder anwendungsspezifische Standardprodukte (ASSPs, Application Specific Standard Products) zum Handhaben spezifieller Datenverarbeitungsfunktionen oder -aufgaben aufweisen. In bestimmten Ausführungsformen können die Prozessoren auf einem Intel® Architektursystem basieren, und der eine oder die mehreren Prozessoren und jeder Chipsatz, der in einer elektronischen Vorrichtung eingeschlossen ist, kann aus einer Familie von Intel® Prozessoren und Chipsätzen stammen, wie beispielsweise die Intel® Atom® Prozessorfamilie oder Intel-64-Prozessoren (z. B. Sandy Bridge®, Ivy Bridge®, Haswell®, Broadwell®, Skylake® usw.).
  • Zusätzlich oder alternativ kann das Halbleiter-Package mit Durchgangsbrücken-Die-Verbindungen, wie hierin beschrieben, verwendet werden, um einen oder mehrere Speicherchips aufzunehmen. Der Speicher kann eine oder mehrere flüchtige und/oder nichtflüchtige Speichervorrichtungen aufweisen, einschließlich magnetischer Speichervorrichtungen, Nur-Lese-Speicher (ROM, Read-Only Memory), Direktzugriffsspeicher (RAM, Random Access Memory), dynamischem RAM (DRAM), statischem RAM (SRAM), synchronem dynamischem RAM (SDRAM), Doppeldatenraten(DDR)-SDRAM (DDR-SDRAM), RAM-BUS-DRAM (RDRAM), Flash-Speichervorrichtungen, elektrisch löschbarem programmierbarem Nur-Lese-Speicher (EEPROM, Electrically Erasable Programmable Read-Only Memory), nichtflüchtigem RAM (NVRAM, Non-Volatile RAM), USB(Universeller Serieller Bus)-Wechselspeicher oder Kombinationen davon, aber nicht darauf beschränkt.
  • In beispielhaften Ausführungsformen kann die elektronische Vorrichtung, in der das Halbleiter-Package mit Durchgangsbrücken-Die-Verbindungen bereitgestellt ist, eine Rechenvorrichtung sein. Eine derartige Rechenvorrichtung kann eine oder mehrere Platinen aufnehmen, auf denen das Halbleiter-Package mit Brücken-Die-Verbindungen angeordnet sein kann. Die Platine kann eine Anzahl von Komponenten aufweisen, unter anderem, jedoch nicht darauf beschränkt, einen Prozessor und/oder wenigstens einen Kommunikationschip. Der Prozessor kann physikalisch und elektrisch mit der Platine verbunden sein, beispielsweise durch elektrische Verbindungen des Halbleiter-Packages mit einer EMI-Abschirmung. Die Rechenvorrichtung kann ferner eine Mehrzahl von Kommunikationschips aufweisen. Zum Beispiel kann ein erster Kommunikationschip einer drahtlosen Kommunikation mit kürzerer Reichweite, wie beispielsweise Wi-Fi und Bluetooth, dediziert sein, und ein zweiter Kommunikationschip kann einer drahtlosen Kommunikation mit größerer Reichweite, wie beispielsweise GPS, EDGE, GPRS, CDMA, WiMAX, LTE, EV-DO und andere, dediziert sein. In verschiedenen beispielhaften Ausführungsformen kann die Rechenvorrichtung ein Laptop, ein Netbook, ein Notebook, ein Ultrabook, ein Smartphone, ein Tablet, ein persönlicher digitaler Assistent (PDA), ein ultramobiler PC, ein Mobiltelefon, ein Desktop-Computer, ein Server, ein Drucker, ein Scanner, ein Monitor, eine Set-Top-Box, eine Unterhaltungssteuereinheit, eine Digitalkamera, ein portables Musikwiedergabegerät, ein digitaler Videorekorder, Kombinationen davon oder dergleichen sein. In weiteren beispielhaften Ausführungsformen kann die Rechenvorrichtung eine beliebige andere elektronische Vorrichtung sein, die Daten verarbeitet.
  • Verschiedene Merkmale, Aspekte und Ausführungsformen sind hierin beschrieben worden. Die Merkmale, Aspekte und Ausführungsformen eignen sich zur Kombination miteinander ebenso wie zu Variationen und Modifikationen, wie es von Fachleuten auf dem Gebiet verstanden wird. Die vorliegende Offenbarung sollte daher als derartige Kombinationen, Variationen und Modifikationen umfassend betrachtet werden.
  • Die Begriffe und Ausdrücke, die hierin verwendet wurden, dienen der Beschreibung und nicht der Einschränkung, und es besteht keine Absicht, bei der Verwendung derartiger Begriffe und Ausdrücke irgendwelche Äquivalente der gezeigten und beschriebenen Merkmale (oder Teile davon) auszuschließen. Dementsprechend sollen die Ansprüche alle derartigen Äquivalente abdecken.
  • Obgleich die Offenbarung verschiedene Ausführungsformen einschließt, einschließlich wenigstens einer besten Art, versteht es sich, dass viele Alternativen, Modifikationen und Variationen angesichts der vorstehenden Beschreibung für Fachleute auf dem Gebiet offensichtlich sind. sind in einem veranschaulichenden und nicht einschränkenden Sinne zu interpretieren.
  • Diese schriftliche Beschreibung verwendet Beispiele, um bestimmte Ausführungsformen der Offenbarung, einschließlich der besten Art, zu offenbaren und auch Fachleuten auf dem Gebiet zu ermöglichen, bestimmte Ausführungsformen der Offenbarung umzusetzen, einschließlich Herstellen und Verwenden von beliebigen Geräten, Vorrichtungen oder Systemen und Durchführen von beliebigen integrierten Verfahren und Prozessen.
  • Gemäß beispielhaften Ausführungsformen der Offenbarung kann ein Halbleiter-Package vorhanden sein, umfassend: eine erste Aufbauschicht mit einer oberen Oberfläche und einer der oberen Oberfläche gegenüberliegenden unteren Oberfläche, wobei die erste Aufbauschicht einen Leistungskontakt auf der oberen Oberfläche der ersten Aufbauschicht aufweist; eine zweite Aufbauschicht, die über der ersten Aufbauschicht liegt und einen Hohlraum aufweist, der über dem Leistungskontakt liegt; einen Brücken-Die, der innerhalb des Hohlraums der zweiten Aufbauschicht angeordnet ist, wobei der Brücken-Die eine Brücken-Die-Rückseite und eine Brücken-Die-Oberseite aufweist, wobei die Brücken-Die-Oberseite eine Mehrzahl von Brücken-Die-Oberseitenkontakten aufweist, wobei die Brücken-Die-Rückseite elektrisch mit dem Leistungskontakt gekoppelt ist; und eine dritte Aufbauschicht, die über der Oberseite des Brücken-Dies liegend und über der zweiten Aufbauschicht angeordnet ist, wobei die dritte Aufbauschicht eine Zwischenverbindungsleiterbahn aufweist, wobei die Zwischenverbindungsleiterbahn elektrisch mit einem ersten Brücken-Die-Oberseitenkontakt der Mehrzahl von Brücken-Die-Oberseitenkontakten gekoppelt ist. In beispielhaften Ausführungsformen kann ein leitfähiger Klebstoff zwischen dem Leistungskontakt und der Brücken-Die-Rückseite angeordnet sein. In weiteren beispielhaften Ausführungsformen umfasst die Brücken-Die-Rückseite eine Brücken-Die-Rückseitenmetallschicht, und wobei der leitfähige Klebstoff das Brücken-Die-Rückseitenmetall kontaktiert. In noch weiteren beispielhaften Ausführungsformen umfasst das Halbleiter-Package ferner einen Die, der auf der dritten Aufbauschicht angeordnet und elektrisch mit der Zwischenverbindungsleiterbahn verbunden ist.
  • Gemäß beispielhaften Ausführungsformen ist der Die ein erster Die, und ferner umfassend einen zweiten Die, der auf der dritten Aufbauschicht angeordnet ist, wobei der erste Die über die Zwischenverbindungsleiterbahn elektrisch mit dem zweiten Die gekoppelt ist. In weiteren beispielhaften Ausführungsformen ist die Zwischenverbindungsleiterbahn eine erste Zwischenverbindungsleiterbahn, wobei der Die über eine erste Die-zu-Package-Verbindung elektrisch mit der ersten Zwischenverbindungsleiterbahn verbunden ist, wobei der Die ferner über eine zweite Die-zu-Package-Verbindung elektrisch mit einer zweiten Zwischenverbindungsleiterbahn der dritten Aufbauschicht verbunden ist, und wobei die zweite Die-zu-Package-Verbindung eine größere Größe als die erste Die-zu-Package-Verbindung aufweist. In noch weiteren beispielhaften Ausführungsformen ist der erste Brücken-Die-Oberseitenkontakt durch einen Brücken-Die über einen Brücken-Die-Bulk elektrisch mit der Brücken-Die-Rückseite gekoppelt. In noch weiteren beispielhaften Ausführungsformen ist der Hohlraum ein erster Hohlraum, und ferner umfassend eine vierte Aufbauschicht, die über der zweiten Aufbauschicht und unter der dritten Aufbauschicht angeordnet ist, wobei die vierte Aufbauschicht einen zweiten Hohlraum aufweist, der über dem Leistungskontakt liegt, und wobei der erste Hohlraum und der zweite Hohlraum eine Kavität definieren, in der der Brücken-Die angeordnet ist. In einigen beispielhaften Ausführungsformen umfasst der Brücken-Die einen Bulk-Teil, der elektrisch mit einem Rückseitenmetall gekoppelt ist, das auf der Brücken-Die-Rückseite bereitgestellt wird, und wobei wenigstens einer der Mehrzahl von Brücken-Die-Oberseitenkontakten elektrisch mit dem Bulk-Teil gekoppelt ist, um Leistung durch den Bulk-Teil des Brücken-Dies zu dem wenigstens einen der Mehrzahl von Brücken-Die-Oberseitenkontakten und zu einem oder mehreren Dies, die elektrisch mit dem wenigstens einen der Mehrzahl von Brücken-Die-Oberseitenkontakten verbunden sind, zu leiten. In beispielhaften Ausführungsformen kann das Halbleiter-Package ferner eine vierte Aufbauschicht umfassen. Des Weiteren kann das Halbleiter-Package einen Package-Kern aufweisen, wobei der Package-Kern eine obere Package-Kernoberfläche und eine untere Package-Kernoberfläche aufweist; eine vierte Aufbauschicht, die auf der unteren Package-Kernoberfläche ausgebildet ist; und einen oder mehrere Package-zu-Board-Kontakten, die elektrisch mit der vierten Aufbauschicht gekoppelt sind.
  • Gemäß beispielhaften Ausführungsformen kann ein Verfahren vorhanden sein, umfassend: Ausbilden einer ersten Aufbauschicht, wobei die erste Aufbauschicht einen Leistungskontakt aufweist; Ausbilden einer oder mehrerer zweiter Aufbauschichten, die über der ersten Aufbauschicht liegen; Entfernen von Teilen der einen oder mehreren zweiten Aufbauschichten, um eine Kavität in der einen oder den mehreren zweiten Aufbauschichten auszubilden; Bereitstellen eines Brücken-Dies innerhalb der Kavität, wobei eine Rückseite des Brücken-Dies elektrisch mit dem Leistungskontakt verbunden ist; Ausbilden einer oder mehrerer dritter Aufbauschichten, die über dem Brücken-Die und der einen oder den mehreren zweiten Aufbauschichten liegen; und Anbringen eines ersten Dies und eines zweiten Dies an Zwischenverbindungen, die auf der einen oder den mehreren dritten Aufbauschichten bereitgestellt werden. In beispielhaften Ausführungsformen kann das Verfahren das Durchschneiden der ersten Aufbauschicht, der einen oder mehreren zweiten Aufbauschichten und der einen oder mehreren dritten Aufbauschichten umfassen, um ein Halbleiter-Package mit dem Brücken-Die zu vereinzeln. In weiteren beispielhaften Ausführungsformen umfasst das Ausbilden der ersten Aufbauschicht das Ausbilden der ersten Aufbauschicht auf einer Oberseite eines Package-Kerns. In noch weiteren beispielhaften Ausführungsformen umfasst das Verfahren das Ausbilden einer oder mehrerer vierter Aufbauschichten auf der Unterseite des Package-Kerns; und Ausbilden einer oder mehrerer Package-zu-Board-Verbindungen auf der einen oder den mehreren vierten Aufbauschichten. In noch weiteren beispielhaften Ausführungsformen umfasst das Entfernen der Teile der einen oder mehreren zweiten Aufbauschichten ferner eine Laserablation der Teile der einen oder mehreren zweiten Aufbauschichten, um den Leistungskontakt freizulegen, der unter der einen oder den mehreren zweiten Aufbauschichten liegt.
  • Gemäß einigen beispielhaften Ausführungsformen der Offenbarung umfasst das Verfahren das Abscheiden eines leitfähigen Klebstoffs innerhalb der Kavität. In beispielhaften Ausführungsformen umfasst das Bereitstellen des Brücken-Dies innerhalb der Kavität ferner das Ausrichten des Brücken-Dies über der Kavität und dann das Anordnen des Brücken-Dies innerhalb der Kavität, wobei ein Volumen der Kavität größer als ein Volumen des Brücken-Dies ist. In weiteren beispielhaften Ausführungsformen umfasst das Bereitstellen des Brücken-Dies innerhalb der Kavität ferner: Dotieren eines Wafers; Ausbilden eines oder mehrerer Bulk-Kontakte auf einer Oberseite des Wafers; Ausbilden einer oder mehrerer Metallzwischenverbindungsschichten über dem einen oder den mehreren Bulk-Kontakten; Dünnen des Wafers auf einer Unterseite des Wafers; Abscheiden von Rückseitenmetall auf der Unterseite des Wafers; und Vereinzeln des Wafers zum Ausbilden des Brücken-Dies. In noch weiteren beispielhaften Ausführungsformen umfasst das Dünnen des Wafers das Dünnen des Wafers auf eine Dicke, die im Wesentlichen gleich der Dicke der einen oder mehreren zweiten Aufbauschichten ist. In noch weiteren beispielhaften Ausführungsformen umfasst das Anbringen eines ersten Dies: Verbinden eines ersten Kontakts des ersten Dies mit einem ersten Pad auf der einen oder den mehreren dritten Aufbauschichten; und Verbinden eines zweiten Kontakts des ersten Dies mit einem zweiten Pad auf der einen oder den mehreren dritten Aufbauschichten, wobei das erste Pad eine kleinere Fläche als das zweite Pad aufweist, und wobei das erste Pad über dem Brücken-Die liegt und das zweite Pad nicht über dem Brücken-Die liegt.

Claims (19)

  1. Halbleiter-Package (200, 320), umfassend: eine erste Aufbauschicht (102, 204) mit einer oberen Oberfläche und einer der oberen Oberfläche gegenüberliegenden unteren Oberfläche, wobei die erste Aufbauschicht (102, 204) einen Leistungskontakt (108; 206; 304) auf der oberen Oberfläche der ersten Aufbauschicht (102, 204) aufweist; eine zweite Aufbauschicht (110, 210), die über der ersten Aufbauschicht (102, 204) liegt, wobei die zweite Aufbauschicht (110, 210) einen Hohlraum definiert, der über dem Leistungskontakt (108; 206) liegt; einen Brücken-Die (118, 212, 324), der innerhalb des Hohlraums der zweiten Aufbauschicht (110, 210) angeordnet ist, wobei der Brücken-Die (118, 212, 324) eine Brücken-Die-Rückseite und eine Brücken-Die-Oberseite aufweist, wobei die Brücken-Die-Oberseite eine Mehrzahl von Brücken-Die-Oberseitenkontakten aufweist, wobei die Brücken-Die-Rückseite elektrisch mit dem Leistungskontakt (108, 206) gekoppelt ist; und eine dritte Aufbauschicht (130, 220), die über der Oberseite des Brücken-Dies (118, 212, 324) liegend und über der zweiten Aufbauschicht (110, 210) angeordnet ist, wobei die dritte Aufbauschicht (112, 220) eine Zwischenverbindungsleiterbahn aufweist (132; 224), wobei die Zwischenverbindungsleiterbahn elektrisch mit einem ersten Brücken-Die-Oberseitenkontakt (230) der Mehrzahl von Brücken-Die-Oberseitenkontakten gekoppelt ist, wobei der erste Brücken-Die-Oberseitenkontakt über einen Brücken-Die-Bulk des Brücken-Dies (118, 212, 324) elektrisch mit der Brücken-Die-Rückseite gekoppelt ist.
  2. Halbleiter-Package nach Anspruch 1, ferner umfassend einen leitfähigen Klebstoff (116; 208; 322), der zwischen dem Leistungskontakt und der Brücken-Die-Rückseite angeordnet ist.
  3. Halbleiter-Package nach Anspruch 2, wobei die Brücken-Die-Rückseite ein Brücken-Die-Rückseitenmetall umfasst, und wobei der leitfähige Klebstoff das Brücken-Die-Rückseitenmetall kontaktiert.
  4. Halbleiter-Package nach einem der vorhergehenden Ansprüche, ferner umfassend einen Die (134; 226; 312), der auf der dritten Aufbauschicht angeordnet und elektrisch mit der Zwischenverbindungsleiterbahn (132; 224) verbunden ist.
  5. Halbleiter-Package nach Anspruch 4, wobei der Die ein erster Die (134; 226; 312) ist, und ferner umfassend einen zweiten Die (136; 228; 314), der auf der dritten Aufbauschicht angeordnet ist, wobei der erste Die über die Zwischenverbindungsleiterbahn (132; 224) elektrisch mit dem zweiten Die gekoppelt ist.
  6. Halbleiter-Package nach Anspruch 4, wobei die Zwischenverbindungsleiterbahn (132; 224) eine erste Zwischenverbindungsleiterbahn ist, wobei der Die (134; 226; 312) über eine erste Die-zu-Package-Verbindung (230) elektrisch mit der ersten Zwischenverbindungsleiterbahn verbunden ist, wobei der Die ferner über eine zweite Die-zu-Package-Verbindung (138; 232) elektrisch mit einer zweiten Zwischenverbindungsleiterbahn (104; 222) der dritten Aufbauschicht verbunden ist, und wobei die zweite Die-zu-Package-Verbindung eine größere Größe als die erste Die-zu-Package-Verbindung aufweist.
  7. Halbleiter-Package nach einem der vorhergehenden Ansprüche, wobei der Hohlraum ein erster Hohlraum ist, und ferner umfassend eine vierte Aufbauschicht (112; 210), die über der zweiten Aufbauschicht (110; 210) und unter der dritten Aufbauschicht (130; 220) angeordnet ist, wobei die vierte Aufbauschicht einen zweiten Hohlraum (122; 216) aufweist, der über dem Leistungskontakt liegt, und wobei der erste Hohlraum und der zweite Hohlraum eine Kavität (114) definieren, in der der Brücken-Die angeordnet ist.
  8. Halbleiter-Package nach einem der vorhergehenden Ansprüche, wobei der Brücken-Die einen Bulk-Teil (120; 214) umfasst, der elektrisch mit einem Rückseitenmetall gekoppelt ist, das auf der Brücken-Die-Rückseite bereitgestellt ist, und wobei wenigstens einer der Mehrzahl von Brücken-Die-Oberseitenkontakten elektrisch mit dem Bulk-Teil gekoppelt ist, um Leistung durch den Bulk-Teil des Brücken-Dies zu dem wenigstens einen der Mehrzahl von Brücken-Die-Oberseitenkontakten und zu einem oder mehreren Dies, die elektrisch mit dem wenigstens einen der Mehrzahl von Brücken-Die-Oberseitenkontakten verbunden sind, zu leiten.
  9. Halbleiter-Package nach einem der vorhergehenden Ansprüche, ferner umfassend eine vierte Aufbauschicht (112; 210).
  10. Halbleiter-Package nach einem der vorhergehenden Ansprüche, ferner umfassend: einen Package-Kern (100; 202), wobei der Package-Kern eine obere Package-Kernoberfläche und eine untere Package-Kernoberfläche aufweist; eine fünfte Aufbauschicht (246), die auf der unteren Package-Kernoberfläche ausgebildet ist, und einen oder mehrere Package-zu-Board-Kontakte (250; 252), die elektrisch mit der fünften Aufbauschicht gekoppelt sind.
  11. Verfahren (400), umfassend: Ausbilden (402) einer ersten Aufbauschicht, wobei die erste Aufbauschicht einen Leistungskontakt aufweist; Ausbilden (404) einer oder mehrerer zweiter Aufbauschichten, die über der ersten Aufbauschicht liegen; Entfernen (406) von Teilen der einen oder mehreren zweiten Aufbauschichten, um eine Kavität in der einen oder den mehreren zweiten Aufbauschichten auszubilden; Bereitstellen (408) eines Brücken-Dies innerhalb der Kavität, wobei eine Rückseite des Brücken-Dies elektrisch mit dem Leistungskontakt verbunden ist; Ausbilden (410) einer oder mehrerer dritter Aufbauschichten, die über dem Brücken-Die und der einen oder den mehreren zweiten Aufbauschichten liegen; und Anbringen (412) eines ersten Dies und eines zweiten Dies an Zwischenverbindungen, die auf der einen oder den mehreren dritten Aufbauschichten bereitgestellt werden, wobei das Bereitstellen (600) des Brücken-Dies innerhalb der Kavität ferner umfasst: Dotieren (602) eines Wafers; Ausbilden (604) eines oder mehrerer Bulk-Kontakte auf einer Oberseite des Wafers; Ausbilden (606) einer oder mehrerer Metallzwischenverbindungsschichten über dem einen oder den mehreren Bulk-Kontakten; Dünnen (608) des Wafers auf einer Unterseite des Wafers; Abscheiden (610) von Rückseitenmetall auf der Unterseite des Wafers; und Vereinzeln (612) des Wafers zum Ausbilden des Brücken-Dies.
  12. Verfahren nach Anspruch 11, ferner umfassend Durchschneiden der ersten Aufbauschicht, der einen oder mehreren zweiten Aufbauschichten und der einen oder mehreren dritten Aufbauschichten, um ein Halbleiter-Package mit dem Brücken-Die zu vereinzeln.
  13. Verfahren nach Anspruch 11 oder 12, wobei das Ausbilden der ersten Aufbauschicht das Ausbilden der ersten Aufbauschicht auf einer Oberseite eines Package-Kerns umfasst.
  14. Verfahren nach Anspruch 13, ferner umfassend: Ausbilden einer oder mehrerer vierter Aufbauschichten auf der Unterseite des Package-Kerns; und Ausbilden einer oder mehrerer Package-zu-Board-Verbindungen auf der einen oder den mehreren vierten Aufbauschichten.
  15. Verfahren nach einem der Ansprüche 11 bis 14, wobei das Entfernen der Teile der einen oder mehreren zweiten Aufbauschichten ferner eine Laserablation der Teile der einen oder mehreren zweiten Aufbauschichten umfasst, um den Leistungskontakt freizulegen, der unter der einen oder den mehreren zweiten Aufbauschichten liegt.
  16. Verfahren nach einem der Ansprüche 11 bis 15, ferner umfassend Abscheiden eines leitfähigen Klebstoffs innerhalb der Kavität.
  17. Verfahren nach einem der Ansprüche 11 bis 16, wobei das Bereitstellen des Brücken-Dies innerhalb der Kavität ferner das Ausrichten des Brücken-Dies über der Kavität und dann das Anordnen des Brücken-Dies innerhalb der Kavität umfasst, wobei ein Volumen der Kavität größer als ein Volumen des Brücken-Dies ist.
  18. Verfahren nach einem der Ansprüche 11 bis 17, wobei das Dünnen (608) des Wafers das Dünnen (608) des Wafers auf eine Dicke umfasst, die im Wesentlichen gleich der Dicke der einen oder mehreren zweiten Aufbauschichten ist.
  19. Verfahren nach einem der Ansprüche 11 bis 18, wobei das Anbringen eines ersten Dies umfasst: Verbinden eines ersten Kontakts des ersten Dies mit einer ersten Die-zu-Package-Verbindung auf der einen oder den mehreren dritten Aufbauschichten; und Verbinden eines zweiten Kontakts des ersten Dies mit einer zweiten Die-zu-Package-Verbindung auf der einen oder den mehreren dritten Aufbauschichten, wobei die erste Die-zu-Package-Verbindung eine kleinere Fläche als die zweite Die-zu-Package-Verbindung aufweist, und wobei die erste Die-zu-PackageVerbindung über dem Brücken-Die liegt und die zweite Die-zu-Package-Verbindung nicht über dem Brücken-Die liegt.
DE112015007213.6T 2015-12-22 2015-12-22 Halbleiter-package mit durchgangsbrücken-die-verbindungen und verfahren zum herstellen eines halbleiter-package Active DE112015007213B4 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2015/067447 WO2017111957A1 (en) 2015-12-22 2015-12-22 Semiconductor package with through bridge die connections

Publications (2)

Publication Number Publication Date
DE112015007213T5 DE112015007213T5 (de) 2018-09-13
DE112015007213B4 true DE112015007213B4 (de) 2021-08-19

Family

ID=59091082

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112015007213.6T Active DE112015007213B4 (de) 2015-12-22 2015-12-22 Halbleiter-package mit durchgangsbrücken-die-verbindungen und verfahren zum herstellen eines halbleiter-package

Country Status (4)

Country Link
US (1) US10950550B2 (de)
DE (1) DE112015007213B4 (de)
TW (1) TWI777930B (de)
WO (1) WO2017111957A1 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11948930B2 (en) 2020-04-29 2024-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of manufacturing the same

Families Citing this family (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018182595A1 (en) * 2017-03-29 2018-10-04 Intel Corporation Embedded die microelectronic device with molded component
US11430740B2 (en) * 2017-03-29 2022-08-30 Intel Corporation Microelectronic device with embedded die substrate on interposer
US10510721B2 (en) * 2017-08-11 2019-12-17 Advanced Micro Devices, Inc. Molded chip combination
WO2019054998A1 (en) * 2017-09-13 2019-03-21 Intel Corporation ACTIVE SILICON BRIDGE
US11393745B2 (en) 2017-09-29 2022-07-19 Intel Corporation Semiconductor packages with embedded interconnects
US11276635B2 (en) 2017-09-29 2022-03-15 Intel Corporation Horizontal pitch translation using embedded bridge dies
US10840227B2 (en) * 2017-11-02 2020-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Under-bump-metallization structure and redistribution layer design for integrated fan-out package with integrated passive device
US10163798B1 (en) 2017-12-22 2018-12-25 Intel Corporation Embedded multi-die interconnect bridge packages with lithotgraphically formed bumps and methods of assembling same
CN111095549A (zh) * 2017-12-29 2020-05-01 英特尔公司 容纳具有不同厚度的嵌入式管芯的贴片
WO2019133006A1 (en) * 2017-12-30 2019-07-04 Intel Corporation Galvanic corrosion protection for semiconductor packages
EP4170712A3 (de) 2018-03-29 2023-07-12 AT & S Austria Technologie & Systemtechnik Aktiengesellschaft Elektronische anordnung und elektronisches system mit impedanzangepassten verbindungsstrukturen
US10593628B2 (en) * 2018-04-24 2020-03-17 Advanced Micro Devices, Inc. Molded die last chip combination
US11380616B2 (en) * 2018-05-16 2022-07-05 Intel IP Corporation Fan out package-on-package with adhesive die attach
US11355438B2 (en) * 2018-06-29 2022-06-07 Intel Corporation Hybrid fan-out architecture with EMIB and glass core for heterogeneous die integration applications
US11088124B2 (en) * 2018-08-14 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Package and manufacturing method thereof
US11393758B2 (en) * 2018-09-12 2022-07-19 Intel Corporation Power delivery for embedded interconnect bridge devices and methods
MY202246A (en) * 2018-10-22 2024-04-19 Intel Corp Devices and methods for signal integrity protection technique
US11721677B2 (en) * 2018-12-27 2023-08-08 Intel Corporation Microelectronic assemblies having an integrated capacitor
US11342256B2 (en) 2019-01-24 2022-05-24 Applied Materials, Inc. Method of fine redistribution interconnect formation for advanced packaging applications
US11658122B2 (en) * 2019-03-18 2023-05-23 Intel Corporation EMIB patch on glass laminate substrate
US10998262B2 (en) 2019-04-15 2021-05-04 Intel Corporation Stripped redistrubution-layer fabrication for package-top embedded multi-die interconnect bridge
IT201900006736A1 (it) 2019-05-10 2020-11-10 Applied Materials Inc Procedimenti di fabbricazione di package
IT201900006740A1 (it) 2019-05-10 2020-11-10 Applied Materials Inc Procedimenti di strutturazione di substrati
US11931855B2 (en) 2019-06-17 2024-03-19 Applied Materials, Inc. Planarization methods for packaging substrates
US10923430B2 (en) 2019-06-30 2021-02-16 Advanced Micro Devices, Inc. High density cross link die with polymer routing layer
US11694959B2 (en) * 2019-07-29 2023-07-04 Intel Corporation Multi-die ultrafine pitch patch architecture and method of making
KR102674087B1 (ko) * 2019-09-06 2024-06-12 에스케이하이닉스 주식회사 전자기간섭 차폐층을 포함하는 반도체 패키지
US11233002B2 (en) * 2019-10-10 2022-01-25 Marvell Asia Pte, Ltd. High density low power interconnect using 3D die stacking
US11282806B2 (en) * 2019-10-11 2022-03-22 Marvell Asia Pte, Ltd. Partitioned substrates with interconnect bridge
US11728282B2 (en) * 2019-10-17 2023-08-15 Advanced Semiconductor Engineering, Inc. Package structure, assembly structure and method for manufacturing the same
US11094637B2 (en) 2019-11-06 2021-08-17 International Business Machines Corporation Multi-chip package structures having embedded chip interconnect bridges and fan-out redistribution layers
US11862546B2 (en) 2019-11-27 2024-01-02 Applied Materials, Inc. Package core assembly and fabrication methods
US11133259B2 (en) 2019-12-12 2021-09-28 International Business Machines Corporation Multi-chip package structure having high density chip interconnect bridge with embedded power distribution network
US11289453B2 (en) * 2020-02-27 2022-03-29 Qualcomm Incorporated Package comprising a substrate and a high-density interconnect structure coupled to the substrate
US11257790B2 (en) 2020-03-10 2022-02-22 Applied Materials, Inc. High connectivity device stacking
US12027448B2 (en) * 2020-03-24 2024-07-02 Intel Corporation Open cavity bridge power delivery architectures and processes
US11901248B2 (en) * 2020-03-27 2024-02-13 Intel Corporation Embedded die architecture and method of making
US11454884B2 (en) 2020-04-15 2022-09-27 Applied Materials, Inc. Fluoropolymer stamp fabrication method
US11400545B2 (en) 2020-05-11 2022-08-02 Applied Materials, Inc. Laser ablation for package fabrication
KR20210143568A (ko) * 2020-05-20 2021-11-29 에스케이하이닉스 주식회사 코어 다이가 제어 다이에 스택된 스택 패키지
US11462495B2 (en) * 2020-05-21 2022-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. Chiplets 3D SoIC system integration and fabrication methods
DE102020128855A1 (de) * 2020-05-21 2021-11-25 Taiwan Semiconductor Manufacturing Co., Ltd. Chiplets-3d-soic-systemintegrations- und herstellungsverfahren
KR20210152721A (ko) * 2020-06-09 2021-12-16 삼성전자주식회사 반도체 패키지
US11232951B1 (en) 2020-07-14 2022-01-25 Applied Materials, Inc. Method and apparatus for laser drilling blind vias
US11676832B2 (en) 2020-07-24 2023-06-13 Applied Materials, Inc. Laser ablation system for package fabrication
US11532582B2 (en) * 2020-08-25 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device package and method of manufacture
US11342289B2 (en) * 2020-09-01 2022-05-24 Intel Corporation Vertical power plane module for semiconductor packages
US11521937B2 (en) 2020-11-16 2022-12-06 Applied Materials, Inc. Package structures with built-in EMI shielding
US11404379B2 (en) 2020-11-17 2022-08-02 International Business Machines Corporation Structure and method for bridge chip assembly with capillary underfill
US11404318B2 (en) 2020-11-20 2022-08-02 Applied Materials, Inc. Methods of forming through-silicon vias in substrates for advanced packaging
US20220199537A1 (en) * 2020-12-18 2022-06-23 Intel Corporation Power-forwarding bridge for inter-chip data signal transfer
US11791270B2 (en) 2021-05-10 2023-10-17 International Business Machines Corporation Direct bonded heterogeneous integration silicon bridge
US11705365B2 (en) 2021-05-18 2023-07-18 Applied Materials, Inc. Methods of micro-via formation for advanced packaging
US20230035627A1 (en) * 2021-07-27 2023-02-02 Qualcomm Incorporated Split die integrated circuit (ic) packages employing die-to-die (d2d) connections in die-substrate standoff cavity, and related fabrication methods
US11848273B2 (en) 2021-11-17 2023-12-19 International Business Machines Corporation Bridge chip with through via
US11973018B2 (en) * 2022-02-09 2024-04-30 Advanced Semiconductor Engineering, Inc. Electronic package
TWI808835B (zh) * 2022-07-20 2023-07-11 強茂股份有限公司 晶圓級晶片尺寸封裝件及方法
CN115274475B (zh) * 2022-09-27 2022-12-16 江苏芯德半导体科技有限公司 一种具有高密度连接层的芯片封装方法及其芯片封装结构

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102014107514A1 (de) 2013-05-28 2015-03-26 Intel Corporation Bridge-verbindung mit geschichteten verbindungsstrukturen
WO2015130264A1 (en) 2014-02-26 2015-09-03 Intel Corporation Embedded multi-device bridge with through-bridge conductive via signal connection

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006019441A (ja) * 2004-06-30 2006-01-19 Shinko Electric Ind Co Ltd 電子部品内蔵基板の製造方法
TWI245388B (en) * 2005-01-06 2005-12-11 Phoenix Prec Technology Corp Three dimensional package structure of semiconductor chip embedded in substrate and method for fabricating the same
US20080246126A1 (en) * 2007-04-04 2008-10-09 Freescale Semiconductor, Inc. Stacked and shielded die packages with interconnects
EP2213148A4 (de) * 2007-10-10 2011-09-07 Tessera Inc Robuste mehrschicht-verdrahtungselemente und baugruppen mit eingebetteten mikroelektronischen elementen
US9502390B2 (en) * 2012-08-03 2016-11-22 Invensas Corporation BVA interposer
US9673131B2 (en) * 2013-04-09 2017-06-06 Intel Corporation Integrated circuit package assemblies including a glass solder mask layer
US8916981B2 (en) * 2013-05-10 2014-12-23 Intel Corporation Epoxy-amine underfill materials for semiconductor packages
JP2014236187A (ja) * 2013-06-05 2014-12-15 イビデン株式会社 配線板及びその製造方法
US9685414B2 (en) * 2013-06-26 2017-06-20 Intel Corporation Package assembly for embedded die and associated techniques and configurations
US10192810B2 (en) 2013-06-28 2019-01-29 Intel Corporation Underfill material flow control for reduced die-to-die spacing in semiconductor packages
JP5750528B1 (ja) * 2014-03-26 2015-07-22 太陽誘電株式会社 部品内蔵回路基板
US20150364422A1 (en) 2014-06-13 2015-12-17 Apple Inc. Fan out wafer level package using silicon bridge
US9337135B2 (en) * 2014-10-08 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Pop joint through interposer
US9443824B1 (en) * 2015-03-30 2016-09-13 Qualcomm Incorporated Cavity bridge connection for die split architecture
US10163798B1 (en) * 2017-12-22 2018-12-25 Intel Corporation Embedded multi-die interconnect bridge packages with lithotgraphically formed bumps and methods of assembling same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102014107514A1 (de) 2013-05-28 2015-03-26 Intel Corporation Bridge-verbindung mit geschichteten verbindungsstrukturen
WO2015130264A1 (en) 2014-02-26 2015-09-03 Intel Corporation Embedded multi-device bridge with through-bridge conductive via signal connection

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11948930B2 (en) 2020-04-29 2024-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of manufacturing the same

Also Published As

Publication number Publication date
TWI777930B (zh) 2022-09-21
TW201733053A (zh) 2017-09-16
US10950550B2 (en) 2021-03-16
WO2017111957A1 (en) 2017-06-29
US20200243448A1 (en) 2020-07-30
DE112015007213T5 (de) 2018-09-13

Similar Documents

Publication Publication Date Title
DE112015007213B4 (de) Halbleiter-package mit durchgangsbrücken-die-verbindungen und verfahren zum herstellen eines halbleiter-package
DE102017117815B4 (de) Struktur eines Halbleitergehäuses und Herstellungsverfahren
DE102018108051B4 (de) Integrierte Fan-Out-Packages und Verfahren zu deren Herstellung
DE102019103729B4 (de) Halbleiter-package und verfahren
DE102020104147B4 (de) Halbleiter-bauelemente und verfahren zu deren herstellung
DE102019118492B4 (de) Eingebettete Spannungsreglerstruktur und Verfahren zum Bilden derselben und Verfahren zum Betrieb derselben
DE102018130035B4 (de) Package und verfahren
DE102019116734A1 (de) Fan-out-package mit einem steuerbaren standoff-abstand
DE102018102085B3 (de) Halbleiter-Bauelement und Verfahren
DE102018111574A1 (de) Ausrichten von kontaktierhügeln in einem fan-out-häusungsprozes
DE102018117689A1 (de) Unterstützen von Info-Packages zum Reduzieren von Durchbiegung
DE102018106434B4 (de) Halbleiter-Bauelement und Verfahren zu dessen Herstellung
DE102019117199A1 (de) Fan-out-packages und verfahren zu deren herstellung
DE102019120381A1 (de) Integriertes schaltungs-package und verfahren
DE102018125372B4 (de) Elektromagnetischer abschirmungsaufbau in einem info-package und verfahren zu dessen herstellung
DE102018122228B4 (de) Integriertes Multichip-Fan-Out-Package sowie Verfahren zu dessen Herstellung
DE102016114814B4 (de) Halbleitervorrichtung und Herstellungsverfahren
DE102019114074A1 (de) Integriertes-schaltkreis-package und verfahren
DE102017122831B4 (de) Gehäusestrukturen und Ausbildungsverfahren
DE102019114984B4 (de) Package für integrierte schaltungen und verfahren
DE102021107792A1 (de) Halbleiter-packages und verfahren
DE102020124229A1 (de) Halbleitervorrichtung und verfahren
DE102017123326B4 (de) Halbleiter-Packages und Verfahren zu deren Herstellung
DE102018127314B4 (de) Integriertes Schaltkreis-Package und Verfahren
DE112015007283B3 (de) Halbleiter-package mit durchgangsbrücken-die-verbindungen

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R083 Amendment of/additions to inventor(s)
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R130 Divisional application to

Ref document number: 112015007283

Country of ref document: DE

R020 Patent grant now final