DE10355575B4 - Verfahren zur Herstellung von Seitenwandabstandselementen für ein Schaltungselement durch Erhöhen einer Ätzselektivität - Google Patents

Verfahren zur Herstellung von Seitenwandabstandselementen für ein Schaltungselement durch Erhöhen einer Ätzselektivität Download PDF

Info

Publication number
DE10355575B4
DE10355575B4 DE10355575A DE10355575A DE10355575B4 DE 10355575 B4 DE10355575 B4 DE 10355575B4 DE 10355575 A DE10355575 A DE 10355575A DE 10355575 A DE10355575 A DE 10355575A DE 10355575 B4 DE10355575 B4 DE 10355575B4
Authority
DE
Germany
Prior art keywords
silicon dioxide
layer
spacer
substrate
dioxide layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE10355575A
Other languages
English (en)
Other versions
DE10355575A1 (de
Inventor
Thorsten Kammler
Karsten Wieczorek
Christoph Schwan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Priority to DE10355575A priority Critical patent/DE10355575B4/de
Priority to US10/987,466 priority patent/US7192881B2/en
Publication of DE10355575A1 publication Critical patent/DE10355575A1/de
Application granted granted Critical
Publication of DE10355575B4 publication Critical patent/DE10355575B4/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

Verfahren zum Herstellen einer Halbleitervorrichtung mit:
Vorsehen eines Halbleitersubstrats;
Bilden eines Leitungsstrukturelements auf dem Halbleitersubstrat;
Durchführen einer ersten Dotierstoffimplantation unter Verwendung des Leitungsstrukurelements als Teil einer Implantationsmaske;
Abscheiden einer Siliziumdioxidschicht über dem Substrat und dem Leitungsstrukturelement;
Wärmebehandeln des Substrats, um die erste Dotierstoffimplantation zu aktivieren unter einer ersten Temperatur und für eine erste Zeitdauer;
Fortführen der Wärmebehandlung bei einer zweiten Temperatur für eine zweite Zeitdauer, um eine Verdichtung der Siliziumdioxidschicht fertigzustellen;
Bilden einer Abstandsschicht über der verdichteten Siliziumdioxidschicht; und
Ätzen der Abstandsschicht, wobei die verdichtete Siliziumdioxidschicht als Ätzstoppschicht benutzt wird, um Seitenwandabstandselemente an dem Leitungsstrukturelement zu bilden.

Description

  • GEBIET DER VORLIEGENDEN ERFINDUNG
  • Im Allgemeinen betrifft die vorliegende Erfindung die Herstellung integrierter Schaltungen und betrifft dabei die Herstellung von Seitenwandabstandselementen, die beispielsweise für das laterale Strukturieren eines Dotierprofils verwendet werden, das benachbart zu einem Schaltungselement, das daran gebildete Seitenwandabstandselemente aufweist, implantiert wird.
  • BESCHREIBUNG DES STANDS DER TECHNIK
  • Die Herstellung integrierter Schaltungen erfordert eine große Anzahl von Prozessschritten mit beispielsweise Photolithographie, Ätztechniken, Implantationssequenzen, Ausheizprozessen und dergleichen. In der MOS-Technologie ist ein wichtiger Schritt die Herstellung einer Gateelektrode eines Feldeffekttransistors, wobei die Größe der Gateelektrode wesentlich das Gesamtverhalten des MOS-Transistorelements beeinflusst. Die Gateelektrode ist ein leitungsähnliches Schaltungselement, das über einem kristallinen Halbleitergebiet, typischerweise ein Siliziumgebiet, ausgebildet ist, wobei eine dünne Gateisolierschicht zwischen dem Halbleitergebiet und der Gateelektrode angeordnet ist, um eine dielektrische Barriere bereitzustellen und eine kapazitive Ankopplung an das darunter liegende Halbleitergebiet zu erzeugen, das einen leitenden Kanal beim Anlegen einer geeigneten Steuerspannung an die Gateelektrode ausbildet. Der Kanal bildet sich in dem Halbleitergebiet in der Nähe der Gateisolierschicht und erzeugt eine elektrische Verbindung zwischen dem stark dotierten Source- und Draingebiet. Der Abstand zwischen dem Drain- und dem Sourcegebiet, d. h. die laterale Ausdehnung des Kanals, die mit der lateralen Ausdehnung der Gateelektrode korreliert ist und auch als Kanallänge bezeichnet wird, ist ein wichtiger Entwurfsparameter und hat nunmehr 0.1 μm und weniger in hochentwickelten integrierten Schaltungen erreicht.
  • In der Standard-MOS-Technologie wird die Gateelektrode gebildet, bevor die Drain- und Sourcegebiete durch Ionenimplantation hergestellt werden. Während des Ionenimplantationsprozesses dient die Gateelektrode als eine Implantationsmaske, wodurch eine korrekte Justierung des Drain- und Sourcegebietes in Bezug auf die Gateelektrode sichergestellt ist. Da eine Vielzahl von Hochtemperaturbehandlungen nach der Herstellung der Gateelektrode ausgeführt werden, wird die vor der Strukturierung der Gateelektrode hergestellte Gateisolierschicht vorzugsweise auf der Basis von Siliziumdioxid oder Siliziumnitrid gebildet, und die Gateelektrode wird aus Polysilizium gebildet, um damit eine Gateelektrodenstruktur zu erhalten, die eine hohe thermische Stabilität aufweist. Nach dem Strukturieren der Gateelektrode werden das Drain- und Sourcegebiet mittels Ionenimplantation hergestellt, wobei die Gateelektrode als eine Implantationsmaske genutzt wird. Es erweist sich jedoch, dass Transistorelemente mit reduzierten Bauteilgrößen anspruchsvolle Dotierprofile in der lateralen Richtung und auch in der vertikalen Richtung erfordern, wobei der Begriff „vertikal” die Richtung senkrecht zur Oberfläche des Substrats kennzeichnet, während der Begriff „lateral” im Groben der Fließrichtung von Ladungsträgern in dem Kanal entspricht. Während die vertikale Dotierstoffprofilierung durch entsprechendes Einstellen der Implantationsparameter, etwa Dosis und Energie für eine gegebene Ionenspezies, erreichbar ist, erfordert das laterale Dotierstoffprofil eine verbesserte Maskierungstechnik, die typischerweise durch die Herstellung eines oder mehrerer Seitenwandabstandselemente erreicht wird.
  • Mit Bezug zu den 1a bis 1c wird nunmehr ein typischer konventioneller Prozessablauf zur Herstellung von Seitenwandabstandselementen detaillierter beschrieben, um gewisse Nachteile der konventionellen Technologie zu erläutern, wenn Strukturgrößen von Schaltungselementen weiter in der Größe reduziert werden.
  • 1a ist eine schematische Querschnittsansicht eines Transistorelements 100 während einem frühen Herstellungsstadium. Das Transistorelement 100 umfasst ein Substrat 101, das ein Siliziumsubstrat oder ein SOI-(Silizium auf Isolator) Substrat in technisch weit entwickelten Bauelementen sein kann. Unabhängig von der Art des verwendeten Substrats weist das Substrat 101 ein im Wesentlichen kristallines Halbleitergebiet 103 auf, das von einer Isolationsstruktur 102 umschlossen ist, die typischerweise in modernen integrierten Schaltungen in Form einer Grabenisolation vorgesehen ist. Das Transistorelement 100 enthält ferner eine Gateelektrode 105, die aus Polysilizium aufgebaut und über dem Siliziumgebiet 103 und davon durch eine Gateisolierschicht 104 getrennt ist. In Prinzip erfordert die Verringerung der Gatelänge, d. h. in 1a die horizontale Ausdehnung der Gateelektrode 105, eine entsprechende Verringerung der Dicke der Gateisolierschicht 104, um eine geeignete kapazitive Ankopplung für eine korrekte Steuerung eines Kanals sicherzustellen, der sich unterhalb der Gateisolierschicht 104 beim Anlegen einer geeigneten Steuerspannung ausbildet. Für eine Gatelänge von ungefähr 100 nm liegt die Dicke der Gateisolierschicht 104, wenn diese Siliziumdioxid aufweist, im Bereich von ungefähr 2 bis 4 nm. Im Hinblick auf eine korrekte Kanalsteuerbarkeit und um die Unversehrtheit der Gateisolierschicht 104 zu bewahren, werden anspruchsvolle Implantationsprofile benachbart zu der Gateelektrode 105 erforderlich. Dazu wird ein Seitenwandabstandselement 106, das häufig auch als Versatz- oder Offset-Abstandselement bezeichnet wird, an den Seitenwänden der Gateelektrode 105 gebildet, um in Kombination mit der Gateelektrode 105 als eine Implantationsmaske während einer ersten Implantation, die als 108 gekennzeichnet ist, zu dienen. Das entsprechende Dotierstoffprofil, das mit 107 bezeichnet ist, wird auch als Erweiterungsgebiet bezeichnet.
  • Ein typischer Prozessablauf zur Herstellung des Transistorelements 100, wie es in 1a gezeigt ist, kann die folgenden Prozesse umfassen. Zunächst werden die Grabenisolationen 102 in dem Substrat 101 durch modernste Photolithographie, anisotrope Ätz- und Abscheidetechniken, die im Stand der Technik gut etabliert sind, gebildet. Danach werden mehrere Implantationszyklen so durchgeführt, um ein erforderliches vertikales Dotierprofil (nicht gezeigt) in dem Halbleitergebiet 103 zu erzeugen. Anschließend wird eine Materialschicht für die Gateisolierschicht 104 auf dem Substrat 101 beispielsweise durch modernste Oxidations- und/oder Abscheidetechniken gebildet. Als nächstes wird eine Schicht aus Polysilizium mit einer erforderlichen Dicke durch beispielsweise chemische Dampfabscheidung bei geringen Druck (LPCVD) auf der Grundlage von Prozessparametern abgeschieden, wie sie im Stand der Technik gut etabliert sind. Nachfolgend werden die Polysiliziumschicht und die Materialschicht für die Gateisolierschicht 104 durch hochentwickelte Photolithographie- und anisotrope Ätztechniken strukturiert, wobei typischerweise zuerst ein Lackstrukturelement gebildet und auf spezifizierte Abmessungen geschrumpft wird, um dann als eine Ätzmaske während des nachfolgenden anisotropen Ätzprozesses zu dienen, bei dem die Gateelektrode 105 aus der Polysiliziumschicht gebildet wird, während die Materialschicht für die Gateisolierschicht 104 als eine Ätzstoppschicht dient. Danach können die Reste der Materialschicht, die die Gateisolierschicht 104 bildet, entfernt werden, und das Substrat 101 kann oxidiert (nicht gezeigt) werden, um eine dünne Siliziumdioxidschicht an freigelegten Oberflächen des Siliziumgebiets 103 und der Gateelektrode 105 zu bilden. Daraufhin wird eine Siliziumdioxidschicht konform abgeschieden und wird dann anisotrop selektiv zu dem Silizium geätzt, um die Offsetabstandselemente 106 zu bilden.
  • Dabei ist die Breite der Offset-Abstandselemente 106 und damit die laterale blockierende Wirkung durch die Dicke der abgeschiedenen Siliziumdioxidschicht bestimmt. Schließlich wird unter Ausnutzung der Gateelektrode 105 und der Offsetabstandselemente 106 als Implantationsmaske die Implantation 108 durchgeführt, um die Erweiterungsgebiete 107 zu definieren, deren Dotierprofil in der vertikalen Richtung durch die Art der verwendeten Dotierstoffe und die entsprechende Implantationsenergie und in der lateralen Richtung durch die Offset-Abstandselemente 106 bestimmt ist.
  • 1b zeigt schematisch das Transistorelement 100 in einem fortgeschrittenen Herstellungsstadium. Eine Abstandsschicht 110, die beispielsweise Siliziumnitrid aufweist, ist konform über dem Substrat 101 und der Gateelektrode 105 mit einer Dicke gebildet, die für die weitere Profilierung der lateralen Dotierstoffkonzentration in dem Halbleitergebiet 103 erforderlich ist. Ferner ist eine dünne Ätzstoppschicht 109, die Siliziumdioxid aufweist, zwischen der Abstandsschicht 110 und dem Substrat 101 angeordnet. Ein typischer Prozess beinhaltet das Abscheiden der Ätzstoppschicht 109 durch plasmaunterstützte chemische Dampfabscheidung, an die sich eine plasmaunterstützte chemische Dampfabscheidung der Abstandsschicht 110 anschließt. Da die laterale Dotierprofilierung von der Genauigkeit des Abscheidens der Abstandsschicht 110 abhängt, ist Siliziumnitrid ein bevorzugter Kandidat, da dieses Material durch gut etablierte CVD-Techniken präziser im Vergleich zu beispielsweise Siliziumdioxid abgeschieden werden kann. Nach dem Abscheiden der Schichten 109 und 110 wird ein anisotroper Ätzprozess mit einer geeignet ausgewählten Ätzchemie, die eine moderat hohe Ätzselektivität zwischen der Ätzstoppschicht 109 und der Abstandsschicht 110 aufweist, durchgeführt. Auf Grund der ständig abnehmenden Strukturgrößen müssen die Dicke der Abstandsschicht 110 und auch der Ätzstoppschicht 109 entsprechend an die reduzierten Strukturgrößen angepasst werden, so dass eine Dicke der Ätzstoppschicht 109 typischerweise im Bereich von 1 bis 5 nm liegt.
  • 1c zeigt schematisch das Transistorelement 100 nach dem anisotropen Ätzprozess, wobei die Abstandsschicht 110 mit Ausnahme an den Bereichen an der Seitenwand der Gatelektrode 105, die nunmehr als Seitenwandabstandselemente 110a bezeichnet sind, im Wesentlichen entfernt ist. Während für größere Strukturgrößen und damit größere Dicken der Schichten 109 und 110 typischerweise die Dicke der dünnen Ätzstoppschicht 109 ausreichend ist, um zuverlässig die Ätzfront zu stoppen, wenn das Überschussmaterial der Abstandsschicht 110 von horizontalen Oberflächenbereichen im Wesentlichen vollständig entfernt wird, da die Ätzstoppschicht 109 lediglich den Ätzprozess an den freigelegten Bereichen verlangsamen muss, bis das gesamte Material der Abstandsschicht 110 über das gesamte Substrat 101 hinweg entfernt ist, ist die Sachlage für äußerst größenreduzierte Bauelemente deutlich anders. In diesem Falle stellt die abgeschiedene Ätzstoppschicht 109; beispielsweise in Form von Siliziumdioxid, auf Grund der reduzierten Dicke lokale „Kanäle” bereit, durch die die Ätzchemie den Oberflächenbereich des Gebiets 103 und der Gateelektrode 105 angreifen kann, wodurch ein „Lochfraß”-Effekt erzeugt werden kann.
  • Wie zuvor erläutert ist, weist die Gateelektrode 105, obwohl diese stark dotiert ist, eine relativ geringe Leitfähigkeit im Vergleich zu beispielsweise Metallen, etwa Aluminium, Wolfram, und dergleichen, auf, wie sie typischerweise in der Halbleiterherstellung verwendet werden. Daher wird in einer typischen MOS-Technologie die Leitfähigkeit der Gatelektrode 105 durch Herstellen eines Metallsilizidgebiets auf der Gateelektrode 105 erhöht, um somit deren Widerstand zu verringern. Während des Silizidierungsprozesses dient das Seitenwandabstandselement 110a zusätzlich dazu, eine selbstjustierende Herstellung eines Metallsilizids in dem noch zu bildenden Drain- und Sourcegebiet als auch in der Gateelektrode 105 zu ermöglichen, da eine Silizidausbildung auf dem Seitenwandabstandselement 110a im Wesentlichen nicht stattfindet. Da die Menge des Metallsilizids, das in der Gateelektrode 105 in dem nachfolgenden Prozess gebildet wird, abhängig von den Prozessgegebenheiten auf der Grundlage der Größe des freigelegten Siliziumbereichs der Gateelektrode 105 bestimmt werden kann, wird in einigen Prozessabläufen der anisotrope Ätzprozess fortgesetzt, um die vertikale Ausdehnung des Abstandselements 110a weiter zu verringern, während dabei dessen laterale Breite im Wesentlichen beibehalten wird. Auf Grund des Fortesetzens des anisotropen Ätzprozesses werden freigelegte Bereiche der Ätzstoppschicht 109 zunehmend auf Grund der begrenzten Ätzselektivität verbraucht, wodurch die Lochfraßwirkung noch weiter erhöht und die Integrität der darunter liegenden Materialoberflächen ernsthaft gefährdet wird.
  • 1d zeigt schematisch das Transistorelement 100 nach Abschluss des oben beschriebenen anisotropen Ätzprozesses. Die Seitenwandabstandselemente 110a zeigen eine deutlich reduzierte vertikale Ausdehnung, so dass obere Seitenwandbereiche der Gateelektrode 105 freigelegt sind, die aber noch von Resten der Ätzstoppschicht 109 und den Offset-Abstandselementen 106 bedeckt sind, die in einem nachfolgenden isotropen nass-chemischen Ätzprozess auf der Grundlage von beispielsweise Fluorwasserstoff entfernt werden. Ferner zeigen freigelegte Bereiche der Ätzstoppschicht 109, die als 109a gekennzeichnet sind, eine deutlich reduzierte Dicke und können zu Lochfraß, der als 111 bezeichnet ist, in dem darunter liegenden Material führen. Der lokal inhomogene Schaden, d. h. der Lochfraß 111, ist nachteilig für die weitere Bearbeitung des Transistorelements 100, da z. B. die mechanischen und elektrischen Eigenschaften der betroffenen Oberflächenbereiche deutlich beeinträchtigt sind. In extrem größenreduzierten Transistorelementen ist auch der verfügbare Oberflächenbereich zur Ausbildung von Kontakten ebenso stark reduziert, und es kann eine übermäßige Beeinträchtigung des Transistorverhaltens beobachtet werden, die durch Oberflächenbereiche hervorgerufen wird, die durch Lochfraß 111 während der Ätzsequenz für die Abstandselemente geschädigt wurden. Das Problem spitzt sich noch mehr zu, da in hochentwickelten Transistorelementen mehr als zwei Abstandselemente, etwa das Offset-Abstandselement 106 und das Abstandselement 110a, gebildet werden müssen, um die erforderliche laterale Profilierung in dem Halbleitergebiet 103 zu gewährleisten. Somit findet in einem nachfolgenden Strukturierungsprozess für weitere Seitenwandabstandselemente, die ebenso anisotrop geätzt werden können, bis obere Seitenwandbereiche der Gateelektrode 105 freigelegt sind, eine weitere Beeinträchtigung freigelegter Oberflächenbereiche durch beispielsweise Lochfraß statt. Die Verringerung der Auswirkung des Lochfraßes während des anisotropen Ätzens durch beispielsweise Vergrößern der Dicke der Ätzstoppschicht 109 ist wenig wünschenswert, da die nachfolgende isotrope Entfernung von Resten der Schicht 109 und der Offset-Abstandselemente 106 dann zu einem nicht tolerierbaren Unterätzen des Seitenwandabstandselements 110a führen würde. Ferner kann ein Vergrößern der Dicke der Schicht 109 auf Kosten der Verringerung der Dicke der Abstandsschicht 110 zu einer reduzierten Steuerbarkeit des lateralen Dotierstoffprofils führen.
  • Angesichts der oben erkannten Probleme besteht daher ein Bedarf für eine verbesserte Technik zur Herstellung von Seitenwandabstandselementen, wodurch die Schäden verringert werden, die in freigelegten Oberflächenbereichen während des anisotropen Strukturierens einer Abstandsschicht hervorgerufen werden.
  • Weiterer Stand der Technik ist aus der US 5,013,675 bekannt, die ein Verfahren zur Herstellung und zur Entfernung von leicht dotierten Drainabstandselementen aus Polysilizium zeigt. Ein zweiphasiger Wärmeprozess zur Aktivierung der Dotierstoffe des LDDs, wie auch zur Verdichtung einer Siliziumdioxidschicht, die als Ätzstoppschicht verwendet wird, ist in diesem Dokument nicht gezeigt.
  • Die US 6,448,167 B1 betrifft ein Verfahren zur Verringerung des Unterätzphänomens bei der Herstellung von Seitenwandabstandselementen. Zur Verdichtung des als Ätzstoppschicht dienenden Siliziumdioxids über der Gatestruktur wird die für die Aktivierung der Dotierstoffe vorgesehene Wärmebehandlung verwendet.
  • ÜBERBLICK ÜBER DIE ERFINDUNG
  • Die vorliegende Erfindung schafft ein Verfahren mit den Merkmalen gemäß Anspruch 1.
  • Im Allgemeinen richtet sich die vorliegende Erfindung an eine Technik, die eine erhöhte Ätzselektivität einer Siliziumdioxidbeschichtung in Bezug auf eine Abstandsschicht bietet, die typischerweise Siliziumnitrid aufweist, um damit die Wahrscheinlichkeit von Lochfraß durch die Siliziumdioxidbeschichtung hindurch während eines nachfolgenden anisotropen Ätzprozesses zur Strukturierung der Abstandsschicht zu reduzieren. Die Beständigkeit der Siliziumdioxidbeschichtung in Bezug auf die zum Strukturieren der Seitenwandabstandselemente angewendeten Ätzchemie kann erhöht werden, indem die Struktur des Siliziumdioxidbeschichtung, die typischerweise durch plasmaunterstützte chemische Dampfabscheidung aufgebracht wird, verdichtet wird.
  • Folglich umfasst gemäß einer anschaulichen Ausführungsform der vorliegenden Erfindung in Prinzip ein Verfahren das Abscheiden einer Siliziumdioxidschicht über einem Substrat, das ein Leitungsstrukturelement aufweist, und das Wärmebehandeln des Substrats, um die Siliziumdioxidschicht zu verdichten. Danach wird eine Abstandsschicht über der Siliziumdioxidschicht gebildet und die Abstandsschicht wird geätzt, während die verdichtete Siliziumdioxidschicht als eine Ätzstoppschicht verwendet wird, um Seitenwandabstandselemente benachbart zu dem Leitungsstrukturelement zu bilden.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Weitere Vorteile, Aufgaben und Ausführungsformen der vorliegenden Erfindung sind in den angefügten Patentansprüchen definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird; es zeigen:
  • 1a bis 1d schematisch ein Transistorelement während diverser Herstellungsphasen gemäß einem typischen konventionellen Prozessablauf; und
  • 2a bis 2d schematisch Querschnittsansichten eines Leitungsstrukturelements, etwa einer Gateelektrode, während unterschiedlicher Herstellungsschritte bei der Ausbildung von Seitenwandabstandselementen mit Hilfe einer verdichteten Siliziumdioxidschicht.
  • DETAILLIERTE BESCHREIBUNG
  • Obwohl die vorliegende Erfindung mit Bezug zu den Ausführungsformen beschrieben ist, wie sie in der folgenden detaillierten Beschreibung sowie in den Zeichnungen dargestellt sind, sollte es selbstverständlich sein, dass die vorliegende detaillierte Beschreibung sowie die Zeichnungen nicht beabsichtigen, die vorliegende Erfindung auf die speziellen anschaulichen offenbarten Ausführungsformen einzuschränken, sondern die beschriebenen anschaulichen Ausführungsformen stellen lediglich beispielhaft die diversen Aspekte der vorliegenden Erfindung dar, deren Schutzbereich durch die angefügten Patentansprüche definiert ist.
  • Die vorliegende Erfindung beruht allgemein auf dem Konzept der Erfinder, dass die Struktur eines durch CVD (Chemical Vapor Deposition) abgeschiedenen Siliziumdioxids durch eine Wärmebehandlung verdichtet werden kann. Es ist allgemein bekannt, dass abgeschiedenes Siliziumdioxid typischerweise verformte Bindungen und eine reduzierte Dichte im Vergleich zu einem Oxid, das durch Oxidation erhalten wird, aufweist, wodurch sich ein verringerter Widerstand gegenüber der Diffusion von Atomen und Molekülen, etwa von Wassermolekülen und insbesondere den Komponenten einer Ätzchemie, die zur Strukturierung eines Seitenwandabstandselements verwendet wird, ergibt. Aufgrund der verringerten Dichte kann daher die Wechselwirkung einer Ätzchemie mit der Siliziumdioxidschicht, abgesehen von einem Materialabtrag des Siliziumdioxids, zu einem lokalen begrenzten Angriff auf das darunter liegende Material durch das Eindiffundieren von Ätzkomponenten führen, woraus ein Lochfraß der darunter liegenden Materialschicht resultiert. Durch Wärmebehandeln des abgeschiedenen Siliziumdioxids kann dessen Struktur verdichtet werden, wodurch der Widerstand gegen das Eindiffundieren von Ätzkomponenten erhöht wird.
  • Mit Bezug zu den 2a bis 2c werden nunmehr weitere anschauliche Ausführungsformen der vorliegenden Erfindung detaillierter beschrieben.
  • In 2a umfasst ein Halbleiterbauelement 200 ein Substrat 201, das ein geeignetes Substrat repräsentieren kann, das zur Herstellung von integrierten Schaltungen darin und darauf geeignet ist. Insbesondere kann das Substrat 201 ein Siliziumsubstrat oder ein SOI-(Silizium auf Isolator)-Substrat repräsentieren, das ein im Wesentlichen kristallines Siliziumgebiet darauf gebildet aufweist. In anderen Fällen kann das Substrat 201 eine beliebige andere geeignete Halbleiterschicht darauf ausgebildet aufweisen, etwa eine Germanium enthaltende Halbleiterschicht oder andere II/VI oder III/V Halbleiterverbindungen. Da Siliziumdioxid auch vorteilhafterweise bei der Herstellung von Halbleiterbauelementen verwendbar ist, die andere kristalline Gebiete als Siliziumgebiete aufweisen, sollte die vorliegende Erfindung nicht als auf Siliziumbauelemente eingeschränkt betrachtet werden, sofern derartige Spezifizierungen nicht explizit in den angefügten Patentansprüchen aufgeführt sind.
  • Das Substrat 201 umfasst eine Isolationsstruktur 202, die ein kristallines Gebiet 203 einer geeigneten Art umschließt, über welchem ein Leitungsstrukturelement 205 ausgebildet ist, das in dem vorliegenden Beispiel eine Gateelektrode eines Feldeffekttransistors repräsentieren kann. Es sollte jedoch beachtet werden, dass das Leitungsstrukturelement 205 ein beliebiges anderes Schaltungselement repräsentieren kann, etwa Polysiliziumleitungen, die zur Verbindung einzelner Schaltungselemente oder unterschiedlicher Chipbereiche einer integrierten Schaltung verwendet werden. Wenn das Leitungsstrukturelement 205 eine Gateelektrode repräsentieren soll, kann das Leitungsstrukturelement 205 von dem kristallinen Gebiet 203 durch eine Gateisolierschicht 204 getrennt sein. Die Gateisolierschicht 204 kann aus Siliziumdioxid, Siliziumoxynitrid, Siliziumnitrid oder einem dielektrischen Material mit großem ε oder einer Kombination der vorhergehenden Materialien, abhängig von den Entwurfserfordernissen, aufgebaut sein. Die vorliegende Erfindung ist besonders vorteilhaft im Zusammenhang mit äußerst hochentwickelten Transistorelementen mit kritischen Abmessungen – d. h. in dem Bauelement 200, wie es in 2a gezeigt ist, die horizontale Abmessung des Leitungsstrukturelements 205 – in der Größenordnung von 100 nm und deutlich weniger. Wie zuvor mit Bezug zu den 1a bis 1d erläutert ist, können Seitenwandabstandselemente in derartigen Bauelementen eingesetzt werden, um genaue laterale Dotierstoffprofile zu schaffen, wobei die Abstandsschichten und die dazugehörigen Ätzstoppschichten in ihrer Dicke reduziert werden müssen. Es sollte jedoch beachtet werden, dass die vorliegende Erfindung auch auf das Bauelement 200 mit einer kritischen Abmessung, die größer ist, wie dies oben spezifiziert ist, angewendet werden kann, wodurch eine erhöhte Produktionsausbeute möglich ist. Ein Offset-Abstandselement 206 ist an den Seitenwänden des Leitungsstrukturelements 205 ausgebildet, wobei eine Breite des Offset-Abstandselements 206 in Übereinstimmung mit Prozesserfordernissen so festgelegt ist, um das erforderliche laterale Dotierstoffprofil von Erweiterungsgebieten 207, die in dem kristallinen Gebiet 203 gebildet sind, zu gewährleisten. Eine Siliziumdioxidschicht 209 ist konform auf dem Substrat 201 und dem Leitungsstrukturelement 205 einschließlich der Offset-Abstandselemente 206 gebildet. In anspruchsvollen Anwendungen kann eine Dicke der Siliziumdioxidschicht 209 im Bereich von 1 bis 10 nm liegen. In anderen Ausführungsformen kann eine größere Dicke geeignet sein.
  • Ein typischer Prozessablauf zur Herstellung des Halbleiterbauelements 200, wie es in 2a gezeigt ist, kann die folgenden Prozesse umfassen. Die Isolationsstruktur 202, das Leitungsstrukturelement 205, die Gateisolierschicht 204 und die Offset-Abstandselemente 206 können mit im Wesentlichen den gleichen Prozessschritten hergestellt werden, wie sie zuvor mit Bezug zu 1a beschrieben sind. Man kann leicht erkennen, dass diverse Modifizierungen hinsichtlich der einzelnen Prozessschritte und Materialien durchgeführt werden können in Abhängigkeit von Entwurfs- und Prozesserfordernissen für ein spezifiziertes Produkt. Beispielsweise können die Isolationsstrukturen 202 in Form lokal oxidierter Bereiche oder in einer anderen geeigneten Form vorgesehen werden. Ferner kann der Prozessablauf und die Prozessrezepte zur Herstellung der Isolationsstruktur 202 in Form von Grabenisolationen vorn der Art des Materials, das in dem kristallinen Gebiet 203 verwendet ist, abhängen. Als nächstes wird die Siliziumdioxidschicht 20 typischerweise durch plasmaunterstützte chemische Dampfabscheidung aus TEOS (Tetraethyloxysilicat) oder Silan abgeschieden, wobei für gewöhnlich eine Abscheidung auf der Grundlage von TEOS und Ozon in Hinblick auf die Konformität der erhaltenen Schicht vorzuziehen ist. Wie zuvor erläutert ist, zeigt eine abgeschiedene Siliziumdioxidschicht eine geringere Dichte im Vergleich zu einer Siliziumdioxidschicht, die durch Oxidation gebildet wird, und bietet daher einen geringeren Widerstand gegen das Diffundieren von Ätzkomponenten, woraus eine reduzierte Ätzselektivität in Hinblick auf eine spezifizierte Ätzchemie resultiert, die in einem nachfolgenden Strukturierungsprozess für eine Abstandsschicht angewendet wird. Daher wird gemäß der vorliegenden Erfindung das Substrat 201 nach dem Abscheiden der Siliziumdioxidschicht 209 bei Temperaturen im Bereich von ungefähr 700°C und mehr wärmebehandelt, um die Nahordnung der strukturellen Zusammensetzung des Siliziumdioxids zu rekonfigurieren. In einer Ausführungsform wird das Substrat 201 bei einer Temperatur von ungefähr 730°C und mehr für eine Zeitdauer von ungefähr 60 bis 200 Sekunden wärmebehandelt.
  • In einer weiteren Ausführungsform werden die Dotierstoffe, die die Erweiterungsgebiete 207 definieren, nach dem Abscheiden der Siliziumdioxidschicht 209 bei einer Temperatur von ungefähr 800 bis 1000°C für ungefähr 5 bis 50 Sekunden aktiviert. Während des Aktivierens der Dotierstoffe in den Erweiterungsgebieten 207 wird auch die Siliziumdioxidschicht 209 verdichtet, wodurch die erforderliche strukturelle Rekonfigurierung für den nachfolgenden Ätzprozess erreicht wird. In anderen Ausführungsformen kann der Ausheizprozess zum Aktivieren der Dotierstoffe der Erweiterungsgebiete 207 so gesteuert werden, um ein erforderliches Maß an Verdichtung der Siliziumdioxidschicht 209 zu erreichen, wenn die Zeitdauer zum Aktivieren der Dotierstoffe bei der oben spezifizierten erhöhten Temperatur als nicht ausreichend für die erforderliche Verdichtung erachtet wird. Dazu kann die Ausheiztemperatur auf ungefähr 700°C nach dem Aktivieren der Dotierstoffe abgesenkt werden, und kann beibehalten werden, bis ein erforderliches Maß an Verdichtung erreicht ist. Da mindestens ein weiterer Implantationsschritt und ein entsprechender Ausheizzyklus erforderlich ist, wenn das Bauelement 200 einen Feldeffekttransistor repräsentieren soll, kann die zusätzliche Diffusion der Dotierstoffe der Erweiterungsgebiete 207 berücksichtigt werden, indem beispielsweise in geeigneter Weise die Breite des Offset-Abstandselements 206 festgelegt wird. D. h., anders als bei einem einzelnen Ausheizprozess zum Aktivieren der Dotierstoffe in den Erweiterungsgebieten 207 und von noch in die kristallinen Gebiete 203 zu implantierenden Dotierstoffen in einem nachfolgenden Ausheizzyklus kann ein zusätzlicher Ausheizzyklus zu erhöhter Diffusionsaktivität der Dotierstoffe in den Erweiterungsgebieten 207 führen, da diese weitere Diffusion eine geringere Kanallänge auf Grund der zusätzlichen lateralen Bewegung der Dotierstoffe zur Folge haben kann. Diese Verkleinerung der Kanallänge kann durch entsprechendes Erhöhen der Breite der Offset-Abstandselemente 206 und auch durch Erhöhen der Dosis der Implantation kompensiert werden, um damit im Wesentlichen die gleiche Dotierstoffkonzentration an dem PN-Übergang, d. h. an der Grenzfläche zwischen den Gebieten 203 und 207 im Vergleich zu einer geringeren Offset-Abstandselementsbreite und einem einzelnen Ausheizzyklus zu deponieren. Nach der Wärmebehandlung des Substrats 201, d. h. nach der Verdichtung der Siliziumdioxidschicht 209, wird eine Abstandsschicht 210 konform auf der Siliziumdioxidschicht 209 abgeschieden.
  • 2b zeigt schematisch das Halbleiterbauelement 200 nach der Herstellung der Abstandsschicht 210. In einer speziellen Ausführungsform weist die Abstandsschicht 210 Siliziumnitrid auf. Die Abstandsschicht 210 kann durch gut etablierte plasmaunterstützte chemische Dampfabscheidung aufgebracht werden, für die gut etablierte Prozessrezepte im Stand der Technik bekannt sind.
  • In einer weiteren anschaulichen Ausführungsform wird, beginnend von dem Bauteil 200, wie es in 2a gezeigt ist die Siliziumdioxidschicht 209 abgeschieden, woran sich das Aufbringen der Abstandsschicht 210 anschließt, wie dies im konventionellen Vorgehen der Fall ist. Anders als bei der konventionellen Technik wird jedoch dann die Wärmebehandlung durchgeführt, um die Siliziumdioxidschicht 209 zu verdichten. In einer Ausführungsform kann das Verdichten der Schicht 209 unmittelbar vor oder während des Abscheidens der Abstandsschicht 210 ausgeführt werden. Beispielsweise kann die Abstandsschicht 210 durch thermisches CVD abgeschieden werden, wobei erhöhte Temperaturen im Vergleich zu der plasmaunterstützten Abscheidung der Abstandsschicht 210 erforderlich sind. Das Aufheizen des Substrats 201 auf die erforderliche Temperatur kann dann vorteilhafterweise genutzt werden, um auch eine gewünschte Verdichtung der Siliziumdioxidschicht 209 zu erreichen.
  • In einer weiteren Ausführungsform kann die Siliziumdioxidschicht 209 abgeschieden und mittels einer entsprechenden Wärmebehandlung verdichtet werden. Danach werden, wenn die Wärmebehandlung zum Verdichten der Schicht 209 im Hinblick auf ein thermisches Budget für die Herstellung des Halbleiterbauelements 200 als ungeeignet erachtet wird, die Erweiterungsgebiete 207 gebildet, indem eine spezifizierte Dotierstoffspezies durch die verdichtete Siliziumdioxidschicht 109 implantiert wird, wobei die Wirkung der Wärmbehandlung zum Verdichten der Schicht 109 auf die Dotierstoffe in dem Gebiet 207 vermieden wird.
  • Es sei nun wieder auf 2b verwiesen; die Abstandsschicht 210 wird dann anisotrop mit einer Ätzchemie strukturiert, die selektiv ist in Bezug auf die verdichtete Siliziumdioxidschicht 209, wobei die Selektivität deutlich auf Grund der Wärmebehandlung der verdichteten Schicht 209 erhöht ist. Somit wird während der Einwirkung der Ätzchemie auf die Siliziumdioxidschicht 209 die Wahrscheinlichkeit zur Lochfraßbildung durch die verdichtete Schicht 209 hindurch deutlich reduziert, wodurch die Herstellbarkeit und die Produktionsausbeute verbessert werden.
  • 2c zeigt schematisch das Halbleiterbauelement 200 nach Beendigung des anisotropen Ätzprozesses zur Strukturierung der Abstandsschicht 210, wodurch ein Seitenwandabstandselement 210a gebildet wird. In der in 2c gezeigten Ausführungsform ist die vertikale Größe der Abstandselemente 210a verringert, um damit obere Seitenwandbereiche des Leitungsstrukturelements 205 freizulegen, wobei diese Bereiche noch von dem Offset-Abstandselement 206 und der Siliziumdioxidschicht 209 bedeckt sind. Auf Grund der erhöhten Selektivität der verdichteten Siliziumdioxidschicht 209 in Bezug auf die zur Herstellung der Abstandselemente 210a eingesetzten Ätzchemie ist die Wahrscheinlichkeit für einen Lochfraßbildung durch die Schicht 209 hindurch reduziert, selbst wenn der anisotrope Ätzprozess fortgesetzt wird, bis eine reduzierte Höhe der Abstandselemente erreicht ist. In anderen Ausführungsformen werden die Abstandselemente 210 nicht in der Höhe reduziert, wobei die erhöhte Ätzselektivität der verdichteten Siliziumdioxidschicht 209 die Produktionsausbeute im Vergleich zu dem konventionellen Prozessablauf verbessert. Ferner sind Source- und Draingebiete in dem kristallinen Gebiet 203 ausgebildet, wobei in dem entsprechenden Implantationsprozess die Abstandselemente 210a als eine Implantationsmaske dienen.
  • Die Prozessschritte, die zur Herstellung des Halbleiterbauelements 200, wie es in 2c gezeigt ist, angewendet werden, können im Wesentlichen die gleichen Prozesse beinhalten, wie sie zuvor mit Bezug zu den 1c und 1d beschrieben sind. Ferner kann nach der Implantation zur Bildung der Source- und Draingebiete 217 ein entsprechender Ausheizprozess ausgeführt werden, um die Dotierstoffe zu aktivieren und um zumindest zu einem gewissen Maße Gitterschäden auszuheilen, die während der Implantation hervorgerufen wurden. Die freigelegten Bereiche der verdichteten Siliziumdioxidschicht 209 und der Offset-Abstandselemente 206 können vor oder nach der Implantation zur Herstellung der Drain- und Sourcegebiete 217 entfernt werden.
  • Wie zuvor erläutert ist, kann das laterale Dotierstoffprofil in dem kristallinen Gebiet 203 die Ausbildung dreier oder mehrerer Seitenwandabstandselemente erfordern. Dazu kann eine weitere Siliziumdioxidschicht abgeschieden werden und die Sequenz, die zuvor mit Bezug zu den 2a und 2b beschrieben ist, kann wiederholt werden, um ein weiteres Seitenwandabstandselement zu schaffen, wobei die erhöhte Selektivität der entsprechenden verdichteten Siliziumdioxidschicht auch eine deutlich reduzierte Wahrscheinlichkeit für einen Lochfraß durch die Schicht hindurch während des Strukturierungsprozesses gewährleistet.
  • Nachdem das schließlich gewünschte Dotierstoffprofil in dem kristallinen Gebiet 203 erzeugt ist, können Metallsilizidbereiche in den Erweiterungsgebieten 207 und dem Leitungsstrukturelement 205 gebildet werden, wobei der vergrößerte freigelegte Oberflächenbereich des Leitungsstrukturelements 205 zu einer größeren Menge an Metallsilizid führt, die darin gebildet ist.
  • 2d zeigt schematisch das Halbleiterbauelement 200 nach Beendigung der oben beschriebenen Sequenz mit einem weiteren Abstandselement 220a, das auf einer verdichteten Siliziumdioxidschicht 219 gebildet ist. Metallsilizidgebiete 218 sind in dem Leitungsstrukturelement 205 und den Drain- und Sourcegebieten 217 einschließlich der Erweiterungsgebiete 207 gebildet. Somit repräsentiert das Halbleiterbauelement 200 einen Feldeffekttransistor mit einem verbesserten lateralen Dotierstoffprofil, wobei die verdichtete Siliziumdioxidschicht 209 und die Schicht 219, falls diese vorgesehen ist, deutlich Lochfraß reduzieren, der in der konventionellen Technik zu geringen Produktionsausbeuten führen kann und/oder sogar die Anwendung dünner Siliziumdioxidschichten während der Herstellung von Abstandselementen verhindern kann. Es gilt also: die vorliegende Erfindung stellt eine Technik bereit, die die Ausbildung von Seitenwandabstandselementen, die beispielsweise Siliziumnitrid aufweisen, zuverlässiger im Vergleich zu den konventionellen Prozessablauf ermöglicht, indem eine Siliziumdioxidschicht, die als eine Ätzstoppschicht dient, wärmebehandelt wird, um deren Dichte zu erhöhen. Die erhöhte Dichte führt zu einer geringeren Wahrscheinlichkeit für das Auftreten von Lochfraßeffekten oder führt sogar zu einem vollständigen Vermeiden von Lochfraß durch die Siliziumdioxidschicht hindurch während des anisotropen Ätzprozesses. Somit wird ein effizienterer Herstellungsprozess und eine bessere Produktionsausbeute erreicht, selbst wenn äußerst größenreduzierte Halbleiterbauteileelemente betrachtet werden, die eine sehr dünne Siliziumdioxidschicht als die Ätzstoppschicht während des anisotropen Strukturierens der Abstandselemente erfordern.

Claims (9)

  1. Verfahren zum Herstellen einer Halbleitervorrichtung mit: Vorsehen eines Halbleitersubstrats; Bilden eines Leitungsstrukturelements auf dem Halbleitersubstrat; Durchführen einer ersten Dotierstoffimplantation unter Verwendung des Leitungsstrukurelements als Teil einer Implantationsmaske; Abscheiden einer Siliziumdioxidschicht über dem Substrat und dem Leitungsstrukturelement; Wärmebehandeln des Substrats, um die erste Dotierstoffimplantation zu aktivieren unter einer ersten Temperatur und für eine erste Zeitdauer; Fortführen der Wärmebehandlung bei einer zweiten Temperatur für eine zweite Zeitdauer, um eine Verdichtung der Siliziumdioxidschicht fertigzustellen; Bilden einer Abstandsschicht über der verdichteten Siliziumdioxidschicht; und Ätzen der Abstandsschicht, wobei die verdichtete Siliziumdioxidschicht als Ätzstoppschicht benutzt wird, um Seitenwandabstandselemente an dem Leitungsstrukturelement zu bilden.
  2. Das Verfahren nach Anspruch 1, wobei die Abstandsschicht Siliziumnitrid aufweist.
  3. Das Verfahren nach Anspruch 1, wobei die zweite Temperatur eine Temperatur von ungefähr 700°C und höher ist.
  4. Das Verfahren nach Anspruch 1, das ferner umfasst: Abscheiden einer zweiten Siliziumdioxidschicht über dem Substrat, Wärmebehandeln des Substrats, um die zweite Siliziumdioxidschicht zu verdichten, Abscheiden einer zweiten Abstandsschicht über der zweiten Siliziumdioxidschicht und Bilden eines zweiten Seitenwandabstandselements benachbart zu dem Seitenwandabstandselement durch Ätzen der zweiten Abstandsschicht.
  5. Das Verfahren nach Anspruch 1, das ferner Implantieren eines zweiten Dotierstoffes in das Substrat umfasst, wobei das Seitenwandabstandselement als eine Implantationsmaske verwendet wird.
  6. Das Verfahren nach Anspruch 4, das ferner Implantieren eines dritten Dotierstoffes in das Substrat umfasst, wobei das Seitenwandabstandselement und das zweite Seitenwandabstandselement als eine Implantationsmaske verwendet werden.
  7. Das Verfahren nach Anspruch 1, wobei eine Dicke des Siliziumdioxidschicht im Bereich von ungefähr 1 bis 10 nm liegt.
  8. Das Verfahren nach Anspruch 1, wobei die Abstandsschicht anisotrop geätzt wird, um einen oberen Seitenwandbereich des Leitungsstrukturelements freizulegen.
  9. Das Verfahren nach Anspruch 4, wobei die zweite Abstandsschicht anisotrop so geätzt wird, um einen oberen Seitenwandbereich des Leitungsstrukturelements freizulegen.
DE10355575A 2003-11-28 2003-11-28 Verfahren zur Herstellung von Seitenwandabstandselementen für ein Schaltungselement durch Erhöhen einer Ätzselektivität Expired - Lifetime DE10355575B4 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE10355575A DE10355575B4 (de) 2003-11-28 2003-11-28 Verfahren zur Herstellung von Seitenwandabstandselementen für ein Schaltungselement durch Erhöhen einer Ätzselektivität
US10/987,466 US7192881B2 (en) 2003-11-28 2004-11-12 Method of forming sidewall spacer elements for a circuit element by increasing an etch selectivity

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE10355575A DE10355575B4 (de) 2003-11-28 2003-11-28 Verfahren zur Herstellung von Seitenwandabstandselementen für ein Schaltungselement durch Erhöhen einer Ätzselektivität

Publications (2)

Publication Number Publication Date
DE10355575A1 DE10355575A1 (de) 2005-06-30
DE10355575B4 true DE10355575B4 (de) 2010-01-07

Family

ID=34609363

Family Applications (1)

Application Number Title Priority Date Filing Date
DE10355575A Expired - Lifetime DE10355575B4 (de) 2003-11-28 2003-11-28 Verfahren zur Herstellung von Seitenwandabstandselementen für ein Schaltungselement durch Erhöhen einer Ätzselektivität

Country Status (2)

Country Link
US (1) US7192881B2 (de)
DE (1) DE10355575B4 (de)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7141511B2 (en) * 2004-04-27 2006-11-28 Micron Technology Inc. Method and apparatus for fabricating a memory device with a dielectric etch stop layer
US9236383B2 (en) * 2004-04-27 2016-01-12 Micron Technology, Inc. Method and apparatus for fabricating a memory device with a dielectric etch stop layer
US7402207B1 (en) 2004-05-05 2008-07-22 Advanced Micro Devices, Inc. Method and apparatus for controlling the thickness of a selective epitaxial growth layer
US7456062B1 (en) * 2004-10-20 2008-11-25 Advanced Micro Devices, Inc. Method of forming a semiconductor device
KR100668954B1 (ko) * 2004-12-15 2007-01-12 동부일렉트로닉스 주식회사 박막트랜지스터 제조 방법
US7553732B1 (en) * 2005-06-13 2009-06-30 Advanced Micro Devices, Inc. Integration scheme for constrained SEG growth on poly during raised S/D processing
US20060281271A1 (en) * 2005-06-13 2006-12-14 Advanced Micro Devices, Inc. Method of forming a semiconductor device having an epitaxial layer and device thereof
US7572705B1 (en) 2005-09-21 2009-08-11 Advanced Micro Devices, Inc. Semiconductor device and method of manufacturing a semiconductor device
US7399690B2 (en) * 2005-11-08 2008-07-15 Infineon Technologies Ag Methods of fabricating semiconductor devices and structures thereof
US20090081814A1 (en) * 2007-09-26 2009-03-26 Chartered Semiconductor Manufacturing Ltd. Integrated manufacturing system with transistor drive current control
US7759205B1 (en) * 2009-01-16 2010-07-20 Advanced Micro Devices, Inc. Methods for fabricating semiconductor devices minimizing under-oxide regrowth
DE102009035438B4 (de) * 2009-07-31 2013-02-07 Globalfoundries Dresden Module One Llc & Co. Kg Verwendung von Dielektrika mit großem ε als sehr selektive Ätzstoppmaterialien in Halbleiterbauelementen, sowie Halbleiterbauelemente
DE102009055393B4 (de) * 2009-12-30 2012-06-14 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung und Halbleiterbauelement mit besserem Einschluss von empfindlichen Materialien einer Metallgateelektrodenstruktur mit großem ε
US8664125B2 (en) * 2011-12-23 2014-03-04 Tokyo Electron Limited Highly selective spacer etch process with reduced sidewall spacer slimming
KR101878311B1 (ko) * 2011-12-30 2018-07-17 삼성전자주식회사 high-K막을 스페이서 에치 스톱으로 이용하는 반도체 소자 형성 방법 및 관련된 소자
US8809194B2 (en) 2012-03-07 2014-08-19 Tokyo Electron Limited Formation of SiOCl-containing layer on spacer sidewalls to prevent CD loss during spacer etch
US8551877B2 (en) 2012-03-07 2013-10-08 Tokyo Electron Limited Sidewall and chamfer protection during hard mask removal for interconnect patterning
US8592327B2 (en) 2012-03-07 2013-11-26 Tokyo Electron Limited Formation of SiOCl-containing layer on exposed low-k surfaces to reduce low-k damage
US9111746B2 (en) 2012-03-22 2015-08-18 Tokyo Electron Limited Method for reducing damage to low-k gate spacer during etching
US8697508B2 (en) * 2012-04-19 2014-04-15 United Microelectronics Corp. Semiconductor process
CN102637604B (zh) * 2012-04-25 2017-08-08 上海华虹宏力半导体制造有限公司 侧墙、形成侧墙、半导体器件的方法
US9431512B2 (en) * 2014-06-18 2016-08-30 Globalfoundries Inc. Methods of forming nanowire devices with spacers and the resulting devices
US9490340B2 (en) 2014-06-18 2016-11-08 Globalfoundries Inc. Methods of forming nanowire devices with doped extension regions and the resulting devices
CN109801965B (zh) * 2017-11-17 2022-06-14 联华电子股份有限公司 具有双层间隙壁的晶体管及其形成方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5013675A (en) * 1989-05-23 1991-05-07 Advanced Micro Devices, Inc. Method of forming and removing polysilicon lightly doped drain spacers
US6225231B1 (en) * 1998-06-05 2001-05-01 Stmicroelectronics S.R.L. Recovery of damages in a field oxide caused by high energy ion implant process
US6448167B1 (en) * 2001-12-20 2002-09-10 Taiwan Semiconductor Manufacturing Company Process flow to reduce spacer undercut phenomena

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5847428A (en) * 1996-12-06 1998-12-08 Advanced Micro Devices, Inc. Integrated circuit gate conductor which uses layered spacers to produce a graded junction
US6498067B1 (en) * 2002-05-02 2002-12-24 Taiwan Semiconductor Manufacturing Company Integrated approach for controlling top dielectric loss during spacer etching

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5013675A (en) * 1989-05-23 1991-05-07 Advanced Micro Devices, Inc. Method of forming and removing polysilicon lightly doped drain spacers
US6225231B1 (en) * 1998-06-05 2001-05-01 Stmicroelectronics S.R.L. Recovery of damages in a field oxide caused by high energy ion implant process
US6448167B1 (en) * 2001-12-20 2002-09-10 Taiwan Semiconductor Manufacturing Company Process flow to reduce spacer undercut phenomena

Also Published As

Publication number Publication date
DE10355575A1 (de) 2005-06-30
US20050118769A1 (en) 2005-06-02
US7192881B2 (en) 2007-03-20

Similar Documents

Publication Publication Date Title
DE10355575B4 (de) Verfahren zur Herstellung von Seitenwandabstandselementen für ein Schaltungselement durch Erhöhen einer Ätzselektivität
DE102005020133B4 (de) Verfahren zur Herstellung eines Transistorelements mit Technik zur Herstellung einer Kontaktisolationsschicht mit verbesserter Spannungsübertragungseffizienz
DE10255849B4 (de) Verbesserte Drain/Source-Erweiterungsstruktur eines Feldeffekttransistors mit dotierten Seitenwandabstandselementen mit hoher Permittivität und Verfahren zu deren Herstellung
DE112006001705B4 (de) Verfahren zur Herstellung eines Integrierten Komplementär-Metalloxid-Halbleiter-Schaltkreises unter Verwendung eines erhöhten Source-Drains und eines Ersatz-Metall-Gates
DE102009006884B4 (de) Verfahren zur Herstellung eines Transistorbauelementes mit In-Situ erzeugten Drain- und Source-Gebieten mit einer verformungsinduzierenden Legierung und einem graduell variierenden Dotierstoffprofil und entsprechendes Transistorbauelement
DE102008059501B4 (de) Technik zur Verbesserung des Dotierstoffprofils und der Kanalleitfähigkeit durch Millisekunden-Ausheizprozesse
DE102006034772B4 (de) Verfahren zum Herstellen einer Halbleiter - Vorrichtung mit einem Grabengate
DE102008011814B4 (de) CMOS-Bauelement mit vergrabener isolierender Schicht und verformten Kanalgebieten sowie Verfahren zum Herstellen derselben
DE10339989B4 (de) Verfahren zur Herstellung eines konformen Abstandselements benachbart zu einer Gateelektrodenstruktur
DE10245607B4 (de) Verfahren zum Bilden von Schaltungselementen mit Nickelsilizidgebieten, die durch ein Barrierendiffusionsmaterial thermisch stabilisiert sind sowie Verfahren zur Herstellung einer Nickelmonosilizidschicht
DE10335100B4 (de) Verfahren zur Herstellung verkürzter Seitenwandabstandselemente für eine Polysiliziumleitung und Verfahren zur Herstellung eines Feldeffekttransistors
DE10335101B4 (de) Verfahren zur Herstellung einer Polysiliziumleitung mit einem Metallsilizidgebiet, das eine Linienbreitenreduzierung ermöglicht
DE10234931A1 (de) Verfahren zur Herstellung eines Metallsilizidgates in einer standardmässigen MOS-Prozesssequenz
DE10351006B4 (de) Verfahren zur Herstellung eines Transistors mit erhöhten Drain- und Source-Gebieten, wobei eine reduzierte Anzahl von Prozessschritten erforderlich ist
DE102010064288A1 (de) Halbleiterbauelement mit Kontaktelementen mit silizidierten Seitenwandgebieten
DE102010001406A1 (de) Halbleiterbauelement, das durch ein Austausch-Gate-Verfahren auf der Grundlage eines früh aufgebrachten Austrittsarbeitsmetalls hergestellt ist
DE112007002739B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit Isolationsgraben und Kontaktgraben
DE102008011813B4 (de) Halbleiterbauelement mit einem Metallgatestapel mit reduzierter Höhe und Verfahren zur Herstellung des Bauelements
DE10250611B4 (de) Verfahren zur Herstellung eines Metallsilizidgebietes in einem dotierten Silizium enthaltenden Halbleiterbereich
DE102009035438B4 (de) Verwendung von Dielektrika mit großem ε als sehr selektive Ätzstoppmaterialien in Halbleiterbauelementen, sowie Halbleiterbauelemente
DE10240422B4 (de) Verfahren zur Herstellung eines Halbleiterelements mit einer Leitungsstruktur mit vergrößertem Metallsilizidbereich
DE10361635B4 (de) Verfahren zur Herstellung eines Abstandselements für ein Leitungselement durch anwenden einer Ätzstoppschicht, die durch eine stark richtungsgebundene Abscheidetechnik aufgebracht wird und Transistor mit Abstandselement
DE10250899B4 (de) Verfahren zum Entfernen von Seitenwandabstandselementen eines Halbleiterelements unter Anwendung eines verbesserten Ätzprozesses
DE102009006800B4 (de) Verfahren zur Herstellung von Transistoren und entsprechendes Halbleiterbauelement
DE10250902A1 (de) Verfahren zur Entfernung von Strukturelementen unter Verwendung eines verbesserten Abtragungsprozess bei der Herstellung eines Halbleiterelements

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8364 No opposition during term of opposition
R071 Expiry of right