DE102018218129A1 - Verfahren und Vorrichtung zum Bestimmen von Positionen einer Vielzahl von Pixeln, die in ein Substrat einer photolithographischen Maske eingebracht werden sollen - Google Patents

Verfahren und Vorrichtung zum Bestimmen von Positionen einer Vielzahl von Pixeln, die in ein Substrat einer photolithographischen Maske eingebracht werden sollen Download PDF

Info

Publication number
DE102018218129A1
DE102018218129A1 DE102018218129.2A DE102018218129A DE102018218129A1 DE 102018218129 A1 DE102018218129 A1 DE 102018218129A1 DE 102018218129 A DE102018218129 A DE 102018218129A DE 102018218129 A1 DE102018218129 A1 DE 102018218129A1
Authority
DE
Germany
Prior art keywords
mask
pixels
pixel
photolithographic mask
parameters
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102018218129.2A
Other languages
English (en)
Other versions
DE102018218129B4 (de
Inventor
Vladimir Dmitriev
Kujan Gorhad
Joachim Welte
Tanya Serzhanyuk
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Carl Zeiss SMS Ltd
Original Assignee
Carl Zeiss SMS Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Carl Zeiss SMS Ltd filed Critical Carl Zeiss SMS Ltd
Priority to DE102018218129.2A priority Critical patent/DE102018218129B4/de
Priority to TW108133861A priority patent/TWI768243B/zh
Priority to US16/589,515 priority patent/US11366383B2/en
Priority to CN201911010591.XA priority patent/CN111090216B/zh
Priority to KR1020190132238A priority patent/KR20200047381A/ko
Publication of DE102018218129A1 publication Critical patent/DE102018218129A1/de
Priority to KR1020220099191A priority patent/KR20220115797A/ko
Application granted granted Critical
Publication of DE102018218129B4 publication Critical patent/DE102018218129B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/72Repair or correction of mask defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/72Repair or correction of mask defects
    • G03F1/74Repair or correction of mask defects by charged particle beam [CPB], e.g. focused ion beam
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/42Alignment or registration features, e.g. alignment marks on the mask substrates
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/60Substrates
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • G03F1/86Inspecting by charged particle beam [CPB]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Engineering & Computer Science (AREA)
  • Optics & Photonics (AREA)
  • Plasma & Fusion (AREA)

Abstract

Die vorliegende Erfindung bezieht sich auf ein Verfahren und eine Vorrichtung zum Bestimmen von Positionen einer Vielzahl von Pixeln, die mit Hilfe eines Lasersystems (370) in ein Substrat (110, 610) einer photolithographischen Maske (100, 600) eingebracht werden sollen, wobei die Pixel dazu dienen, einen oder mehrere Fehler (140,145) der phototlithographischen Maske (100, 600) zumindest teilweise zu korrigieren. Das Verfahren umfasst die folgenden Schritte: (a) Erhalten von Fehlerdaten, die dem einen bzw. den mehreren Fehlern (140, 145) zugeordnet sind; (b) Erhalten erster Parameter eines Beleuchtungssystems (350), wobei die ersten Parameter eine Beleuchtung der photolithographischen Maske (100, 600) des Beleuchtungssystems (350) beim Verarbeiten eines Wafers (460) durch Beleuchten mit dem Beleuchtungssystem (350) unter Verwendung der photolithographischen Maske (600) bestimmen; und (c) Bestimmen der Positionen der Vielzahl von Pixeln basierend auf den Fehlerdaten und den ersten Parametern.

Description

  • Diese Anmeldung beansprucht Priorität gegenüber dem US-Patent US 9 658 527 B2 mit dem Titel „Correction of errors of a photolithographic mask using a joint optimization process“, das hierin durch Bezugnahme vollumfänglich aufgenommen ist.
  • Gebiet der Erfindung
  • Die vorliegende Erfindung bezieht sich auf das Gebiet des Korrigierens eines oder mehrerer Fehler einer photolithographischen Maske. Insbesondere bezieht sich die vorliegende Erfindung auf ein Verfahren und eine Vorrichtung zum Bestimmen von Positionen einer Vielzahl von Pixeln, die in ein Substrat einer photolithographischen Maske eingebracht werden sollen.
  • Hintergrund der Erfindung
  • Als ein Ergebnis der ständig zunehmenden Integrationsdichte in der Halbleiterindustrie müssen photolithographische Masken immer kleinere Strukturen auf eine photosensitive Schicht, d. h. einen Photolack, auf Wafern projizieren. Um diese Anforderung zu erfüllen, wurde die Belichtungswellenlänge photolithographischer Masken vom nahen Ultraviolett- über den Hauptultraviolett- in den fernen Ultraviolettbereich des elektromagnetischen Spektrums verschoben. Derzeit wird für die Belichtung des Photolacks auf Wafern üblicherweise eine Wellenlänge von 193 nm verwendet. Folglich wird die Fertigung photolithographischer Masken, die den wachsenden Auflösungsanforderungen gerecht werden, immer komplexer und somit auch immer teurer. Es ist nicht ungewöhnlich, dass photolithographische Masken, Photomasken oder einfach Masken am Ende ihres Fertigungsprozesses Defekte aufweisen. Aufgrund des zeitaufwändigen Maskenfertigungsprozesses sollten Photomaskendefekte wenn möglich repariert werden.
  • Bei durchlässigen photolithographischen Masken ist beispielsweise die Homogenität der optischen Übertragung über die Maskenfläche ein wichtiger Parameter. Eine optische Übertragungsvariation über die Fläche der photolithographischen Maske führt zu einer entsprechenden Variation der Dosis der lokalen optischen Intensität, die beim Beleuchten eines Wafers durch die Maske auf den Photolack auf dem Wafer angewandt wird. Die Variation der Dosis der lokal angewandten optischen Intensität oder einfach der Dosis führt zu einer Schwankung oder einer Variation der Strukturdimension eines Musterelements im entwickelten Photolack. Die Uniformität eines Musterelements über die Fläche der photolithographischen Maske wird CD-Uniformität (critical dimension uniformity, CDU) genannt. In dem Artikel „Improving wafer level uniformity for logic utilizing mask level metrology & process“, Photomask Technology, Proc. SPIE Vol. 8880, 0888025-1 - 0888025-7, 2013, stellen die Autoren A. Cohen et al. Forschungen vor, die zeigen, dass ein durch verschiedene Pixelanordnungen erzeugtes CD-Korrekturverhältnis (critical dimension correction ratio, CRCR) ein lineares Verhalten für unterschiedliche Merkmalstypen photolithographischer Masken zeigt.
  • Neben einer Inhomogenität der optischen Übertragung können photolithographische Masken weitere Fehlerarten oder -typen aufweisen. Ein wichtiger Defekttyp photolithographischer Masken sind Maskenbildanordnungs- oder Ausrichtungsfehler. Dieser Fehler- oder Defekttyp tritt auf, wenn sich ein oder mehrere Musterelemente eines auf einer photolithographischen Maske angeordneten Musters nicht exakt an ihren durch die Layoutdaten der Maske vorbestimmten Positionen befinden. Ein anderer Defekttyp ist ein Verbiegen des Substrats einer Photomaske. Eine weitere wichtige Defektkategorie photolithographischer Masken sind Überlagerungsfehler (overlay errors).
  • Der Anmelder hat ein Verfahren zum Korrigieren dieser und anderer Fehler photolithographischer Masken durch Einbringen oder Schreiben von Pixeln in ein Substrat einer Photomaske offenbart. Dieses Verfahren ist beispielsweise in der US-Patentschrift US 9 658 527 B2 des Anmelders beschrieben. Dieses Verfahren wird bereits regelmäßig zum verlässlichen Korrigieren verschiedener Fehlertypen von Photomasken eingesetzt. Dennoch besteht weiterhin Spielraum für eine weitere Verbesserung dieses Defektkorrekturprozesses.
  • Eine Aufgabe der vorliegenden Erfindung besteht deshalb darin, ein Verfahren und eine Vorrichtung zum Verbessern des vorgenannten Verfahrens zum Korrigieren von Defekten einer photolithographischen Maske bereitzustellen.
  • Zusammenfassung der Erfindung
  • Nach einem Aspekt der Erfindung wird ein Verfahren nach Patentanspruch 1 bereitgestellt. In einer Ausführungsform umfasst ein Verfahren zum Bestimmen von Positionen einer Vielzahl von Pixeln, die mit Hilfe eines Lasersystems in ein Substrat einer photolithographischen Maske eingebracht werden sollen, wobei die Pixel dazu dienen, einen oder mehrere Fehler der photolithographischen Maske zumindest teilweise zu korrigieren, die folgenden Schritte: (a) Erhalten von Fehlerdaten, die dem einen bzw. den mehreren Fehlern zugeordnet sind; (b) Erhalten erster Parameter eines Beleuchtungssystems, wobei die ersten Parameter eine Beleuchtung der photolithographischen Maske durch das Beleuchtungssystem beim Bearbeiten eines Wafers durch Beleuchten mit dem Beleuchtungssystem unter Verwendung der photolithographischen Maske bestimmen; und (c) Bestimmen der Positionen der Vielzahl von Pixeln basierend auf den Fehlerdaten und den ersten Parametern.
  • Pixel, die in ein Substrat einer photolithographischen Maske eingebracht werden, um verschiedene Typen von Fehlern oder Defekten einer photolithographischen Maske zu korrigieren, bewirken eine lokale Modifizierung der optischen Übertragung des Maskensubstrats. Im Folgenden wird der Vorteil des erfindungsgemäßen Verfahrens hauptsächlich für das Beispiel des Korrigierens von Fehlern kritischer Dimensionen bzw. CD-Fehlern (critical dimension errors) einer Maske dargestellt. Allerdings ist das erfindungsgemäße Verfahren nicht auf die Korrektur von CD-Fehlern photolithographischer Masken beschränkt.
  • Pixel, die in ein Maskensubstrat eingebracht oder geschrieben werden, um beispielsweise Ausrichtungsfehler zu korrigieren, erzeugen kleine Streuzentren für die optische Strahlung, die das Maskensubstrat überträgt. Beispielsweise bringen die Pixel, die einen oder mehrere Ausrichtungsdefekte korrigieren, lokale Inhomogenitäten in die optische Übertragung der Maske ein, wenn eine reparierte Maske mit Pixeln in ihrem Substrat in einem Beleuchtungssystem betrieben wird. Somit würde die Einbringung von Pixeln zum Korrigieren von Ausrichtungsfehlern zu einer CD-Variation über die Photomaske oder zu einem CD-Uniformitätsproblem der photolithographischen Maske führen.
  • Um ein CD-Uniformitätsproblem beim Korrigieren von Ausrichtungsfehlern zu vermeiden, kann zeitgleich mit der Bestimmung des ersten Pixeltyps zum Korrigieren der Ausrichtungsfehler eine Verteilung eines zweiten Pixeltyps bestimmt werden. Der zweite Pixeltyp bewirkt eine vorwiegend lokale Streuung der optischen Strahlung, die in einer definierten Weise auf die Pixel trifft. Der zweite Pixeltyp bewirkt im Wesentlichen keine lokale Änderung der Dichte des Substrats. Üblicherweise wird der zweite Pixeltyp zusammen mit dem ersten Pixeltyp, der beispielsweise den (die) Fehler der photolithographischen Maske korrigiert, in ein Maskensubstrat eingebracht.
  • Sowohl der erste Pixeltyp als auch der zweite Pixeltyp sind im Substrat einer zu korrigierenden photolithographischen Maske nicht homogen verteilt. Somit wird die optische Strahlung, die das Maskensubstrat überträgt, um ein Bild eines an unterschiedlichen Positionen über eine Maske angeordneten Musterelements zu erzeugen, unterschiedlichen Störungen durch die Pixel im Maskensubstrat an verschiedenen Positionen über die photolithographische Maske ausgesetzt. Das heißt, dass die unterschiedlichen Anzahlen von Pixeln, die durch die Abbildungsmusterelemente der optischen Strahlung an verschiedenen Positionen über die Maske „gesehen“ werden, zu einer CD-Variation von Musterelementen über die photolithographische Maske führen.
  • Doch selbst wenn die Pixel innerhalb des Maskensubstrats homogen verteilt wären, so würden unterschiedliche Beleuchtungssettings des Beleuchtungssystems dazu führen, dass die jeweilige optische Strahlungsverteilung eine unterschiedliche Menge von Streuzentren oder Pixeln entlang ihres Wegs durch eine photolithographische Maske „sieht“, sodass unterschiedliche Beleuchtungssettings des Beleuchtungssystems unterschiedliche CD-Variationen der auf einem Maskensubstrat angeordneten Musterelemente erzeugen würde.
  • Das erfindungsgemäße Verfahren betrachtet die optische Strahlungsverteilung eines Beleuchtungssystems, das zum Beleuchten einer korrigierten photolithographischen Maske in einem Fertigungsprozess von Halbleitervorrichtungen verwendet wird, beim Bestimmen der Positionen der Pixel, die in das Maskensubstrat eingebracht werden sollen, um den einen bzw. die mehreren Defekte(e) der photolithographischen Maske zu korrigieren. Daher wird die optische Strahlungsverteilung, welche die korrigierte Photomaske anschließend während ihres Betriebs sehen wird, beim Bestimmen der Positionen der Pixel berücksichtigt. Somit verbessert oder optimiert das erfindungsgemäße Verfahren die Defektkorrektur für eine spezifische optische Strahlungsverteilung, die während des Einsatzes der korrigierten photolithographischen Maske in einem Beleuchtungsprozess von Wafern zum Fertigen von Vorrichtungen, wie beispielsweise integrierten Schaltungen, verwendet wird.
  • Der zumindest eine erste Pixeltyp kann eine Dichte des Substrats lokal modifizieren, und der zumindest eine zweite Pixeltyp kann die optische Übertragung des Substrats lokal modifizieren. Die lokal modifizierte Dichte und/oder die lokale optische Übertragung der photolithographischen Maske kann innerhalb einer Vielzahl von kleinen Volumina der photolithographischen Maske diskontinuierlich modifiziert werden, wobei jedes kleine Volumen Pixel genannt wird. Es ist auch möglich, dass die lokal modifizierte Dichte und/oder insbesondere die optische Übertragungsverteilung der photolithographischen Maske durch die Vielzahl von Pixeln kontinuierlich über die photolithographische Maske modifiziert werden.
  • Der eine bzw. die mehreren Fehler können zumindest einen der folgenden umfassen: zumindest einen Ausrichtungsfehler eines Musters der photolithographischen Maske, zumindest einen optischen Übertragungsfehler des Substrats der photolithographischen Maske, zumindest einen Planaritätsfehler des Substrats der photolithographischen Maske und zumindest einen Überlagerungsfehler.
  • Neben Ausrichtungsfehlern ermöglicht das erfindungsgemäße Verfahren auch die Korrektur anderer Defekttypen photolithographischer Masken in einem ähnlichen Prozess wie dem vorstehend für Ausrichtungsfehler dargestellten. Üblicherweise erfordert die Korrektur von Ausrichtungsfehlern, Planaritätsfehlern des Maskensubstrats und/oder Überlagerungsfehlern zumindest zwei unterschiedliche Pixeltypen. Die Korrektur von optischen Übertragungsdefekten einer Photomaske kann unter Verwendung eines einzelnen Pixeltyps korrigiert werden, wenngleich auch zwei oder mehr Pixeltypen zum Korrigieren optischer Übertragungsinhomogenitäten von Maskensubstraten verwendbar sind.
  • Für die Fertigung von Vorrichtungen, wie beispielsweise integrierten Schaltungen, wird normalerweise eine Reihe photolithographischer Masken während des Fertigungsprozesses sukzessive auf denselben Wafer angewandt, um auf dem Wafer komplexe Strukturen zu erzeugen. In vielen Anwendungen ist die absolute Position des Musterelements in Bezug auf die optische Achse nicht so wichtig wie die Ausrichtung von zwei oder mehr Masken zueinander, sodass überlagerungskritische Strukturen zuverlässig an vorbestimmten Positionen auf den Wafer gedruckt werden können. Somit besteht das Ziel nicht darin, eine erste photolithographische Maske in die beste Übereinstimmung mit einem vorbestimmten Design zu bringen, sondern die beste Übereinstimmung mit einer zweiten Maske zu erhalten. Das erfindungsgemäße Verfahren ist auch verwendbar, um solche Überlagerungsfehler von zwei oder mehr photolithographischen Masken zu korrigieren. Insbesondere können die Positionen der Vielzahl von Pixeln, die in das Substrat einer ersten Photomaske eingebracht werden sollen, und der Vielzahl von Pixeln, die in das Substrat einer zweiten Photomaske eingebracht werden sollen, in einem gemeinsamen Optimierungsprozess bestimmt werden.
  • Bei Ausrichtungsdefekten können die Fehlerdaten Unterschiede zwischen bestimmten Positionen von Musterelementen der photolithographischen Maske und vorbestimmten Positionen der Musterelemente, die den Layoutdaten zugeordnet sind, umfassen. Bei Überlagerungsfehlern können die Fehlerdaten Unterschiede zwischen bestimmten Positionen von Musterelementen auf dem Wafer, der mit dem Beleuchtungssystem unter Verwendung der photolithographischen Maske beleuchtet wird, und vorbestimmten Positionen der Musterelemente auf dem Wafer umfassen. Ferner können bei optischen Übertragungsfehlern oder CD-Fehlern die Fehlerdaten Unterschiede zwischen einer bestimmten optischen Übertragungsverteilung der photolithographischen Maske und einer vorbestimmten optischen Übertragungsverteilung der photolithographischen Maske umfassen. Es ist auch möglich, dass die Fehlerdaten Unterschiede zwischen der bestimmten optischen Übertragungsverteilung der photolithographischen Maske, wie beispielsweise einer über die Maske variierenden Größe eines Merkmalselements, und der vorbestimmten optischen Übertragungsverteilung der photolithographischen Maske, wie beispielsweise einer über die Maske einheitlichen Größe des Merkmalselements, und Unterschiede zwischen bestimmten Positionen von Musterelementen auf der photolithographischen Maske oder auf dem Wafer, der unter Verwendung der photolithographischen Maske beleuchtet wird, und vorbestimmten Positionen des Musterelements auf der photolithographischen Maske oder auf dem Wafer, der mit dem Beleuchtungssystem unter Verwendung der photolithographischen Maske beleuchtet wird, umfassen können.
  • Die Vielzahl von Pixeln kann in einer gemeinsamen Schicht des Substrats angeordnet sein, und Schritt c. des erfindungsgemäßen Verfahrens kann das Bestimmen einer Tiefe der Schicht innerhalb des Substrats umfassen.
  • Zum Korrigieren von Ausrichtungsfehlern werden Pixel üblicherweise in eine Schicht eingebracht, die in der Mitte oder der Hälfte der Tiefe des Maskensubstrats angeordnet ist. Pixel, die in der Mitte des Maskensubstrats angeordnet sind, führen im Wesentlichen nicht zu einem Verbiegen des Maskensubstrats. Andererseits heißt dies, dass eine photolithographische Maske, die ein sich verbogenes Substrat aufweist, durch Einbringen von Pixeln in der oberen Hälfte oder der unteren Hälfte des Substrats korrigiert werden kann, je nach der Art der Verbiegung. Selbstverständlich ist es auch möglich, eine photolithographische Maske zu korrigieren, die zugleich Ausrichtungsfehler und ein gebogenes Substrat aufweist. Das heißt, dass zwei oder mehr Fehlertypen gleichzeitig durch eine Vielzahl von Pixeln korrigiert werden können.
  • Da der Streueffekt der Pixel von ihrer Anordnung im Maskensubstrat abhängt, ist es erforderlich, sowohl die Tiefe (z-Position), in der die Pixel in das Substrat eingebracht werden sollen, als auch ihre Positionen innerhalb der Schicht (x- und y-Position) im Maskensubstrat zu kennen.
  • Um eine große lokale Pixeldichte zu erhalten, ist es möglich, die Pixel in zwei oder mehr Schichten innerhalb der Tiefe des Substrats anzuordnen. Der Mindestabstand zwischen zwei Schichten entlang der Tiefe kann etwa 10 µm für Pixel des CD-Korrekturtyps (critical dimension correction, CDC) und etwa 25 µm für Pixel des RegC-Typs (registration correction, RegC).
  • Schritt c. des vorstehend definierten Verfahrens kann das Bestimmen der Positionen der Vielzahl von Pixeln basierend auf den Fehlerdaten, den ersten Parametern und den zweiten Parametern, die einer durch das Beleuchtungssystem ausgeführten linearen Abbildungstransformation zugeordnet sind, umfassen.
  • Die Korrektur von Maskendefekten kann durch Berücksichtigung und Nutzung der Flexibilität eines zum Beleuchten eines Wafers durch eine photolithographische Maske verwendeten Beleuchtungssystems verbessert werden.
  • Die Positionen der Vielzahl von Pixeln und die zweiten Parameter können in einem gemeinsamen Optimierungsprozess bestimmt werden.
  • Die zeitgleiche Variation der Positionen der Vielzahl von Pixeln und der zweiten Parameter des Beleuchtungssystems erweitert die Dimension des Variationsraums des Optimierungsprozesses im Vergleich zu einer schrittweisen oder sukzessiven Optimierung dieser Parameter. Der erweiterte Lösungsraum eines gemeinsamen Optimierungsprozesses für die Positionen der Pixel und die zweiten Parameter des Beleuchtungssystems führt zu einer Reduzierung der verbleibenden Fehler der photolithographischen Maske, was mit zwei aufeinanderfolgenden separaten Optimierungsprozessen zur Bestimmung der Positionen der Vielzahl von Pixeln und zur Bestimmung der zweiten Parameter des Beleuchtungssystems nicht erreichbar ist. Somit erhöht die Anwendung des definierten Defektkorrekturverfahrens die Ausbeute eines Photomaskenfertigungsprozesses.
  • Die Positionen der Vielzahl von Pixeln und die ersten Parameter können in einem gemeinsamen Optimierungsprozess bestimmt werden.
  • Üblicherweise sind die ersten Parameter des Beleuchtungssystems vorbestimmte Parameter und werden beim Bestimmen der Positionen der Pixel zum Korrigieren des (der) Fehler der photolithographischen Maske nicht variiert, wohingegen die zweiten Parameter des Beleuchtungssystems variiert werden, um die beste Defektkorrektur der photolithographischen Maske zu bestimmen. Sind die ersten Parameter des Beleuchtungssystems allerdings nicht durch Bedingungen des Photomaskenbeleuchtungsprozesses festgelegt, so können die ersten Parameter in einem gemeinsamen Optimierungsprozess zusammen mit den Positionen der Vielzahl von Pixeln bestimmt werden, um die Defektkorrektur für die photolithographische Maske zu optimieren. Somit werden die Fehler einer photolithographischen Maske für ein spezifisches Beleuchtungssetting des Beleuchtungssystems, das zum Beleuchten eines Wafers durch die korrigierte Photomaske verwendet wird, optimal korrigiert.
  • Die Positionen der Vielzahl von Pixeln, die ersten Parameter und die zweiten Parameter können in einem gemeinsamen Optimierungsprozess bestimmt werden.
  • Durch zeitgleiches Bestimmen der Positionen der Vielzahl von Pixeln sowie der ersten und der zweiten Parameter des Beleuchtungssystems kann die beste Fehlerkorrektur für eine defekte photolithographische Maske erzielt werden. Dies geht zu Lasten der Flexibilität des Beleuchtungssystems; die korrigierte photolithographische Maske arbeitet optimal, wenn sie mit dem Beleuchtungssystem beleuchtet wird, das spezifische Sätze erster und der zweiter Parameter aufweist.
  • Die ersten Parameter können zumindest ein axiales Beleuchtungssetting, ein annulares Setting, ein Dipolsetting, ein Quadrupolsetting, ein Disarsetting, ein Quasarsetting und/oder ein Quellmaskenoptimierungs (source mask optimization, SMO)-Setting umfassen.
  • Ein Beleuchtungssetting des Beleuchtungssystems bestimmt die Beleuchtung oder die optische Strahlungsverteilung, die zum Abbilden der Musterelemente einer Photomaske verwendet wird. Somit beeinflusst das Beleuchtungssetting die Anzahl von Pixeln, welche die optische Strahlung auf ihrem Weg durch das Maskensubstrat passiert. Zusätzlich beeinflusst das Beleuchtungssetting oder eine Blende des Beleuchtungssystems, die die Beleuchtung bestimmt, den Winkel, unter dem die Pixel beleuchtet werden, und hat somit einen Effekt auf die Wirkung der Pixel in einen Maskensubstrat.
  • Die der linearen Abbildungstransformation zugeordneten zweiten Parameter können zwei Parameter für eine photolithographische Maskenverschiebung, zwei Parameter eine photolithographische Maskenskalierung und zwei Parameter für eine generalisierte Drehung der photolithographischen Maske umfassen.
  • Die Beleuchtung des Beleuchtungssystems kann zumindest eine Blende umfassen, die die Beleuchtung der photolithographischen Maske bestimmt. Im Folgenden wird die Beleuchtung auch Beleuchtungssetting genannt.
  • Das Erhalten der ersten Parameter kann Folgendes umfassen: Entfalten einer Fehlerkorrekturkarte (error correction map) mit einem Entfaltungskern, umfassend eine Beleuchtungspixelübertragung.
  • Die Beleuchtungspixelübertragung kann die Beleuchtung und eine Pixelübertragung umfassen.
  • Für die folgenden Überlegungen wird angenommen, dass eine Photomaske mehrere Fehler oder Defekte, wie beispielsweise CD-Defekte, aufweist. Die CD-Defekte können in einer CD-Fehlerkarte (critical dimension error map) CDE(x,y) zusammengefasst sein. Die xy-Ebene ist eine Ebene, die parallel zu einer Oberfläche der photolithographischen Maske ist. Die z-Richtung ist eine Richtung, die senkrecht zur Oberfläche photolithographischen Maske ist oder die parallel zu einer optischen Achse des Beleuchtungssystems ist. Aus der CD-Fehlerkarte CDE(x,y) wird eine CD-Fehlerkorrekturkarte CDC(x,y) bestimmt, welche die CD-Defekte der Maske korrigiert. Im Folgenden wird eine Vielzahl von Pixeln oder Pixelanordnungen bestimmt, die eine CD-Änderung ΔCD(x,y) bewirken, welche die Fehlerkorrekturkarte CDC(x,y) bestmöglich reproduziert. Somit beschreiben CDc(x,y) oder ΔCD(x,y) die vorzunehmende Korrektur.
  • Wie im zweiten Abschnitt beschrieben, wurde festgestellt, dass die Einbringung einer oder mehrerer Pixelanordnungen mit einer Pixeldichte PD(x,y,z) zu einer proportionalen optischen Übertragungsvariation ΔT(x,y) der photolithographischen Maske führt, wenn die Photomaske durch die optische Strahlung mit der aktinischen Wellenlänge beleuchtet wird. Wie vorstehend bereits erwähnt, können die Pixel in Abhängigkeit vom Fehlertyp, der durch den Pixelschreibprozess korrigiert werden soll, überall innerhalb einer Tiefe d oder einer Höhe der Maske geschrieben werden. Für die Korrektur von Ausrichtungs- und/oder CD-Fehlern werden die Pixel üblicherweise in die Hälfte der Tiefe des Maskensubstrats geschrieben, um ein Verbiegen des Maskensubstrats zu verhindern, d. h. z = d/2. Die Seite des Maskensubstrats, auf der die Musterelemente angeordnet sind, wird im Folgenden als die Ebene z = o bezeichnet Die Pixeldichte PD(x,y,z) wird im Folgenden auch Schreibkarte PD(x,y,z) genannt. Die Aufgabe besteht darin, eine Schreibkarte zu bestimmen, welche die ΔCD(x,y) oder die ΔCDC(x,y) erzeugt.
  • Ferner wurde auch festgestellt, dass eine Änderung oder eine Variation der zum Korrigieren von CD-Fehlern verwendeten CD, d. h. ΔCD(x,y), die durch die Photomaske induziert wird, die die eine oder mehreren Pixelanordnungen aufweist, in einem Wafer proportional zur Pixeldichte PD(x,y,z) ist: P D ( x , y , z ) Δ T ( x , y ) Δ C D ( x , y )
    Figure DE102018218129A1_0001
    Wie vorstehend bereits erwähnt, wird die induzierte CD-Variation, d. h. ΔCD(x,y), so gewählt, dass sie die Fehlerkorrekturkarte CDC(x,y) im Wesentlichen reproduziert.
  • Basierend auf Gleichung (1) lässt sich eine Gleichung (2) formulieren: Δ C D ( x , y ) = C D C R Δ T ( x , y )
    Figure DE102018218129A1_0002
    wobei die Proportionalitätskonstante des CD-Korrekturverhältnisses (critical dimension correction ratio, CDCR) eine Einheit von nm pro % optischer Dämpfung besitzt und wobei ΔCD(x, y) die CD-Variation auf der Maskenebene beschreibt.
  • Die optische Übertragungsvariation ΔT(x,y) führt zu einer Variation der Größe der Bilder, die durch Merkmalselemente der Maske auf einem Wafer, genauer gesagt in einer auf dem Wafer angeordneten Photolackschicht xw, yw, erzeugt werden, die mit dem Vergrößerungs- oder Verkleinerungsfaktor des Projektionsobjektivs multipliziert wird, das zum Abbilden des Musters von Merkmalselementen der Maske auf dem Wafer verwendet wird. Üblicherweise wird gegenwärtig ein Verkleinerungsfaktor von 4 oder 5 verwendet. Wird ein Verkleinerungsfaktor von vier angenommen, so führt dies zu folgendem Verhältnis: Δ C D ( x , y ) = 4 Δ C D W ( 4 x W ,4 y W )
    Figure DE102018218129A1_0003
    Die Aufgabe des in dieser Anmeldung beschriebenen Verfahrens besteht darin, eine Schreibkarte (writing map) PD(x,y,z) zu bestimmen, die die Positionen einer oder mehrerer Pixelanordnungen beschreibt, die den einen bzw. die mehreren Fehler einer photolithographischen Maske korrigieren, die beispielsweise durch die CD-Fehlerkarte CDE(x,y) beschrieben sind, wenn die eine bzw. die mehreren Pixelanordnungen in das Substrat einer photolithographischen Maske geschrieben oder eingebracht werden.
  • Eine durch eine Blende des Beleuchtungssystems bestimmte optische Beleuchtung I-opt'(xp,yp) hat beim Übertragen einer photolithographischen Maske im Allgemeinen zwei Effekte auf die Schreibkarte PD(x,y,z) von Pixeln: (I) Die Pixeldichte PD(x,y,z=o) beschreibt die optische Dämpfung der Beleuchtung Iopt'(xp,yp), wenn die Pixel in derselben Ebene wie die Musterelemente des Maskensubstrats angeordnet wären. PD(x,y,z=o) oder PD(x,y) besitzt die Dimension einer Flächendichte oder Pixel pro Fläche, und z=o ist die Ebene der photolithographischen Maske, auf der die Musterelemente angeordnet sind. (II) Die Funktion der Pixelübertragung PT'(xp,yp) berücksichtigt die Winkelabhängigkeit des Streuverhaltens der Pixel in einem Maskensubstrat, wenn die Pixel irgendwo in einem Maskensubstrat geschrieben werden. Die Pixelübertragung variiert über die Pupille und ist unabhängig vom Beleuchtungssetting. Die Einheit von PT'(xp,yp) lautet Prozent der optischen Dämpfung pro Pixelflächendichte.
  • Im vorstehenden Absatz sind die optische Beleuchtung Iopt'(xp,yp) und die Pixelübertragung PT'(xp,yp) in dimensionslosen Pupillenkoordinaten angegeben: xp = n · sinΘx, yp = n · sinΘy,, wobei n der Brechungsindex des Maskensubstrats ist und Θx und Θy die Winkel der Beleuchtung in Bezug auf die optische Achse oder die z-Achse sind. Θmax und Θmin sind durch das Beleuchtungssetting festgelegt. Die optische Beleuchtung I-opt'(xp,yp) und die Pixelübertragung PT'(xp,yp) können in Maskenkoordinaten x, y umgewandelt werden (siehe nachstehende Beschreibung von 7): I o p t ( x , y ) = I o p t ' ( d t a n ( a r c s i n x p n ) , d t a n ( a r c s i n y p n ) ) ,
    Figure DE102018218129A1_0004
    und P T ( x , y ) = P T ' ( d t a n ( a r c s i n x p n ) , d t a n ( a r c s i n y p n ) ) ,
    Figure DE102018218129A1_0005
    wobei d die Höhe innerhalb des Maskensubstrats ist, wie vorstehend angegeben ist. Aus Gleichung (5) ist ersichtlich, dass bei d = o beispielsweise die Pixel in der Ebene des Musterelements angeordnet sind und die Pixelübertragung einen konstanten numerischen Wert aufweist: P T ( x , y ) = P T ' ( 0,0 ) = C o n s t .
    Figure DE102018218129A1_0006
  • Die durch die Schreibkarte PD(x,y,z) hervorgerufene optische Übertragungsvariation ΔT (x, y) kann durch eine Gleichung ausgedrückt werden, welche die Größen Beleuchtungssetting, Pixelübertragung und Pixeldichte kombiniert: Δ T ( x , y ) = [ I o p t ( x , y ) P T ( x , y ) ] * P D ( x , y , z ) = I P T ( x , y ) * P D ( x , y , z ) ,
    Figure DE102018218129A1_0007
    wobei „*“ eine Faltung bezeichnet und wobei I P T ( x , y ) = I o p t ( x , y ) P T ( x , y ) .
    Figure DE102018218129A1_0008
    wobei IPT als Beleuchtungspixelübertragung bezeichnet wird. Diese Größe beschreibt eine gewichtete Beleuchtung oder sie kann als ein Integral der übertragenen optischen Intensität betrachtet werden. Sie kann auch als ein Faltungskern der Beleuchtung, die die Pixeldichte PD(x,y,z) beleuchtet, betrachtet werden. Für den Fall, dass die Beleuchtung Iopt und die Pixelübertragung PT in Form von Matrizen verfügbar sind, ist die Multiplikation in Gleichung (8) eine elementweise Multiplikation: IPT(x, y) = Iopt(x, y) ⊙ PT(x,y). Gleichung (8) drückt aus, dass die optische Übertragungsvariation ΔT(x,y) die Faltung der Beleuchtungspixelübertragung mit der Pixeldichte oder der Schreibkarte PD(x,y,z) ist.
  • In Gleichung (7) kann ΔT(x,y) anhand der Gleichung (2) ersetzt werden. Δ C D ( x , y ) C D C R = Δ T ( x , y ) = I P T ( x , y ) * P D ( x , y , z )
    Figure DE102018218129A1_0009
    Gleichung (9) zeigt, dass die Pixeldichte oder die Schreibkarte PD(x,y,z) durch Entfalten des Faltungskerns IPT(x,y) mit der optischen Übertragungsvariation ΔT(x,y) oder dem Quotienten der CD-Variation ΔCD(x,y) und der CDCR-Konstante erhalten werden können.
  • Die Faltung kann durch Fouriertransformation jeder Größe in Gleichung (9) und Ausführen einer Multiplikation der Größen erfolgen. F T ( Δ C D C D C R ) = F T ( I P T ) F T ( P D )
    Figure DE102018218129A1_0010
  • So lässt sich durch Transformieren der Gleichung (10) die Pixeldichte PD(x,y,z) bestimmen: P D ( x , y , z ) = F T 1 ( F T ( Δ C D C D C R ) F T ( I P T ) ) = C D C R 1 F T 1 ( F T ( Δ C D ) F T ( I P T ) )
    Figure DE102018218129A1_0011
    wobei FT die Fouriertransformation der Größe in der Klammer bezeichnet und FT-1 die umgekehrte Fouriertransformation bezeichnet. Durch Anwenden einer Fouriertransformation können die Größen in Gleichung (11) in den Ortsraum rückübertragen werden.
  • Um die Schreibkarte PD(x,y,z) ohne eine Näherung zu bestimmen, müssen in einem Kalibrierungsprozess die CDCR-Konstante, die CD-Variation ΔCD(x,y) und die Beleuchtungspixelübertragung oder der Faltungskern IPT(x,y) bestimmt werden. Vor der Beschreibung des allgemeinen Falls eines Kalibrierungsprozesses werden verschiedene Näherungen zum Vereinfachen des Kalibrierungsprozesses erörtert.
  • Um einen Kalibrierungsprozess in einer ersten Näherung auszuführen, wird eine Pixeldichte PD(x,y,z) in ein Kalibrierungsmaskensubstrat mit einer geringen Variation in der xy-Ebene des Maskensubstrats geschrieben. Geringe Variation der Pixeldichte PD(x,y,z) bedeutet, dass eine Variation der Pixeldichte in einer Pixelebene oder xy-Ebene innerhalb des durch das Beleuchtungssetting definierten Beleuchtungsbereichs der Kalibrierungsmaske ignoriert werden kann.
  • Für eine konstante Pixeldichte innerhalb des Bereichs in der Beleuchtung der Kalibrierungsmaske und die zusätzliche Annahme, dass der Effekt der Beleuchtung der Pixel so betrachtet wird, als wären die Pixel in der Ebene des Musterelements einer photolithographischen Maske (d. h. z = o) angeordnet, weist die Pixelübertragung einen konstanten numerischen Wert, PT(x,y) = k, auf, die Faltung in Gleichung (7) kann folgendermaßen ausgeführt werden: Δ T ( x , y ) = I P T ( x , y ) * P D ( x , y ) = I P T ( x ' , y ' ) d x ' d y ' P D ( x , y , z ) = ( I o p t ( x ' y ' ) P T ( x ' y ' ) ) d x ' d y ' P D ( x , y , z ) = k I o p t ( x ' , y ' ) d x ' d y ' P D ( x , y , z ) = C 1 P D ( x , y , z )
    Figure DE102018218129A1_0012
    wobei C1 eine Konstante mit folgender Dimension ist: [%att./(Pixel/m2] = [%att.·m2/Pixel].
  • Das Ausführen der Faltung in Gleichung (7) ist äquivalent zum Betrachten der Beteiligung jedes Punktes innerhalb der durch das Beleuchtungssetting in der Pixelebene der Kalibrierungsmaske beleuchteten Fläche.
  • Gleichung (12) folgt auch aus der Relation (1). Das heißt, dass die Relation (1) auf den vorstehend erörterten Annahmen basiert. Gleichung (12) ermöglicht eine einfache Transformation zwischen einer Pixeldichte PD(x,y,z) und einer Variation der optischen Übertragungsvariation ΔT(x,y), die durch die innerhalb des Maskensubstrats angeordnete Pixeldichte verursacht wird. Oder anders ausgedrückt, ermöglicht eine Pixeldichte, die über ein Beleuchtungssetting im Wesentlichen einheitlich ist und von der angenommen wird, dass sie in der Ebene des Musterelements angeordnet ist, eine Bestimmung der Konstante C1 und erlaubt es, eine optische Übertragungsvariation ΔT(x,y) in eine zugehörige oder dedizierte Pixeldichte PD(x,y,z) zu transformieren oder umgekehrt. Somit ermöglicht die Gleichung (12) basierend auf den vorstehenden Annahmen eine Kalibrierung eines Pixelsschreibprozesses (siehe Gleichung (13)).
  • Das Kombinieren der Gleichungen (2) und (12) Δ C D ( x , y ) = C D C R Δ T ( x , y ) = C 1 C D C R P D ( x , y , z )
    Figure DE102018218129A1_0013
    führt zu folgendem Ereehnis: P D ( x , y , z ) = Δ C D ( x , y ) C 1 C D C R
    Figure DE102018218129A1_0014
    Das Produkt der Konstanten C1 . CDCR besitzt die Dimension [ m 2 % a t t . p i x e l m % a t t . ] = [ m 3 p i x e l ] .
    Figure DE102018218129A1_0015
  • Die Annahme, dass die Pixel als in der Ebene der Musterelemente angeordnet betrachtet werden, wird nun fallen gelassen. Vielmehr wird nunmehr angenommen, dass die Pixel irgendwo im Substrat einer photolithographischen Maske angeordnet sind und die Winkelabhängigkeit des Beleuchtungssettings berücksichtigt wird. Bei dieser zweiten Näherung wird jedoch weiterhin angenommen, dass die Pixel als perfekte Streuzentren wirken, d. h. es wird angenommen, dass die Pixel eine perfekte Kugelform aufweisen. Diese Annahme führt zu einer Pixelübertragung PT'(xp,yp), die in der Pupillenebene der Blende konstant ist.
  • Nach Gleichung (5) führt eine konstante Pixelübertragung in der Pupillenebene zu einer konstanten Pixelübertrasuns in der Schicht, in der die Pixel angeordnet sind: P T ( x , y ) = P T ' ( x p , y p ) = P T ' ( d t a n ( a r c s i n x p n ) , d t a n ( a r c s i n y p n ) ) = C 2
    Figure DE102018218129A1_0016
    Diese Annahme vereinfacht die Gleichung (7): Δ T ( x , y ) = [ I o p t ( x , y ) P T ( x , y ) ] P D ( x , y ) = C 2 I o p t ( x , y ) P D ( x , y , z ) .
    Figure DE102018218129A1_0017
  • Das Erhalten der ersten Parameter kann Folgendes umfassen: Entfalten der Fehlerkorrekturkarte mit einem Entfaltungskern, der die Beleuchtung des Beleuchtungssystems umfasst.
  • Dann lässt sich die Pixeldichte oder die Schreibkarte PD(x,y,z) unter Verwendung der Gleichung (11) bestimmen: P D ( x , y , z ) = F T 1 ( F T ( Δ C D C D C R ) F T ( I P T ) ) = F T 1 ( F T ( Δ C D C D C R ) F T ( C 2 I o p t ) ) = C D C R 1 C 2 1 F T 1 ( F T ( Δ C D ) F T ( I o p t ) )
    Figure DE102018218129A1_0018
  • In dieser zweiten Näherung wird die Pixeldichte oder die Schreibkarte PD(x,y,z) aus der inversen Fouriertransformation des Quotienten der Fouriertransformation der CD-Variation ΔCD(x,y) und der Fouriertransformation der optischen Intensität Iopt(x,y) bestimmt.
  • Schließlich wird die Annahme fallen gelassen, dass die Pixel als perfekte Streuzentren wirken. Die nicht perfekte Kugelform führt zu einem Streuverhalten der Pixel, das vom Winkel abhängt, unter dem die optische Strahlung auf die Pixel in Bezug auf die z-Richtung oder die optische Achse des Beleuchtungssystems einfällt. So berücksichtigt der nun betrachtete allgemeine Fall eine Winkelabhängigkeit des Streuverhaltens der Pixel. Er geht nicht davon aus, dass die optische Strahlung, die eine oder mehrere Öffnungen einer Blende überträgt, unabhängig von der Position der optischen Strahlung innerhalb der Blendenöffnung denselben Effekt auf die Pixel der Maske hat. Somit berücksichtigt dieser Aspekt die Position der optischen Strahlung innerhalb einer oder mehrerer Öffnungen einer Blende beim Effekt auf die Pixel auf ihrem Weg durch eine photolithographische Maske. Das vorstehend definierte Verhältnis kann auch als eine Raumfrequenzabhängigkeit einer Pixelreaktion auf die Beleuchtung oder die optische Strahlung betrachtet werden, da Winkel innerhalb einer Öffnung einer Blende Raumfrequenzen auf einer photolithographischen Maske entsprechen. Dieser Effekt nimmt zu, wenn der Winkel der Beleuchtung in Bezug auf die z-Richtung, die der optischen Achse des Beleuchtungssystems entspricht, zunimmt. Somit gibt es zwei Effekte des Verwendens größerer Beleuchtungssettings. Ein erster ist die Erstreckung der Beleuchtung auf dem Pixelniveau oder der Pixelebene, die mit größeren Winkeln in Bezug auf die optische Achse zunimmt. Ein zweiter ist die Erhöhung der Variation der Pixelübertragung PT(x,y) über die Beleuchtungspupille bei größeren Winkeln in Bezug auf die optische Achse.
  • Im aktuell erörterten allgemeinen Fall werden die Gleichungen (7) und (11) ohne jegliche Annahmen gelöst. Um die Schreibkarte PD(x,y,z) für diesen allgemeine Fall zu erhalten, müssen die Beleuchtungspixeltransformation oder der Faltungskern IPT(x,y) ohne jegliche Annahme bestimmt werden.
  • Das Kombinieren der Gleichungen (2) und (7) führt zu: Δ C D ( x , y ) C D C R = Δ T ( x , y ) = [ I o p t ( x , y ) P T ( x , y ) ] P D ( x , y , z ) = I P T ( x , y ) P D ( x , y , z )
    Figure DE102018218129A1_0019
    Das Bestimmen der Beleuchtungspixelübertragung kann das Entfalten der Pixeldichte mit der optischen Übertragungsvariation umfassen. Das Bestimmen der Beleuchtungspixelübertragung kann auch das Entfalten der Pixeldichte mit der Fehlerkorrekturkarte umfassen.
  • Diese Gleichung lässt sich wie folgt transformieren: F T ( Δ T ) = F T ( I P T ) F T ( P D ) = > I P T ( x , y , z ) = F T 1 ( F T ( Δ T ) F T ( P D ) )
    Figure DE102018218129A1_0020
    oder I P T ( x , y , z ) = [ I o p t ( x , y ) P T ( x , y ) ] = F T 1 ( F T ( Δ T ) F T ( P D ) ) = F T 1 ( F T ( Δ C D C D C R ) F T ( P D ) )
    Figure DE102018218129A1_0021
  • Somit lassen sich die Beleuchtungspixelübertragung oder der Faltungskern IPT(x,y) durch Schreiben einer definierten Pixeldichte oder Schreibkarte PD(x,y,z) im Maskensubstrat einer Kalibrierungsmaske und Messen der resultierenden CD-Variation bestimmen. Für die Bestimmung des Faltungskerns ist es vorteilhaft, eine Pixeldichte in ein Maskensubstrat zu schreiben, das mit einem steilen Gradienten in einer oder zwei Richtungen variiert.
  • Nach dem Festlegen des Faltungskerns IPT(x,y) kann die Pixelübertragung durch Teilen des Faltungskerns IPT(x,y) durch die Beleuchtung der Kalibrierungsmaske Iopt(x,y), die durch das Beleuchtungssetting bestimmt wurde, bestimmt werden. Dies führt zu: P T ( x , y ) = I P T ( x , y ) I o p t ( x , y ) = F T 1 ( F T ( Δ T ) F T ( P D ) ) I o p t ( x , y )
    Figure DE102018218129A1_0022
  • Liegen die Größen IPT und Iopt in Form von Matrizen vor, so transformiert sich die Gleichung (17) in eine elementweise Division: P T ( x , y ) = I P T ( x , y ) I o p t ( x , y = F T 1 ( F T ( Δ T ) F T ( P D ) ) I o p t ( x , y ) .
    Figure DE102018218129A1_0023
  • Das Bestimmen des Entfaltungskerns der Beleuchtung kann Folgendes umfassen: (a) Erzeugen einer Referenzmaske mit einer Vielzahl von Pixeln, die mit einer variierenden Pixeldichte angeordnet sind; (b) Beleuchten mehrerer Wafer mit der Referenzmaske unter Verwendung eines Monopolsettings, wobei jeder Wafer unter einem unterschiedlichen Winkel des Monopolsettings in Bezug auf eine optische Achse der Referenzmaske beleuchtet wird; und (c) Bestimmen des Entfaltungskerns der Beleuchtung aus einer Variation der kritischen Dimension der mehreren Wafer.
  • Der vorteilhafte Effekt dieser Art des Bestimmens des Entfaltungskerns der Beleuchtung oder des Beleuchtungssettings ermöglicht es, den Entfaltungskern für verschiedene Beleuchtungssettings zu berechnen. Das heißt, dass ein Kalibrierungsprozess die Bestimmung eines Entfaltungskerns für alle üblicherweise verwendeten Beleuchtungssettings ermöglicht. Dieser Ansatz erfordert jedoch eine große Anzahl an Waferprints und ist somit ein teurer Ansatz.
  • Eine Dimension des Monopolsettings in einer Pupillenebene kann einen Bereich von 0,1 mm bis 100 mm, vorzugsweise 0,5 mm bis 50 mm, besonders vorzugsweise 1 mm bis 20 mm, und am meisten bevorzugt 2 mm bis 10 mm umfassen. Ein Beleuchtungswinkel kann in einem Bereich von ±10°, vorzugsweise ±15° und am meisten bevorzugt ±20° in Bezug auf eine optische Achse des Beleuchtungssystems variieren. Eine Anzahl von Waferprints mit variierendem Beleuchtungswinkel kann einen Bereich von 2 bis 100, vorzugsweise 5 bis 50 und am meisten bevorzugt 10 bis 30 umfassen.
  • Das Bestimmen des Entfaltungskerns der Beleuchtung kann Folgendes umfassen: (a) Beleuchten eines Wafers durch eine photolithographische Maske mit einer Beleuchtung des Beleuchtungssystems, wobei die photolithographische Maske nicht die Vielzahl von Pixeln aufweist, und Bestimmen einer kritischen Dimension des Wafers; (b) Schreiben einer Pixeldichte in das Substrat der photolithographischen Maske; (c) Beleuchten des Wafers durch die photolithographische Maske mit der Beleuchtung des Beleuchtungssystems und Bestimmen der kritischen Dimension; (d) Bestimmen einer Variation der kritischen Dimension durch Subtrahieren der kritischen Dimension aus Schritt (a) von der kritischen Dimension aus Schritt (c); und (e) Bestimmen des Entfaltungskerns durch Entfalten der Variation der kritischen Dimension mit der geschriebenen Pixeldichte.
  • Dieser Aspekt hat den vorteilhaften Effekt, dass nur ein einzelner Waferprint für die experimentelle Bestimmung des Faltungskerns für eine spezifische Beleuchtung oder ein spezifisches Beleuchtungssetting erforderlich ist. Der eben beschriebene Aspekt erfordert eine Kalibriermessung für jedes Beleuchtungssetting des Beleuchtungssystems. Somit ist der Kalibrierungsaufwand proportional zur Anzahl der verwendeten Beleuchtungssettings.
  • Für den Fall, dass die Beleuchtungspixelübertragung IPT(x,y) und die Beleuchtungspupille Iopt(x,y) in Form von Matrizen verfügbar sind, kann die optische Übertragung PT(x,y) durch eine elementweise Division des Entfaltungskerns und der Beleuchtungspupille Iopt(x,y) bestimmt werden.
  • Es ist möglich, die Entfaltungsoperation durch das Variieren der Einträge der Kernfunktion unter Verwendung eines Algorithmus zu ersetzen, um eine beste Übereinstimmung zwischen den Ergebnissen der Faltung und der beobachteten CD-Variation ΔCD(x,y) zu erhalten.
  • Verschiedene Beleuchtungen können das Verwenden unterschiedlicher Beleuchtungssettings des Beleuchtungssystems umfassen. Das Verwenden unterschiedlicher Beleuchtungssettings kann das Verwenden unterschiedlicher Blenden des Beleuchtungssystems umfassen.
  • Das Beleuchten der Referenzmaske kann durch Folgendes ersetzt werden oder zusätzlich Folgendes umfassen: Beleuchten eines Luftbildmesssystems (aerial image measurement system, AIMS™) mit der Referenzmaske unter Verwendung unterschiedlicher Beleuchtungen. Das Beleuchten der photolithographischen Maske kann durch Folgendes ersetzt werden oder zusätzlich Folgendes umfassen: Bestimmen des Effekts der Beleuchtung auf die Pixel durch Vergleichen von Bildern der photolithographischen Maske, die vor und nach dem Einbringen der Pixel von variierender Pixeldichte in das Maskensubstrat durch das Luftbildmesssystem aufgenommen werden.
  • Das definierte Verfahren kann ferner den Schritt des Bestimmens eines Typs jedes der Vielzahl von Pixeln umfassen.
  • Ein Pixeltyp ist durch einen spezifischen Satz von Pixelparametern gekennzeichnet. Der spezifische Satz von Pixelparametern bestimmt die wesentliche Wirkung eines Pixels. Beispielsweise kann ein erster Pixeltyp gestaltet sein, der im Wesentlichen eine Dichte des Maskensubstrats lokal ändert und somit ein oder mehrere Musterelemente einer photolithographischen Maske verschiebt. Ferner kann ein zweiter Pixeltyp mit einem zweiten Satz von Pixelparametern im Wesentlichen die optische Übertragung des Maskensubstrats lokal modifizieren, ohne im Wesentlichen die Dichte des Substratmaterials lokal zu verändern.
  • Der Ausdruck „im Wesentlichen“ bedeutet im Zusammenhang dieser Anmeldung die Bezeichnung einer Messgröße innerhalb ihrer Fehlermarge bei Verwendung herkömmlicher Metrologiegeräte zum Messen der Messgröße.
  • Die Positionen der Vielzahl von Pixeln, ihr Typ und die ersten und/oder die zweiten Parameter können in einem gemeinsamen Optimierungsprozess bestimmt werden.
  • Die zeitgleiche Bestimmung des Typs und der Position der Vielzahl von Pixeln in Kombination mit einigen oder allen der Parameter des Beleuchtungssystems bietet die Voraussetzung für eine optimale Korrektur einer photolithographischen Maske.
  • Ein gemeinsamer Optimierungsprozess kann Folgendes umfassen: (a) Einstellen eines Zielfunktionals, umfassend Fehlerdaten der photolithographischen Maske, Positionsdaten der Vielzahl von Pixeln und die ersten Parameter des Beleuchtungssystems; und (b) Minimieren des Zielfunktionals durch zeitgleiches Variieren der Positionsdaten der Vielzahl von Pixeln.
  • Das Zielfunktional kann zusätzlich den Pixeltyp und/oder die zweiten Parameter des Beleuchtungssystems umfassen. Ferner kann das Zielfunktional Fehlerdaten der photolithographischen Maske, Positionsdaten der Vielzahl von Pixeln, den Pixeltyp, die ersten Parameter und/oder die zweiten Parameter umfassen. Das Minimieren des Zielfunktionals kann das zeitgleiche Variieren der Positionsdaten der Vielzahl von Pixeln und des Pixeltyps umfassen. Ferner kann das Minimieren des Zielfunktionals das Minimieren der Positionsdaten der Vielzahl von Pixeln, des Pixeltyps und der zweiten Parameter umfassen. Schließlich kann das Minimieren des Zielfunktionals das Minimieren der Positionsdaten der Vielzahl von Pixeln, des Pixeltyps, der ersten Parameter und/oder der zweiten Parameter umfassen.
  • Zum Aufstellen des Zielfunktionals kann ein Lagrange-Variationsprinzip verwendet werden. Einzelheiten zum Aufstellen eines Zielfunktionals und zum Minimieren des Zielfunktionals sind im US-Patent US 9 658 527 B2 des Anmelders beschrieben.
  • Die photolithographische Maske kann ein Template für die Nanoimprintlithographie umfassen oder die photolithographische Maske kann ein durchlässiges optisches Element umfassen.
  • Das definierte Verfahren ist nicht auf photolithographische Masken beschränkt. Vielmehr ist es auf alle durchlässigen optischen Elemente anwendbar, die durch Einbringen einer Vielzahl von Pixeln korrigiert werden sollen. Insbesondere ist das erfindungsgemäße Verfahren wichtig, wenn das korrigierte durchlässige optische Element mit Beleuchtungssettings eingesetzt wird, die eine außeraxiale optische Intensitätsverteilung aufweisen.
  • Das definierte Verfahren kann ferner den Schritt des Bestimmens von Laserstrahlparametern des Lasersystems basierend auf den bestimmten Positionen und dem Typ jedes der Vielzahl von Pixeln umfassen.
  • Wie vorstehend erörtert, ist jeder Pixeltyp einem spezifischen Satz von Pixelparametern zugeordnet. Um einen spezifischen Pixeltyp in ein Substrat einer photolithographischen Maske zu schreiben oder einzubringen, ist ein Laserstrahl mit einem spezifischen Satz von Laserstrahlparametern, die dem jeweiligen Pixeltyp, d. h. dem jeweiligen Satz von Pixelparametern zugeordnet sind, erforderlich.
  • Die Laserstrahlparameter können zumindest eine Energie des Laserstrahls, eine numerische Apertur, eine Fokusgröße, eine Strahlpolarisation, eine Wellenfrontform, beispielsweise einen Astigmatismus, eine Impulslänge, eine Wiederholungsrate, eine Anzahl von in eine Position des Substrats der photolithographischen Maske gerichteten Impulsen und/oder einen Abstand zwischen zwei Positionen des in das Substrat der photolithographischen Maske gerichteten Laserstrahls umfassen.
  • Die Typen jedes der Vielzahl von Pixeln können zumindest einen ersten Pixeltyp, der dazu ausgelegt ist, zumindest einen Ausrichtungsfehler, einen Planaritätsfehler und/oder einen Überlagerungsfehler zumindest teilweise zu korrigieren, und zumindest einen zweiten Pixeltyp, der dazu ausgelegt ist, einen optischen Übertragungsfehler des Substrats zumindest teilweise zu korrigieren, umfassen.
  • Laserstrahlparameter zum Einbringen eines ersten Pixeltyps können umfassen: eine Impulsenergie von 0,05 µJ bis 5 µJ, eine Impulslänge von 0,05 ps bis 100 ps, eine Wiederholungsrate von 1 kHz bis 10 MHz, eine Impulsdichte von 1.000 Impulsen pro mm2 bis 10.000.000 Impulse pro mm2, eine numerische Apertur (numerical aperture, NA) eines Objektivs von 0,1 bis 0,9 und eine Vergrößerung des Objektivs von 5x bis 40x. Laserstrahlparameter zum Einbringen eines zweiten Pixeltyps können umfassen: eine Impulsenergie von 0,45 µJ - 0,55 µJ, eine Impulsdauer von 5 - 10 ps, eine Wiederholungsrate von 10 kHz - 100 kHz, eine NA des Objektivs von 0,3 - 0,4, eine Vergrößerung des Objektivs von 10x - 20x und eine Impulsdichte von 1.000 - 100 000 Impulse pro mm2.
  • Das definierte Verfahren kann ferner den Schritt des Erzeugens einer Schreibkarte basierend auf den Positionen und dem Typ der bestimmten Pixel umfassen, wobei die Schreibkarte eine Verteilung der Vielzahl von Pixeln, die in das Substrat der photolithographischen Maske eingebracht werden sollen, beschreiben kann.
  • Die Erstellung einer Schreibkarte PD(x,y,z) kann das Ergebnis oder der Ausgang des Verfahrens zum Bestimmen der Positionen der Vielzahl von Pixeln sein. Die Schreibkarte umfasst die Positionen, den Typ der in das Substrat einer defekten Maske einzubringenden Pixel und die Tiefe, in die die Pixel in das Maskensubstrat geschrieben werden sollen. Somit definiert die Schreibkarte die Parameter des Laserstrahls, der zum Einbringen der Vielzahl von Pixeln in das Maskensubstrat verwendet wird.
  • Das vorstehend beschriebene Verfahren kann ferner den Schritt des Einbringens der Vielzahl von Pixeln in das Substrat der photolithographischen Maske unter Verwendung ultrakurzer Laserimpulse des Lasersystems umfassen.
  • Das Einbringen der Vielzahl von Pixeln in das Substrat kann auf der Schreibkarte basieren.
  • Die Schreibkarte kann eine erste Vielzahl von Pixelanordnungen des ersten Typs oder der ersten Art von Pixeln und eine zweite Vielzahl von Pixelanordnungen des zweiten Pixeltyps umfassen.
  • Eine Pixelanordnung umfasst eine Vielzahl von Pixeln, die in einer konstanten Dichte angeordnet sind, d. h. der Abstand identischer Pixel in einer Pixelanordnung ist in einer, zwei oder drei Dimensionen gleich. Eine Pixelanordnung beinhaltet üblicherweise Pixel eines einzigen Pixeltyps. Beispielsweise umfasst eine Pixelanordnung entweder eine erste Vielzahl von Pixeln des ersten Pixeltyps oder eine zweite Vielzahl von Pixeln des zweiten Pixeltyps.
  • Eine Schreibkarte PD(x,y,z) kann Pixel von zwei oder mehr Pixeltypen umfassen. Somit kann eine einzelne Schreibkarte die gesamten Informationen zum Korrigieren des einen bzw. der mehreren Fehler einer photolithographischen Maske beinhalten. Es ist auch möglich, eine separate Schreibkarte für jeden Pixeltyp zu erstellen. Beispielsweise kann die Dichte des Substrats der photolithographischen Maske durch lokales Einbringen von Pixeln in das Maskensubstrat entsprechend einer ersten Schreibkarte mit Pixeln oder Pixelanordnungen eines ersten Pixeltyps spezifisch modifiziert werden, und die optische Übertragungsverteilung der Maske kann separat unter Verwendung einer zweiten Schreibkarte, die eine Vielzahl von Pixeln des zweiten Pixeltyps oder eine Vielzahl von zweiten Pixelanordnungen mit Pixeln des zweiten Pixeltyps beschreibt, kontinuierlich oder diskontinuierlich modifiziert werden. Somit können die Korrektur von Ausrichtungsfehlern und die Korrektur von Fehlern der optischen Übertragungsverteilung einer photolithographischen Maske auch getrennt werden.
  • Die Schreibkarte kann beim Bearbeiten des Wafers einen Mittelungseffekt einer Beleuchtung der photolithographischen Maske durch das Beleuchtungssystem mit den ersten und/oder den zweiten Parametern auf die Vielzahl von Pixeln ausgleichen.
  • Im Vergleich zum Stand der Technik bestimmt das in der vorliegenden Anmeldung beschriebene Korrekturverfahren eine modifizierte Schreibkarte, die die optische Strahlungsverteilung berücksichtigt, der die korrigierte Photomaske anschließend beim Bestimmen der Verteilung der Vielzahl von Pixeln, die zum Korrigieren des Fehler (der Fehler) der photolithographischen Maske dienen, ausgesetzt wird.
  • Das definierte Verfahren kann ferner den Schritt des Erhöhens einer Pixelanordnungsdichte in der Schreibkarte und/oder des Reduzierens der Pixeldichte innerhalb der Pixelanordnungen in der Schreibkarte zum Ausgleichen des Mittelungseffekts der Beleuchtung des Beleuchtungssystems umfassen. Durch Erhöhen der Dichte der Pixelanordnungen in der Schreibkarte können höhere Raumfrequenzkomponenten in die Schreibkarte einbezogen werden. Diese höheren Frequenzkomponenten führen zu einer lokal erhöhten oder reduzierten Pixeldichte.
  • Ein Computerprogramm kann Befehle umfassen, um ein Computersystem dazu zu veranlassen, die Schritte nach einem der vorstehend beschriebenen Aspekte auszuführen.
  • In einer weiteren Ausführungsform wird die vorstehend genannte Aufgabe durch eine Vorrichtung nach Anspruch 17 gelöst. Die Vorrichtung zum Bestimmen von Positionen einer Vielzahl von Pixeln, die mit Hilfe eines Lasersystems in ein Substrat einer photolithographischen Maske eingebracht werden sollen, wobei die Pixel dazu dienen, einen oder mehrere Fehler der photolithographischen Maske zumindest teilweise zu korrigieren, umfasst: (a) Mittel zum Erhalten von Fehlerdaten, die dem einen bzw. den mehreren Fehlern zugeordnet sind; (b) Mittel zum Erhalten erster Parameter eines Beleuchtungssystems, wobei die ersten Parameter eine Beleuchtung der photolithographischen Maske durch das Beleuchtungssystem beim Bearbeiten eines Wafers durch Beleuchten mit dem Beleuchtungssystem unter Verwendung der photolithographischen Maske bestimmen; und (c) Mittel zum Bestimmen der Positionen der Vielzahl von Pixeln basierend auf den Fehlerdaten und den ersten Parametern.
  • Die Vorrichtung kann ferner das Lasersystem umfassen, das dazu ausgelegt ist, ultrakurze Laserimpulse zu erzeugen.
  • Die Mittel zum Erhalten von Fehlerdaten können Mittel zum Messen der Fehlerdaten der photolithographischen Maske umfassen.
  • Die definierte Vorrichtung kann ferner Mittel zum Bestimmen einer optischen Übertragungsvariation über die photolithographische Maske umfassen.
  • Die Mittel zum Bestimmen des einen bzw. der mehreren Ausrichtungsfehler eines Musters kann ein PROVE®-Tool umfassen.
  • Das Beleuchtungssystem kann einen Scanner oder einen Stepper eines photolithographischen Belichtungssystems umfassen.
  • Schließlich kann die vorstehend definierte Vorrichtung dazu ausgelegt sein, die Schritte eines jeglichen der vorstehend beschriebenen Aspekte auszuführen.
  • Figurenliste
  • Um das Verständnis der vorliegenden Erfindung zu verbessern und ihre praktischen Anwendungen zu würdigen, sind die folgenden Figuren bereitgestellt und nachstehend referenziert. Es sei darauf hingewiesen, dass die Figuren nur als Beispiele dienen und in keiner Weise den Schutzumfang der Erfindung begrenzen.
    • 1 zeigt im Querschnitt eine schematische Ansicht einer durchlässigen photolithographischen Maske;
    • 2 veranschaulicht schematisch eine Querschnittsansicht einer in der Nanoimprintlithographie verwendeten Vorlage;
    • 3 zeigt schematisch ein Blockdiagramm einer Vorrichtung zum Bestimmen von Positionen einer Vielzahl von Pixeln, die in ein Substrat einer photolithographischen Maske eingebracht werden sollen;
    • 4 zeigt schematisch einen Querschnitt einiger Komponenten eines Beleuchtungssystems;
    • 5 zeigt schematisch ein Blockdiagramm einer Fehlerkorrekturvorrichtung zum Modifizieren des Substrats der photolithographischen Maske aus 1 und der Vorlage aus 2;
    • 6 zeigt schematisch einen Querschnitt durch eine photolithographische Maske, die nach dem Stand der Technik korrigiert ist;
    • 7 zeigt schematisch einen Abstand, innerhalb dessen eine Pixelanordnung zu einer Änderung der optischen Übertragung auf der Vorderseite einer photolithographischen Maske beiträgt;
    • 8 zeigt schematisch den Effekt mehrerer Pixelanordnungen auf die Abbildung eines einzelnen Musterelements eines Musters einer photolithographischen Maske;
    • 9 zeigt schematisch den Einfluss eines Beleuchtungssettings eines Beleuchtungssystems auf eine CD-Variation eines Musterelements eines Musters einer photolithographischen Maske;
    • 10 veranschaulicht schematisch sowohl den Effekt einer einzelnen Pixelanordnung als auch eines Beleuchtungssettings auf eine CD-Uniformität von Musterelementen einer photolithographischen Maske;
    • 11 zeigt eine Schreibkarte zum Korrigieren einer nicht uniformen optischen Übertragung über eine photolithographische Maske, wobei die photolithographische Maske mit einer außeraxialen optischen Intensitätsverteilung beleuchtet wird;
    • 12 zeigt die Schreibkarte aus 11, wie sie durch die Beleuchtungsstrahlung an einer vorderseitigen Fläche einer photolithographischen Maske, an der das Muster angeordnet ist, abgebildet ist;
    • 13 veranschaulicht eine lineare Regression einer gemessenen optischen Dämpfung gegenüber der durch die Schreibkarte aus 11 vorbestimmten optischen Dämpfung;
    • 14 zeigt schematisch eine Faltung der Schreibkarte aus 11 mit der optischen Strahlung des Beleuchtungssystems und dem Effekt einer Pixelanordnung beim Beleuchten einer korrigierten photolithographischen Maske;
    • 15 veranschaulicht schematisch eine Entfaltung der Schreibkarte aus 11, um den Effekt der in ein Maskensubstrat eingebrachten Pixelanordnungen auf eine spezifische Verteilung der optischen Strahlung zum Beleuchten der photolithographischen Maske rückgängig zu machen;
    • 16 zeigt schematisch eine Faltung einer entfalteten oder optimierten Schreibkarte und die Effekte einer spezifischen optischen Strahlungsverteilung auf Pixelanordnungen, die in ein Substrat einer photolithographischen Maske eingebracht werden, um einen oder mehrere Fehler der photolithographischen Maske zu korrigieren;
    • 17 zeigt die entfaltete Schreibkarte aus 11, wobei die entfaltete Schreibkarte eine optimierte Schreibkarte für ein spezifisches Beleuchtungssetting des Beleuchtungssystems, das zum Beleuchten einer korrigierten photolithographischen Maske verwendet wird, ist;
    • 18 veranschaulicht die entfaltete Schreibkarte aus 17 in einer Ebene des Musters einer photolithographischen Maske, in der das Muster angeordnet ist, wobei die Schreibkarte im Wesentlichen mit der Zielschreibkarte aus 11 identisch ist;
    • 19 stellt eine lineare Regression einer gemessenen optischen Dämpfung gegenüber der optischen Dämpfung der entfalteten oder optimierten Schreibkarte aus 17 dar; und
    • 20 zeigt ein Ablaufdiagramm des erfindungsgemäßen Verfahrens der vorliegenden Anmeldung.
  • Ausführliche Beschreibung bevorzugter Ausführungsformen
  • Im Folgenden wird die vorliegende Erfindung unter Bezugnahme auf die beigefügten Figuren, in denen beispielhafte Ausführungsformen der Erfindung dargestellt sind, ausführlicher beschrieben. Die vorliegende Erfindung ist allerdings in verschiedenen Formen ausführbar und nicht so auszulegen, dass sie auf die hierin beschriebenen Ausführungsformen beschränkt ist. Diese Ausführungsformen werden vielmehr so bereitgestellt, dass diese Offenbarung durchgängig sein und dem Fachmann den Schutzbereich der Erfindung vermitteln wird.
  • Insbesondere wird das erfindungsgemäße Verfahren im Kontext photolithographischer Masken beschrieben. Der Fachmann wird jedoch erkennen, dass das definierte Verfahren nicht auf die Anwendung des Korrigierens defekter photolithographischer Masken beschränkt ist. Vielmehr ist das erfindungsgemäße Verfahren auf alle durchlässigen optischen Elemente anwendbar, die durch eine Einbringung einer Vielzahl von Pixeln korrigiert werden. Ferner ist das in dieser Anmeldung beschriebene Verfahren auch dazu anwendbar, Templates für die Nanoimprintlithographie zu korrigieren.
  • Die 1 zeigt eine schematische Querschnittsansicht einer durchlässigen photolithographischen Maske 100. Die Maske 100 umfasst ein Substrat 110 mit einer ersten oder vorderseitigen Fläche 150 und einer zweiten oder rückseitigen Fläche 160. Das Substrat 110 muss für die Wellenlänge, die für die Beleuchtung des Photolacks auf einem Wafer verwendet wird, transparent sein. Diese Wellenlänge wird aktinische Wellenlänge genannt. Die Belichtungswellenlänge kann im tief ultravioletten (deep ultraviolet, DUV) Spektralbereich des elektromagnetischen Spektrums, insbesondere im Bereich von 193 nm, liegen. Üblicherweise umfasst das Substratmaterial Quarz. Das Substrat 110 weist üblicherweise seitliche Abmessungen von 152 mm × 152 mm und eine Tiefe oder Höhe von im Wesentlichen 6,35 mm auf. Das Substrat 110 der photolithographischen Maske 100 weist auf seiner vorderseitigen Fläche 150 Musterelemente 120 eines Musters 130 auf, das normalerweise aus Chrom gefertigt ist, das Musterelemente 120 abbildet, die durch die Layoutdaten in einem auf einem Wafer angeordneten Photolack vorbestimmt sind. In dem in 1 abgebildeten Beispiel weist die Maske 100 einen Fehler 140 in Form eines Ausrichtungsfehlers aus, d. h. der Abstand von zwei oder mehr Musterelementen 120 weicht von der durch die Layoutdaten vorbestimmten Position ab. Es ist auch möglich, dass der Fehler 140 ein Planaritätsfehler des Maskensubstrats 110, ein Überlagerungsfehler oder eine Inhomogenität der optischen Übertragung über das Maskensubstrat 110 sein kann.
  • Der Abschnitt des Substrats 110 der photolithographischen Maske 100, der die Musterelemente 120 trägt, wird aktiver Bereich 170 der Maske 100 genannt, wohingegen der Grenzabschnitt, der keine Musterelemente 120 aufweist, inaktiver Bereich 180 genannt wird. Ein Laserstrahl mit der aktinischen Belichtung oder Beleuchtungswellenlänge beleuchtet das Substrat 110 der Maske 100 durch die zweite oder rückseitige Fläche 160 des Substrats 110.
  • Die 2 stellt schematisch ein Template 200 dar, die in der Nanoimprintlithographie zum Übertragen von Musterelementen auf einen Wafer verwendet wird. Das Template 200 umfasst ein Material 210, das im UV- und DUV-Spektralbereich transparent ist; häufig wird Quarzglas als ein Material für Templates verwendet. Das beispielhafte Template 200 aus 2 weist einen Fehler 240 auf. Die Musterelemente der Template-Vorderseite 220 werden in einem Verfahren gefertigt, das der Fertigung der Musterelemente 120 der photolithographischen Maske 100 der 1 sehr ähnlich ist. Somit kann das erfindungsgemäße Prinzip auch angewandt werden, um verschiedene Arten von Fehlern eines in der Nanoimprintlithographie verwendeten Templates 200 zu korrigieren. Das Template 200 wird durch die Template-Rückseite 230 mit elektromagnetischer Strahlung 250 beleuchtet.
  • Die 3 zeigt schematisch eine Vorrichtung 300, die zum Bestimmen von Positionen einer Vielzahl von Pixeln verwendbar ist, die in eine photolithographische Maske 100 oder ein Template 200 eingebracht werden sollen, um einen oder mehrere Fehler 140, 240 der Photomaske 100 bzw. des Templates 200 zu korrigieren. Die Vorrichtung 300 umfasst eine erste Schnittstelle 310, die die Vorrichtung 300 über die Verbindung 315 mit einem Metrologiegerät 340 verbindet. Das externe Metrologiegerät 340 kann eine photolithographische Maske 100 und/oder ein Template 200 analysieren, um den (die) Fehler 140, 240 der photolithographischen Maske 100 bzw. des Templates 200 zu bestimmen. Die Vorrichtung 300 erhält mit Hilfe der Schnittstelle 310 Fehlerdaten vom externen Metrologiegerät 340. So realisiert die Schnittstelle 310 der Vorrichtung 300 in dem in 3 gezeigten Beispiel Mittel 310 zum Erhalten von Fehlerdaten, die einem oder mehreren Fehlern 140, 240 zugeordnet sind.
  • Das metrologische Gerät 340, das den (die) Fehler 140, 240 einer photolithographischen Maske 100 bzw. eines Templates 200 misst, kann ein Lasersystem sein, das eine Variation einer kritischen Dimension (critical dimension, CD) oder eine CD-Uniformität (critical dimension uniformity, CDU) von Musterelementen 120 über die Maske 100 messen und somit beispielsweise Ausrichtungsfehler 140 der Photomaske 100 bestimmen kann. Beispielsweise kann das Metrologiesystem 340 ein PROVE®-Gerät sein, das zum Messen von Ausrichtungsfehlern und/oder Überlagerungsfehlern verwendbar ist.
  • Zusätzlich zum Metrologiegerät 340 kann die erste Schnittstelle 310 der Vorrichtung 300 auch mit einem Metrologiegerät verbunden sein, das eine optische Übertragungsvariation über eine photolithographische Maske 100 und/oder eine Vorlage 200 messen kann, wie beispielsweise mit einem WLCD-Gerät (in 3 nicht dargestellt). Ferner kann die erste Schnittstelle 310 Layoutdaten von einem Photomasken-Design-Center erhalten, das zum Korrigieren von Überlagerungsfehlern verwendbar ist (in der 3 nicht gezeigt). Gibt es beispielsweise systematische Effekte, wie beispielsweise eine Elektronenstrahlsignatur, einen Linsenfingerabdruck, so können diese durch zusätzliches Analysieren der Layoutdaten vorhergesagt werden.
  • In einer anderen Ausführungsform ist es möglich, das Metrologiegerät 340 in die Vorrichtung 300 zu integrieren (in 3 nicht dargestellt).
  • Ferner umfasst die Vorrichtung 300 eine zweite Schnittstelle 320, die die Vorrichtung 300 über die Verbindung 325 mit dem Beleuchtungssystem 350 verbindet. In dem in 3 dargestellten Beispiel implementiert die Schnittstelle 320 demnach Mittel 320 zum Erhalten von Parametern vom Beleuchtungssystem 350. Das Diagramm 400 der 4 zeigt schematisch einen Querschnitt einiger Komponenten des Beleuchtungssystems 350. Eine Lichtquelle 410, die ein Lasersystem sein kann, erzeugt Licht mit der aktinischen Wellenlänge des Beleuchtungssystems 350. Eine Blende 420 mit einer oder mehreren Öffnungen 425 führt ein räumliches Filtern des durch die Lichtquelle 410 erzeugten Lichts 415 aus. Die Blende 420 bestimmt das Beleuchtungssetting des Beleuchtungssystems 350. In dem in der 4 vorgestellten Beispiel realisiert die Blende 420 ein annulares Beleuchtungssetting. Die Blende 420 realisiert eine Austrittspupille des Beleuchtungssystems 350.
  • Im Beleuchtungssystem 350 kann die Blende 420 durch eine andere Blende mit anderen Öffnungen ersetzt werden, die ein anderes Beleuchtungssetting des Beleuchtungssystems 350 erzeugen. Das Beleuchtungssystem 350 kann dazu in der Lage sein, ein axiales oder ein außeraxiales Beleuchtungssetting festzulegen, das das Muster 130 der Photomaske 100 am besten in den auf einem Wafer angeordneten Photolack abbildet. Das Beleuchtungssystem 350 kann jedes geforderte Beleuchtungssetting durch Ändern der Blende 420 implementieren. Beispielsweise kann das Beleuchtungssystem 350 neben einem annularen Beleuchtungssetting auch ein Dipolbeleuchtungssetting, ein Quadrupolbeleuchtungssetting, ein Disarbeleuchtungssetting, ein Quasarbeleuchtungssetting und ein Quellmaskenoptimierungs (source mask optimization, SMO)-Setting sein. Ferner sind statt eines Beleuchtungssettings vom Blendentyp auch Module verwendbar, die flexiblere Beleuchtungsschemata ermöglichen, wie etwa mikrospiegelbasierte und facettenspiegelbasierte Module.
  • Das Licht 415, das die Öffnung(en) 425 der Blende 420 passiert hat, trifft auf den Kondensor 430. Der Kondensor 430 richtet das Licht 415 auf die Rückseite 160 der Photomaske 100. Die Photomaske 100 ist nicht Teil des Beleuchtungssystems 350. Die Maske 100 ist an einer Spanneinrichtung 440 des Beleuchtungssystems 350 befestigt. Die Spanneinrichtung 440 kann in drei Dimensionen bewegbar sein. Ferner kann die Spanneinrichtung 440 die Photomaske 110 über zwei zueinander senkrechte Achsen drehen. So realisiert die Spanneinrichtung 440 eine lineare Abbildungstransformation der Photomaske 100. Die lineare Abbildungstransformation der photolithographischen Maske umfasst zwei Parameter für eine Maskenverschiebung, zwei Parameter für eine Maskenskalierung und zwei Parameter für eine generalisierte Maskendrehung.
  • Das durch das Muster 130 der Maske 100 strukturierte Licht 415 tritt in das Objektiv 450 ein. Das Objektiv 450 bildet das Musterelement 120 der Maske 100 auf einen auf einem Wafer 460 angeordneten Photolack 470 ab. Üblicherweise reduziert das Objektiv 450 die Größe des Musterelements 130 der Maske 100 um einen Faktor von vier oder fünf auf dem Photolack 470 des Wafers 460. Wie bereits vorstehend erwähnt, ist der Wafer 460 nicht Teil des Beleuchtungssystems 350. Der Wafer 460 ist durch eine zweite Spanneinrichtung 480 im Beleuchtungssystem 350 befestigt.
  • Das Beleuchtungssystem 350 weist eine Verbindung 325 zur zweiten Schnittstelle 320 der Vorrichtung 300 auf. Das Beleuchtungssystem 350 kann seine möglichen Beleuchtungssettings als erste Parameter an die Vorrichtung 300 übertragen. Die ersten Parameter können ein durch die Blende 420 bestimmtes festes Beleuchtungssetting sein. Es ist auch möglich, dass das Beleuchtungssetting variabel ist. In diesem Fall kann das Beleuchtungssetting die verfügbaren Beleuchtungssettings an die Vorrichtung 300 übertragen. Die ersten Parameter können auch eine Positionsabhängigkeit der Beleuchtung innerhalb einer oder mehrerer Öffnungen 525 der Blende 425 umfassen.
  • Üblicherweise ist die Bestimmung des Beleuchtungssettings Teil des Maskendesignprozesses. Das heißt, dass es während des Maskenlayoutprozesses festgelegt wird. Somit wird das Beleuchtungssetting des Beleuchtungssystems 350 häufig von der Maskendesignsoftware oder von der Datenbank, in der das Maskendesign gespeichert ist, erhalten.
  • Ferner übermittelt das Beleuchtungssystem 350 den Bereich der linearen Abbildungstransformationen, die die Spanneinrichtung 440 auf die Vorrichtung 300 ausführen kann. Der verfügbare Bereich der linearen Abbildungstransformationen wird in Form eines verfügbaren Bereichs der zweiten Parameter des Beleuchtungssystems 350 übertragen. In einer alternativen Ausführungsform übermittelt das Beleuchtungssystem 350 einen festen Satz zweiter Parameter an die Vorrichtung 300. Dies kann der Fall sein, wenn ein, mehrere oder alle Parameter des Beleuchtungssystems 350 durch eine oder mehrere Bedingungen, die das Beleuchtungssystem 350 erfüllen soll, bestimmt werden.
  • Das Beleuchtungssystem 350 kann in Form eines lithographischen Scanners, auch als Scanner bezeichnet, oder eines lithographischen Steppers, auch als ein Stepper bekannt, ausgeführt sein.
  • Erneut auf die 3 Bezug nehmend, stellt die Vorrichtung 300 die über die erste Schnittstelle 310 erhaltenen Fehlerdaten und die ersten und zweiten Parameter des Beleuchtungssystems 350 über die zweite Schnittstelle 320 einer Verarbeitungseinheit 330 der Vorrichtung 300 bereit. Die Verarbeitungseinheit 330 variiert Positionsdaten einer Vielzahl von Pixeln, um basierend auf den erhaltenen Fehlerdaten, die Positionen der Pixel, welche die Fehlerdaten korrigieren, zu bestimmen. Die Vielzahl von Pixeln kann zwei oder mehr Pixelarten oder -typen in Abhängigkeit von dem zu korrigierenden Fehlertyp 140, 240 umfassen. Ein Pixeltyp ist durch einen spezifischen Satz von Parametern gekennzeichnet. Die Verarbeitungseinheit 350 kann auch eine über die erste Schnittstelle 310 erhaltene Fehlerkarte CDE(x,y) bestimmen. Die Fehlerkarte umfasst einen lokalen Betrag an CD-Variation, der an der Oberfläche eines Wafers mit einem Photolack gemessen wurde.
  • Die Verarbeitungseinheit 330 kann beim Bestimmen der Positionen der Pixel auch die Pixeltypen variieren. Die Positionsdaten und die verschiedenen Pixelarten können in einem gemeinsamen Optimierungsprozess gleichzeitig variiert werden. Ferner können die dem Beleuchtungssetting zugeordneten ersten Parameter des Beleuchtungssystems 350 und/oder die der linearen Abbildungstransformation zugeordneten zweiten Parameter des Beleuchtungssystems auch in Abhängigkeit davon variiert werden, ob diese Parameter durch das Beleuchtungssystem 300 festgelegt sind oder nicht. Die Positionen und der Typ der Pixel sowie die ersten und die zweiten Parameter des Beleuchtungssystems 350 können in einem gemeinsamen Optimierungsprozess bestimmt werden. Einzelheiten eines gemeinsamen Optimierungsprozesses sind im US-Patent US 9 658 527 B2 des Anmelders beschrieben.
  • Die in einem gemeinsamen Optimierungsprozess bestimmten Pixelpositionen können in einer Schreibkarte PD(x,y,z) 360 zusammengefasst werden. Die Schreibkarte PD(x,y,z) 360 kann die Positionen und Typen aller Pixel enthalten, die zum Korrigieren des Fehlers 140 der Maske 100 erforderlich sind. Ist dies der Fall, so umfasst die Schreibkarte 360 üblicherweise Pixel von zwei oder mehr Pixeltypen. Es ist jedoch auch möglich, separate Schreibkarten für jeden einzelnen Pixeltyp PDi(x,y,z) zu erzeugen.
  • Die durch die Verarbeitungseinheit 330 bestimmten Pixel können in mehreren Pixelanordnungen angeordnet werden. Eine Pixelanordnung ist dadurch gekennzeichnet, dass eine Pixeldichte innerhalb einer Pixelanordnung konstant ist und eine Pixelanordnung nur einen Pixeltyp umfasst. Üblicherweise sind Pixel oder Pixelanordnungen in einer Schicht innerhalb des Substrats 110 einer photolithographischen Maske 100 angeordnet. Somit ist eine Pixeldichte PD(x,y,z) oder eine Pixelanordnungsdichte häufig eine zweidimensionale Dichte PD(x,y,z=const.). Es ist jedoch auch möglich, Pixel oder Pixelanordnungen als eine eindimensionale Struktur oder ein dreidimensionales Volumen PD(x,y,z) anzuordnen.
  • Die Verarbeitungseinheit 330 kann in Hardware, Software, Firmware oder einer Kombination derselben realisiert sein. Die Verarbeitungseinheit 330 kann ein Mikroprozessor, ein Universalprozessor, ein Spezialprozessor, eine zentrale Verarbeitungseinheit (central processing unit, CPU) oder dergleichen sein. Sie kann Teil eines Computersystems sein, beispielsweise des Computersystems, das die Vorrichtung 300 implementiert. Alternativ kann die Verarbeitungseinheit 330 als eine separate Einheit, wie etwa ein Personalcomputer (personal computer, PC), eine Arbeitsstation, ein Großrechner usw. (in der 3 nicht angegeben), eingerichtet sein.
  • Die Vorrichtung 330 kann die Schreibkarte(n) PD(x,y,z) 360 über die Verbindung 335 an die Fehlerkorrekturvorrichtung 370 ausgeben. 5 veranschaulicht ein schematisches Blockdiagramm einer beispielhaften Fehlerkorrekturvorrichtung 370, die dazu verwendbar ist, Fehler der photolithographischen Maske 100 aus 1 sowie eines Templates 200 aus der 2 zu korrigieren. Die Fehlerkorrekturvorrichtung 370 umfasst eine Spanneinrichtung 520, die in drei Dimensionen bewegbar sein kann. Die photolithographische Maske 100 kann unter Verwendung verschiedener Techniken, wie beispielsweise Einspannen, an der Spanneinrichtung 520 befestigt sein. Die photolithographische Maske 100 wird kopfüber an der Spanneinrichtung 520 befestigt, sodass ihre rückseitige Substratfläche 160 dem Objektiv 540 zugewandt ist, oder sie kann das Template 200 aus 2 sein. Für spezifische Bedingungen ist es auch denkbar, Pixelanordnungen durch die Vorderseite 150 der photolithographischen Maske 100 einzubringen.
  • Die Fehlerkorrekturvorrichtung 370 beinhaltet eine Pulslaserquelle 530, die einen Strahl oder einen Lichtstrahl 535 von Impulsen oder Lichtpulsen erzeugt. Die Laserquelle 530 erzeugt Lichtpulse von variabler Dauer. Die Pulsdauer kann so niedrig wie 10 fs (Femtosekunden) sein, aber auch kontinuierlich auf bis zu 100 ps (Pikosekunden) erhöht werden. Die Pulsenergie der durch die gepulste Laserquelle 530 erzeugten Lichtpulse kann auch über einen großen Bereich, der von 0,01 µJ pro Puls bis zu 10 mJ pro Puls reichen kann, eingestellt sein. Ferner umfasst die Wiederholungsrate der Lichtpulse den Bereich von 1 Hz bis 100 MHz. Die Lichtpulse können beispielsweise durch einen Ti:Sapphir-Laser erzeugt werden, der bei einer Wellenlänge von 800 nm arbeitet. Allerdings ist das Fehlerkorrekturverfahren nicht auf diesen Lasertyp begrenzt, grundsätzlich sind alle Lasertypen verwendbar, deren Photonenenergie kleiner als die Bandlücke des Substrats 110 der photolithographischen Maske 100 ist und die dazu in der Lage sind, Pulse mit Dauern im Femtosekundenbereich zu erzeugen. Somit sind beispielsweise auch ein Nd-YAG-Laser oder Farbstofflasersysteme anwendbar (in 4 nicht gezeigt).
  • Der Umlenkspiegel 590 richtet den gepulsten Laserstrahl 535 in das fokussierende Objektiv 540. Das Objektiv 540 fokussiert den gepulsten Laserstrahl 535 durch die rückseitige Substratfläche 160 in das Substrat 110 der photolithographischen Maske 100. Die numerische Apertur (numerical aperture, NA) der verwendeten Objektive 540 hängt von der vorbestimmten Fleckgröße des Brennpunkts und der Position des Brennpunkts innerhalb des Substrats 110 der photolithographischen Maske 100 in Bezug auf die rückseitige Substratfläche 160 ab. Die NA des Objektivs 540 kann bis zu 0,9 betragen, was zu einem Brennpunktfleckdurchmesser von im Wesentlichen 1 µm und einer maximalen Intensität von im Wesentlichen 1020 W/cm2 führt. Die NA des Objektivs 540 kann sogar größer als 0,9 sein; je höher jedoch die NA ist, umso mehr Einschränkungen gelten für die Gestaltung des Objektivs 540. Weiterhin ist es vorteilhaft, dass das Objektiv 540 einen großen Arbeitsabstand aufweist, sodass es die Möglichkeit bietet, auf die Mitte der Maske 100 oder sogar die Vorderseite 150 des Substrats 110 der photolithographischen Maske 100 zu fokussieren.
  • Die Fehlerkorrekturvorrichtung 370 beinhaltet zudem eine Steuerung 580 und ein Computersystem 560, welche die Verschiebung der zweiachsigen Positionierungseinrichtungen des Probenhalters 520 in der Ebene der x- und y-Richtung steuern. Die Steuerung 580 und das Computersystem 560 steuern auch die Verschiebung des Objektivs 540 senkrecht zur Ebene der Spanneinrichtung 520 (z-Richtung) über die einachsige Positionierungseinrichtung 550, an der das Objektiv 540 befestigt ist. Es sei darauf hingewiesen, dass in anderen Ausführungsformen der Fehlerkorrekturvorrichtung 370 die Spanneinrichtung 520 mit einem dreiachsigen Positionierungssystem ausgestattet sein kann, um die photolithographische Maske 100 in die Zielposition zu bewegen, und das Objektiv 540 feststehend sein kann oder die Spanneinrichtung 520 feststehend sein kann und das Objektiv 540 in drei Dimensionen bewegbar sein kann.
  • Das Computersystem 560 kann ein Mikroprozessor, ein Universalprozessor, ein Spezialprozessor, eine zentrale Verarbeitungseinheit (central processing unit, CPU) oder dergleichen sein. Es kann in der Steuerung 580 angeordnet sein oder eine separate Einheit sein, wie etwa ein Personalcomputer (personal computer, PC), eine Arbeitsstation, ein Mainframe usw. Das Computersystem 560 kann ferner eine Schnittstelle umfassen, die das Computersystem 560 über die Verbindung 335 mit der Vorrichtung 300 aus der 3 verbindet. Ferner kann das Computersystem 560 die Laserquelle 530 (in der 5 nicht gezeigt) steuern.
  • Ferner kann die Fehlerkorrekturvorrichtung 370 auch ein Betrachtungssystem bereitstellen, das eine Kamera 565 mit ladungsgekoppelter Vorrichtung (charge-coupled device, CCD) beinhaltet, die Licht von einer in der Spanneinrichtung 520 angeordneten Beleuchtungsquelle über den dichroitischen Spiegel 545 empfängt. Das Betrachtungssystem ermöglicht die Navigation der photolithographischen Maske 100 zur Zielposition. Ferner ist das Betrachtungssystem auch dazu verwendbar, die Ausbildung eines modifizierten Bereichs auf der rückseitigen Substratfläche 160 der photolithographischen Maske 100 durch den Pulslaserstrahl 535 der Lichtquelle 530 zu beobachten.
  • In einer in den 3 und 5 nicht dargestellten Konfiguration kann die Fehlerkorrekturvorrichtung 370 mit der Vorrichtung 300 kombiniert sein. Ist diese Konfiguration realisiert, so kann die Verarbeitungseinheit 330 der Vorrichtung 300 im Computersystem 560 der Fehlerkorrekturvorrichtung 370 implementiert sein.
  • Die 6 zeigt die Bestimmung der Pixelpositionen, die bisher zur Korrektur von CD-Fehlern (critical dimension errors) verwendet wurden. Im Detail zeigt 6 einen Schnitt der Photomaske 600. Im linken Teil des Schnitts der Maske 600 weist das Muster 130 einen CD-Fehler 140 auf. Im rechten Teil des Schnitts der Photomaske 600 weisen die Musterelemente 120 des Musters 130 einen zweiten CD-Fehler 145 auf, der einen anderen Abweichungsbetrag des Musters 130 von den vorbestimmten Layoutdaten zeigt. Zum Korrigieren der CD-Fehler 140, 145 werden CD-Daten aus einer CD-Uniformitätsmessung auf Waferebene genommen. Diese Daten werden zu einem dichteren Gitter interpoliert, um den gewünschten Dämpfungswert der Pixel, die direkt über der CD-Position mit dem Defekt 140, 145 eingebracht werden sollen, zu bestimmen. Zum Korrigieren des Defekts 140, 145 wird eine Anzahl von Pixelanordnungen 610 und 620 bestimmt. Beide Pixelanordnungen 610 und 620 besitzen eine Fläche von 50 × 50 µm2 und enthalten einen Pixeltyp in einer konstanten Dichte. Die Pixelanordnungen 610 und 620 können eine kleinere oder eine größere Fläche besitzen. Die Größe der Pixelanordnungen 610 und 620 der 6 ist ein Kompromiss zwischen Auflösung, Dateigröße und Berechnungsgeschwindigkeit. Es gibt keine grundsätzliche technische Beschränkung für die Verwendung von Pixelanordnungen 610, 620 mit einer kleineren Größe. Die Pixel in den Pixelanordnungen 610 sind dafür ausgelegt, im Wesentlichen die CD-Fehler 140, 145 zu korrigieren, und die Pixel in den Pixelanordnungen 620 sind dafür ausgelegt, im Wesentlichen die durch die Pixelanordnungen 610 in das Maskensubstrat 110 eingebrachte Übertragungsinhomogenität auszugleichen.
  • Ferner ist es möglich, Ausrichtungsfehler zu korrigieren, ohne einen zu großen Betrag an CD-Uniformität einzubringen. In diesem Fall tritt der Teil des Defekts nicht auf, der aus dem Schreiben von Pixeln in der Mitte des Maskensubstrats statt des Schreibens der Pixel in einer z-Richtung möglichst nahe in der Ebene, in der die Musterelemente angeordnet sind, entsteht. In einem alternativen Ansatz ist es auch denkbar, einen größeren Ausrichtungskorrekturbetrag zu akzeptieren, der anschließend durch Einbringen einer weiteren Schicht von Pixelanordnungen 620 korrigiert werden kann, um wieder eine gute CD-Uniformität herzustellen. Zum Korrigieren von Ausrichtungsfehlern können Pixel üblicherweise eine Höhe von 10 µm bis 15 µm aufweisen. Zum Korrigieren einer optischen Übertragungsvariation oder einer CD-Uniformität kann eine Pixelhöhe etwa 5 µm betragen.
  • Die Bestimmung der Pixelanordnungen 630 und 640 mit einer unterschiedlichen Pixelanordnungsdichte berücksichtigt die CD des Musterelements 120 direkt unter den Pixelanordnungen 630 und 640. Das aktuelle Verfahren der CD-Korrektur berücksichtigt jedoch nicht das Beleuchtungssetting des Beleuchtungssystems 350, das beim Beleuchten eines Wafers 470 durch die korrigierte photolithographische Maske 600 verwendet wird.
  • Die 7 stellt einen aus diesem Ansatz entstehenden Nachteil dar. 7 zeigt einen Querschnitt durch die photolithographische Maske 600. Wie vorstehend bereits erörtert, werden Pixel oder Pixelanordnungen 720 zur Korrektur von Ausrichtungsfehlern oder CD-Fehlern 140, 145 üblicherweise in die Mitte des Maskensubstrats 110 eingebracht, d. h. in einer Höhe von 3,175 µm von der Vorderseite 150 des Maskensubstrats 110. Dies verhindert ein Verbiegen des Maskensubstrats 110, wenn die Pixelanordnungen 720 in das Maskensubstrat 110 eingebracht oder geschrieben werden.
  • Bei Abwesenheit von Pixeln oder den Pixelanordnungen 720 im Maskensubstrat 110 werden die Musterelemente 120 durch die optische Strahlung 710 homogen beleuchtet. Das Vorhandensein von Pixeln oder Pixelanordnungen 720 im Maskensubstrat 110 ändert diese Situation. Im Folgenden wird der Effekt einer einzelnen Pixelanordnung 720 auf die Musterelemente 120, die auf der Vorderseite 150 des Substrats 110 angeordnet sind, abgeschätzt. Moderne Beleuchtungssysteme 370 verwenden Immersionslithographie, somit beläuft sich die numerische Apertur (numerical aperture, NA) für die Beleuchtung des Wafers 460 üblicherweise auf: NAW =1.35. Wenn angenommen wird, dass das Projektionsobjektiv 450 die Musterelementgröße um einen Faktor von vier reduziert, so beträgt die NA auf der Ebene der Photomaske 600:
    NAM = NAW /4 = 1.35/4 = 0.34. Der Winkel zwischen dem Randstrahl 770 und der optischen Achse 780 lässt sich mit dem Snelliusschen Brechungsgesetz bestimmen:
    NAM = nM · sinαM = nG ·sin αG, und unter der Annahme von nM =1 lässt sich der Winkel αG wie folgt formulieren: αG = arcsin[(sin αM) / nQz] = arcsin[NAG / nQz] . Wie in der 7 gezeigt, beläuft sich der Brechungsindex eines Quarzsubstrats 110 der Photomaske 600 auf: nQz =1.5608. Basierend auf diesen Überlegungen lässt sich ein Abstand DS 740 schätzen, innerhalb dessen eine Pixelanordnung 720 die lokale optische Übertragung auf der Vorderseite 150 des Substrats 110 beeinflusst. Der Durchmesser 740 lässt sich folgendermaßen berechnen:
    DS =2·H·tanαG = 2·H·tan[arcsin(NAG /nQz)] = 1406µm . Der Abstand 740 wird auch Übertragungseffektgröße (spillover size) DS genannt. Wenn angenommen wird, dass die einfallende optische Strahlung 710 eine Kreisform aufweist, so lässt sich der Bereich bzw. Übertragungseffektbereich schätzen, der von der Pixelanordnung beeinflusst wird: AS = π· (DS /2)2 = 1.553mm2.
  • Die 8 veranschaulicht die vorstehenden Überlegungen in schematischer Weise. 8 zeigt einen Querschnitt eines Teils der Photomaske 600. Die Maske 600 weist auf ihrer Vorderseite 150 die Musterelemente 118, 120 und 122 auf. In der Mitte des Maskensubstrats 110 wurden die Pixelanordnungen 810, 820 und 830 eingebracht. Die Pixelanordnungen 810, 820 und 830 besitzen seitliche Abmessungen von 50 × 50 µm2. Jede Pixelanordnung 810, 820 und 830 enthält einen Pixeltyp. Die Pixelanordnungen 810, 820 und 830 können denselben Pixeltyp enthalten oder unterschiedliche Pixeltypen umfassen. Im Zusammenhang mit der 7 wird beschrieben, dass eine Pixelanordnung 810, 820, 830 die auf diese Pixelanordnung 810, 820, 830 einfallende optische Strahlung über einen Durchmesser DS ≈ 1400µm bzw. einen Bereich AS ≈ 1.6mm2 beeinflusst. So erzeugt in dem in 8 dargestellten Beispiel die Pixelanordnung 810 eine optische Dämpfung durch Streuen innerhalb des durch das Bezugszeichen 840 symbolisierten Durchmessers. Somit beeinflusst die Pixelanordnung 810 die Bilderzeugung der Musterelemente 118 und 120 und somit die CD dieser Musterelemente. Die auf die Pixelanordnung 820 einfallende optische Strahlung der aktinischen Wellenlänge dämpft die optische Strahlung innerhalb des durch das Bezugszeichen 850 symbolisierten Durchmessers. Im dargestellten Beispiel hat die Pixelanordnung 820 einen Einfluss auf die CD der Musterelemente 118, 120 und 122. Ferner hat die Pixelanordnung 830 einen Effekt auf die CD der Musterelemente 120 und 122.
  • Das heißt, dass das Musterelement 120 optische Strahlung empfängt, die einem Mittelungseffekt der Pixelanordnungen 810, 820 und 830 ausgesetzt ist. Im Stand der Technik wurde der Effekt der Pixelanordnung 820 nur bei der Bilderzeugung des Musterelements 120 betrachtet. In ähnlicher Weise wurde der Effekt der Dämpfung der Pixelanordnung 810 und 830 auf das Musterelement 118 bzw. 122 beschränkt.
  • Das Diagramm 900 der 9 zeigt schematisch die Abbildung von Musterelementen 120 eines Musters einer photolithographischen Maske 600, die Pixelanordnungen 950, 960 in der Mitte des Maskensubstrats 110 aufweist. Die 9 zeigt die Blende 420 mit der Öffnung 425, die in die Pupillenebene 910 des Beleuchtungssystems 350 projiziert ist. In dem in 9 dargestellten Beispiel weist das Beleuchtungssystem 350 erneut ein annulares Beleuchtungssetting mit einer Öffnung 425 auf. Die Ebene 750 stellt die Mitte des Maskensubstrats 110 dar. Die durch die Öffnung 425 der Blende 420 gelangende optische Strahlung 920 projiziert einen Beleuchtungsbereich 925 auf die Ebene 750, in der sich die Pixelanordnungen 950 und 960 befinden. Die optische Strahlung 920 beleuchtet die Musterelemente 120, die auf der Vorderseite 150 der photolithographischen Maske 600 angeordnet sind. Die Pixelanordnungen 950, die innerhalb des projizierten Bereichs 925 der Blende 420 sind, tragen zu dem Bild eines Musterelements 120 bei, das durch die optische Strahlung 920 erzeugt wird. Die Pixelanordnungen 960, die außerhalb des projizierten Bereichs 925 sind, sehen die durch die Blende 420 ausgebildete optische Strahlung 920 im Wesentlichen nicht und tragen somit nicht zur Abbildung des betreffenden Musterelements 120 bei. Zur Verbesserung der CD-Variation, die durch das Einbringen einer Schreibkarte PD(x,y,z) zur Fehlerkorrektur in eine photolithographische Maske 600 eingebracht wird, ist es notwendig, den Übertragungseffekt einer Pixelanordnung 950 zu berücksichtigen.
  • Aus der 9 ist auch klar ersichtlich, dass sowohl die Dichte der Pixelanordnungen 950, 960 als auch die Verteilung der optischen Strahlung 920, die zum Beleuchten der photolithographischen Maske 600 verwendet wird, einen Effekt auf die lokal erzeugte Dosis der optischen Strahlung und somit auf die CD-Variation des durch eine korrigierte photolithographische Maske abgebildeten Musterelements 120 haben. Somit ist es notwendig, die Wirkung des Beleuchtungssettings des Beleuchtungssystems 350 beim Bestimmen einer CD-Variation, die eine Korrektur der Defekte 140, 145 durch die Einbringung von Pixelanordnungen 950, 960 in die photolithographischen Masken 100, 600 bewirkt, zu berücksichtigen.
  • Für die Korrektur der CD-Fehlerkarte CDE(x,y) können unterschiedliche Näherungen verwendet werden. In einer ersten Näherung wird angenommen, dass die Pixel als kugelförmige Streuzentren für die auf die Pixel einfallende optische Strahlung 920 wirken. Dieses Verhältnis ist in den vorstehend angegebenen Gleichungen (14) und (15) ausgedrückt. In einem zweiten Ansatz wird diese Einschränkung des Streuverhaltens der Pixel aufgehoben. Wie bereits vorstehend dargelegt, hängt der Streueffekt eines Pixels oder einer Pixelanordnung vom Winkel der auf das Pixel oder die Pixelanordnung einfallenden optischen Strahlung ab. Dieser Effekt wird durch eine nicht kugelförmige Gestalt eines Pixels hervorgerufen. Somit wird im allgemeinen Fall eine Variation des Winkels der auf den projizierten Bereich 925 einfallenden optischen Strahlung innerhalb des projizierten Bereichs 925 bei den Effekten der Pixel betrachtet. Die Gleichungen (7) und (11) beschreiben den allgemeinen Fall.
  • Das Diagramm 1000 der 10 kombiniert die Effekte der 8 und 9. Die 10 stellt in schematischer Weise einen Schnitt einer Photomaske 600 dar, die auf ihrer Vorderseite 150 die Musterelemente 118, 120, 122, 124, 126, 128, 132, 134 aufweist. Die Pixelanordnungen 950 und 960 sind in der Mitte des Maskensubstrats 110 in einer Schicht 750 angeordnet. Die Pixelanordnungen 950 und 960 sind inhomogen in der Schicht 750 verteilt. Eine einzelne Pixelanordnung 952 kann einen Effekt auf mehrere Musterelemente 118, 120 und 122 haben, wie durch die Pfeile 740 in der 10 dargestellt ist. Ferner wird die effektive Dämpfung eines spezifischen Musterelements, das das Musterelement 118 in der 10 ist, durch die Anzahl an Pixelanordnungen 950, die im projizierten Bereich 925 beleuchtet werden, und die Winkelverteilung, unter der die optische Strahlung auf die Pixelanordnung 950 einfällt, bestimmt. Die Anzahl der Pixelanordnungen 950 innerhalb des projizierten Bereichs 925 hängt sowohl von der lokalen Dichte der Pixelanordnungen 950 als auch vom Beleuchtungssetting des Beleuchtungssystems 350 ab.
  • Die 11 zeigt den Effekt einer herkömmlichen Schreibkarte PD(x,y,z), die in der Mitte eines Substrats 110 einer photolithographischen Maske 600 PD(x,y,z=d/2) eingebracht ist und eine optische Übertragungsvariation ΔT(x,y) 1100 des Maskensubstrats 110 bewirkt. Die Schreibkarte PD(x,y,z) kann einen einzigen Typ von Pixelanordnungen 610 oder 620 enthalten oder Pixelanordnungen 610, 620 mit zwei oder mehr Pixeltypen umfassen. In dem in der 11 dargestellten Beispiel umfasst die Schreibkarte ausschließlich die Pixelanordnungen 620, die eine lokale Uneinheitlichkeit der optischen Übertragung des Substrats 610 der Maske 600 korrigieren, d. h. die CD-Fehlerkorrekturkarte CDE(x,y). Die maximal zulässige lokale Variation oder Reduktion der optischen Übertragung ΔTmax des Maskensubstrats 610, die durch die Pixelanordnungen 620 der Schreibkarte PD(x,y,z=d/2) bewirkt wird, beträgt 6 %, wie durch die Graustufenskala auf der rechten Seite der 11 angezeigt ist. Die optische Übertragungsvariation wird anhand der Gleichung (12) berechnet.
  • Die 12 veranschaulicht die Schreibkarte aus 11, wie sie von einem Wafer „gesehen“ wird, der vom Beleuchtungssystem 350 durch die photolithographische Maske 600 mit der Schreibkarte oder Pixeldichte PD(x,y,z) beleuchtet wird. Die effektive Dämpfung ΔTC(x,y) 1200 auf der Vorderseite 150 der photolithographischen Maske 600 unterscheidet sich von der durch die Schreibkarte vorbestimmten Dämpfung ΔT(x,y) 1100. Insbesondere ist das Dämpfungsmuster der Schreibkarte ΔTC(x,y) 1200 auf der Ebene 150 der Maske 600, in der die Musterelemente 120 des Musters angeordnet sind, geglättet. So stellt die durch die Schreibkarte auf der Vorderseite 150 der Maske 600 erzeugte optische Dämpfung ΔTC(x,y) 1200 die intendierte Dämpfung oder die durch die Schreibkarte vorbestimmte optische Dämpfung ΔT(x,y) 1100 nicht vollständig dar. Wie aus 12 ersichtlich ist, erzeugen die Pixelanordnungen 620 eine geglättete oder gemittelte Schreibkarte ΔTC(x,y) 1200 auf der Vorderseite 150 der Maske 600 im Vergleich zur berechneten Schreibkarte ΔT(x,y) 1100 aus 11.
  • Der CD-Uniformitätsbereich, der durch die von der Schreibkarte PD(x,y,z) verursachte CD-Fehlerkorrekturkarte CDC(x,y) korrigiert werden soll, beträgt im spezifischen Beispiel von 11 etwa 6 nm und ist somit recht hoch. Ferner liegt die Rate der CD-Korrektur (critical dimension correction, CDC) im Bereich von 0,5 nm CDC-Änderung pro 1% optische Dämpfung der auf das Maskensubstrat 110 einfallenden optischen Strahlung. Im spezifischen Beispiel von 11 erfordert die Korrektur der CD-Variation die Einbringung mehrerer CDC-Schichten in das Maskensubstrat 110. Somit kann das Schreiben mehrerer eng beabstandeter Schichten von Pixelanordnungen 620 Ausrichtungsfehler hervorrufen. Dieser Effekt sollte beim Bestimmen der Schreibkarte PD(x,y,z) berücksichtigt werden.
  • Die 13 zeigt das Ergebnis des Einbringens der Schreibkarte PD(x,y,z) in das Substrat 110 einer photolithographischen Maske 600. Wie aus 13 ersichtlich ist, führt der Glättungs- oder Mittelungseffekt der Schreibkarte, der beim Beleuchten der photolithographischen Maske 600 mit dem Beleuchtungssystem 350 durch die Pixelanordnungen 620 hervorgerufen wird, zu einer Variation der in der Schreibkarte dargestellten bestimmten optischen Dämpfung ΔT(x,y) 1100 in Bezug auf die gemessene optische Dämpfung Tc(x,y) 1200 über die Photomaske 600. Die gemessene optische Dämpfung TC(x,y) 1200 ist nicht gleich der Zieldämpfung T(x,y) 1100 in 13. Ferner ist die Verteilungsbreite eher hoch und die Neigung ist nicht korrekt. Beide Feststellungen weisen auf Glättungseffekte hin, die durch eine Faltung der Beleuchtungspixelübertragung und der Schreibkarte PD(x,y,z) hervorgerufen werden, wie in der Gleichung (9) angegeben ist.
  • So kann die effektive Schreibkarte PDC(x,y,z) aus 12, die auf der Vorderseite 150 der Photomaske 600 auf die Musterelemente 120 wirkt, als eine Faltung der Schreibkarte PD(x,y,z) aus 11 und der Effekt der Pixelanordnungen 620, 630 im Substrat des Maskensubstrats 110 betrachtet werden. Dieses Verhältnis ist in der 14 dargestellt. Eine Funktion f der Schreibkarte PD(x,y,z) wird mit der Aktion g der Pixelanordnungen 620, 630 (PD(x,y,z) -> PDc(x,y,z)) gefaltet. Das Ergebnis ist eine effektive Funktion y, die durch die effektive Schreibkarte PDc(x,y,z) der 12 dargestellt ist.
  • Wie im Zusammenhang mit 10 erörtert, bedeckt die optische Strahlung 920 einen projizierten Bereich 925 in der Schicht 750, in der sich die Pixelanordnungen 610 und 620 befinden, der etwa einen Durchmesser von DS ≈ 1400µm und eine Fläche AS ≈ 1.6m2 aufweist. Wie im Zusammenhang mit 6 beschrieben, weist eine Pixelanordnung 610, 620 üblicherweise eine Fläche von 50 × 50 µm2 auf. Wenn angenommen wird, dass der Abstand zwischen unterschiedlichen Pixelanordnungen 610, 620 dem Durchmesser der Pixelanordnung 610, 620 entspricht, so tragen mehr als 100 Pixelanordnungen 610, 620 zur optischen Intensität an der Position eines Pixelelement 120 bei. Potenziell kann jede Pixelanordnung 610, 620 ihren eigenen Pixeltyp aufweisen, sodass jede einzelne Pixelanordnung ihre eigenen Streueigenschaften aufweisen kann. Ferner trifft die durch die Öffnung 425 der Blende 420 gelangende optische Strahlung üblicherweise mit unterschiedlichen Winkeln in Bezug auf die optische Achse des Beleuchtungssystems 350 auf die Pixelanordnungen.
  • Um eine optimale Schreibkarte zu erzeugen, sollte das Beleuchtungssetting des Beleuchtungssystems 350 beim Bestimmen der Positionen und des Typs (der Typen) von Pixeln, die in das Maskensubstrat 110 eingebracht werden sollen, betrachtet werden. Das Beleuchtungssetting ist durch die ersten Parameter des Beleuchtungssystems 350 dargestellt. Beim Bestimmen der Positionen und/oder des Typs der Pixel sollte auch eine Ziel-CD ΔCDC(x,y) der Photomaske 600 berücksichtigt werden. In einem ersten Ansatz wird die optimale Schreibkarte durch iteratives Variieren der optischen Dämpfung jeder Pixelanordnung 950, die durch die optische Strahlung 920 getroffen wird, erzeugt, um die beste Korrekturleistung ΔCD(x,y) zu erhalten. Alternativ oder zusätzlich kann auch die Dichte der Pixelanordnungen 620 variiert werden, um eine optimierte Schreibkarte zu bestimmen.
  • In einem zweiten Ansatz kann die optimale Schreibkarte PD(x,y,z) aus der Gleichung (11) erhalten werden. Um die optische Schreibkarte zu erhalten, wird eine Entfaltung für die Faltung der Beleuchtungspixelübertragung IPT(x,y) und der Schreibkarte PD(x,y,z) erzeugt, wie in Gleichung (9) angegeben. Wie durch Gleichung (11) angegeben, kann die optische Schreibkarte PD(x,y,z) durch eine inverse Fouriertransformation des Quotienten der Fouriertransformation der CD-Variation und der Fouriertransformation der Beleuchtungspixelübertragung oder des Faltungskerns IPT(x,y) bestimmt werden. Der Faltungskern IPT(x,y) kann aus Gleichung (16) erhalten werden.
  • Der Entfaltungskern IPT(x,y) der Beleuchtung oder des Beleuchtungssettings kann auf zwei unterschiedlichen Wegen bestimmt werden. In einem ersten Ansatz kann eine Vielzahl von Pixeln oder Pixelanordnungen 610, 620 in ein Substrat 110 einer Referenzmaske oder einer Kalibrierungsmaske geschrieben werden. Die Referenzmaske wird dann zum Beleuchten verschiedener Wafer 460 unter Verwendung verschiedener Beleuchtungssettings verwendet. Vorzugsweise werden die verschiedenen Wafer unter Verwendung eines Monopolsettings und systematischem Variieren des Winkels des Monopolsettings in Bezug auf die optische Achse beleuchtet. Durch Analysieren der CD-Variation der verschiedenen Wafer 460 können die Entfaltungskerne verschiedener Beleuchtungssettings bestimmt werden.
  • In einem zweiten Ansatz zum Bestimmen des Entfaltungskerns IPT(x,y) des Beleuchtungssettings wird die CD-Änderung ΔCD(x,y) einer Vielzahl von Pixeln durch Messen eines Wafers 460 vor und nach der Einbringung der Pixel in das Substrat 110 einer Referenz- oder einer Kalibrierungsmaske 100, 600 bestimmt. Bei Verwendung des zweiten Ansatzes ist es vorteilhaft, wenn die Referenzmaske Pixel oder die Pixelanordnungen 610, 620 mit einer stark variierenden Pixeldichte in der x- und/oder der y-Richtung aufweist.
  • Die 15 symbolisiert den Entfaltungsprozess. Die Entfaltung ist ein Prozess, der den Effekt der Faltung rückgängig macht. Eine Funktion f, die den Effekt der Schreibkarte PDc(x,y,z) 1100 beschreibt, wird mit der Aktion g der Pixelanordnungen 610, 620 entfaltet. Dies führt zu einer modifizierten Funktion f, die eine optimierte Schreibkarte erzeugt. Die optimierte Schreibkarte PD(x,y,z) ist eine entfaltete Schreibkarte PDc(x,y,z), die den intendierten Effekt der Schreibkarte PD(x,y,z) oder die optische Zieldämpfungskarte ΔT(x,y) in der Ebene 150 des Musters 130 der photolithographischen Maske erzeugt. 16 stellt dieses Verhältnis dar. Beginnend mit der entfalteten Funktion f erzeugt die Aktion der Funktion g, d. h. der Effekt der Pixelanordnungen 610, 620 in der Ebene 750, die Funktion f, d. h. die intendierte oder Zielschreibkarte PD(x,y,z) in der Ebene 150 des Musters 130 der photolithographischen Maske 600.
  • Die 17 zeigt den Effekt der optischen Übertragungsvariation ΔT(x,y) 1700 der entfalteten Schreibkarte PDC(x,y,z) der intendierten oder Zielschreibkarte PD(x,y,z) für die 11. Wie aus der 17 klar ersichtlich ist, enthält die optische Variation ΔT(x,y) 1700, die der Zielschreibkarte PD(x,y,z) entspricht, deutlich kleinere Strukturen als die optische Übertragungsvariation ΔT(x,y) 1100 aus der 11. Dieser Unterschied entsteht durch Betrachten des Effekts einer spezifischen optischen Strahlungsverteilung auf die Pixelanordnungen 950 innerhalb des projizierten Bereichs 925 auf das Bild jedes Musterelements 120 beim Bestimmen der zur optischen Übertragungsvariation ΔTD(x,y) 1700 aus 17 gehörenden Schreibkarte PD(x,y,z). In diesem Sinne ist die entfaltete Schreibkarte PD(x,y,z) eine optimierte Schreibkarte zum Korrigieren eines Defekts (mehrerer Defekte) 140 der photolithographischen Maske 100. Die durch die entfaltete oder optimierte Schreibkarte PD(x,y,z) bestimmten Pixelanordnungen 620 werden in das Substrat 110 der photolithographischen Maske 600 eingebracht oder geschrieben, um den (die) Fehler 140 zu korrigieren.
  • Der Fachmann wird erkennen, dass eine entfaltete oder optimierte Schreibkarte PD(x,y,z) 1700 für alle Typen von Defekten photolithographischer Masken 100 bestimmt werden kann. Insbesondere kann eine entfaltete Schreibkarte PDD(x,y,z) bestimmt werden, die zwei oder mehr unterschiedliche Typen von Fehlern der photolithographischen Maske 100 korrigiert.
  • Die entfaltete Schreibkarte PD(x,y,z=const.) umfasst lediglich in einer Schicht 750 geschriebene Pixelanordnungen 620. Weitere Verbesserungen der optimierten Schreibkarte PD(x,y,z) können durch Einbringen von Pixelanordnungen 620 in zwei oder mehr Schichten erhalten werden.
  • Die 18 veranschaulicht die optische Dämpfungs- oder optische Übertragungsvariation ΔT(x,y) 1800, die auf der Ebene 150 des Musters 130 der photolithographischen Maske 600 erzeugt wird, wenn Pixelanordnungen 620 gemäß der optimierten Schreibkarte PD(x,y,z) in das Maskensubstrat 110 eingebracht werden. Die durch das Beleuchtungssetting des Beleuchtungssystems 350 räumlich gefilterte optische Strahlung 920 glättet und mittelt die feinen Pixelanordnungen 620 der optimierten Schreibkarte PD(x,y,z) zur Zieldämpfungs- oder optischen Übertragungsvariation ΔT(x,y) 1100 der 11 auf der Vorderseite 150 des Substrats 110 der photolithographischen Maske 600. Die optische Dämpfungs- oder optische Übertragungsvariation ΔT(x,y) 1800 der 18 reproduziert die Zieldämpfungs- oder optische Übertragungsvariation ΔT(x,y) 1100 der 11 auf nahe perfekte Weise.
  • Die 19 zeigt das Ergebnis des Einbringens der optimierten Schreibkarte PD(x,y,z) in das Substrat 110 einer photolithographischen Maske 600. Im Vergleich zu der 13 hat die auf der optimierten Schreibkarte PD(x,y,z) basierende Fehlerkorrektur die Abstimmung zwischen der angestrebten oder intendierten optischen Dämpfungsvariation oder optischen Übertragungsvariation über die photolithographische Maske 600 und der gemessenen optischen Dämpfungsvariation oder Übertragungsvariation erheblich verbessert.
  • Die 20 stellt ein Ablaufdiagramm 2000 des erfindungsgemäßen Verfahrens dar. Das Verfahren beginnt bei 2010. In einem ersten Schritt 2020 werden Fehlerdaten erhalten, die einem oder mehreren Fehlern 140, 145 einer photolithographischen Maske 100, 600 zugeordnet sind. Die Fehlerdaten können von einem Metrologiegerät 320 erhalten werden. Im nächsten Schritt 2030 werden erste Parameter eines Beleuchtungssystems 350 erhalten. Die ersten Parameter bestimmen eine Beleuchtung der photolithographischen Maske 100, 600 durch das Beleuchtungssystem 350, wenn ein Wafer 460 durch Beleuchten mit dem Beleuchtungssystem 350 unter Verwendung der photolithographischen Maske 100, 600 verarbeitet wird. Die ersten Parameter können vom Beleuchtungssystem 350 erhalten werden. Dann werden in Schritt 2040 basierend auf den Fehlerdaten und den ersten Parametern die Positionen der Vielzahl von Pixeln bestimmt. Das Verfahren endet bei Schritt 2050.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 9658527 B2 [0001, 0006, 0083, 0128]

Claims (20)

  1. Verfahren zum Bestimmen von Positionen einer Vielzahl von Pixeln, die mit Hilfe eines Lasersystems (370) in ein Substrat (110) einer photolithographischen Maske (100, 600) eingebracht werden sollen, wobei die Pixel dazu dienen, einen oder mehrere Fehler (140,145) der phototlithographischen Maske (100, 600) zumindest teilweise zu korrigieren, wobei das Verfahren umfasst: a. Erhalten von Fehlerdaten, die dem einen bzw. den mehreren Fehlern (140, 145) zugeordnet sind; b. Erhalten erster Parameter eines Beleuchtungssystems (350), wobei die ersten Parameter eine Beleuchtung der photolithographischen Maske (100, 600) des Beleuchtungssystems (350) beim Verarbeiten eines Wafers (460) durch Beleuchten mit dem Beleuchtungssystem (350) unter Verwendung der photolithographischen Maske (600) bestimmen; und c. Bestimmen der Positionen der Vielzahl von Pixeln basierend auf den Fehlerdaten und den ersten Parametern.
  2. Verfahren nach Anspruch 1, wobei der eine bzw. die mehreren Fehler (140,145) zumindest einen der folgenden umfassen: zumindest einen Ausrichtungsfehler eines Musters (120) der photolithographischen Maske (100), zumindest einen optischen Übertragungsfehler (140,145) des Substrats (110) der photolithographischen Maske (100, 600), zumindest einen Planaritätsfehler des Substrats (110) der photolithographischen Maske (100, 600) und zumindest einen Überlagerungsfehler.
  3. Verfahren nach einem der vorangehenden Ansprüche, wobei Schritt c. das Bestimmen der Positionen der Vielzahl von Pixeln basierend auf den Fehlerdaten, den ersten Parametern und zweiten Parametern, die einer durch das Beleuchtungssystem (350) ausgeführten linearen Abbildungstransformation zugeordnet sind, umfasst.
  4. Verfahren nach einem der vorangehenden Ansprüche, wobei die ersten Parameter ein axiales Beleuchtungssetting, ein annulares Beleuchtungssetting, ein Dipolbeleuchtungssetting, ein Quadrupolbeleuchtungssetting, ein Disarbeleuchtungssetting, ein Quasarbeleuchtungssetting und/oder ein Quellmaskenoptimierungs (Source Mask Optimization, SMO)-Setting umfassen.
  5. Verfahren nach einem der vorangehenden Ansprüche, wobei das Beleuchtungssystem (350) zumindest eine Blende (420), die die Beleuchtung der photolithographischen Maske (100, 600) bestimmt, umfasst.
  6. Verfahren nach einem der vorangehenden Ansprüche, wobei das Erhalten der ersten Parameter Folgendes umfasst: Entfalten einer Fehlerkorrekturkarte mit einem Entfaltungskern, umfassend eine Beleuchtungspixelübertragung.
  7. Verfahren nach einem der Ansprüche 1-5, wobei das Erhalten der ersten Parameter Folgendes umfasst: Entfalten der Fehlerkorrekturkarte mit dem Entfaltungskern, umfassend die Beleuchtung des Beleuchtungssystems (350).
  8. Verfahren nach Anspruch 6 oder 7, wobei das Bestimmen der Beleuchtungspixelübertragung umfasst: Entfalten der Fehlerkorrekturkarte mit der Pixeldichte.
  9. Verfahren nach Anspruch 6-8, wobei das Bestimmen des Entfaltungskerns der Beleuchtung umfasst: a. Erzeugen einer Referenzmaske mit einer Vielzahl von Pixeln, die mit einer unterschiedlichen Pixeldichte angeordnet sind; b. Beleuchten verschiedener Wafer (460) mit der Referenzmaske unter Verwendung eines Monopolsettings, wobei jeder Wafer (460) unter einem unterschiedlichen Winkel des Monopolsettings in Bezug auf eine optische Achse der Referenzmaske beleuchtet wird; und c. Bestimmen des Entfaltungskerns der Beleuchtung aus einer Variation der kritischen Dimension der verschiedenen beleuchteten Wafer.
  10. Verfahren nach Anspruch 6-8, wobei das Bestimmen des Entfaltungskerns der Beleuchtung umfasst: a. Beleuchten eines Wafers (460) durch eine photolithographische Maske (100, 600) mit einer Beleuchtung des Beleuchtungssystems (350), wobei die photolithographische Maske (100, 600) nicht die Vielzahl von Pixeln aufweist, und Bestimmen der kritischen Dimension des Wafers (460); b. Schreiben einer Pixeldichte in das Substrat (110) der photolithographischen Maske (100, 600); c. Beleuchten des Wafers (460) durch die photolithographische Maske (100, 600) mit der Beleuchtung des Beleuchtungssystems (350) und Bestimmen einer kritischen Dimension; d. Bestimmen einer Variation der kritischen Dimension durch Subtrahieren der kritischen Dimension aus Schritt a. von der kritischen Dimension aus Schritt c. und e. Bestimmen des Entfaltungskerns durch Entfalten der Variation der kritischen Dimension mit der geschriebenen Pixeldichte.
  11. Verfahren nach einem der vorangehenden Ansprüche, ferner umfassend den Schritt des Bestimmens eines Typs jedes der Vielzahl von Pixeln.
  12. Verfahren nach dem vorangehenden Anspruch, ferner umfassend den Schritt des Bestimmens von Laserstrahlparametern des Lasersystems (370) basierend auf den bestimmten Positionen und dem Typ jedes der Vielzahl von Pixeln.
  13. Verfahren nach einem der vorangehenden Ansprüche, ferner umfassend den Schritt des Erzeugens einer Schreibkarte basierend auf den Positionen und dem Typ der bestimmten Pixel, wobei die Schreibkarte eine Verteilung der Vielzahl von Pixeln, die in das Substrat (110) der photolithographischen Maske (100, 600) eingebracht werden sollen, beschreibt.
  14. Verfahren nach dem vorangehenden Anspruch, wobei die Schreibkarte einen Mittelungseffekt einer Beleuchtung der photolithographischen Maske (100, 600) durch das Beleuchtungssystem (350) mit den ersten und/oder den zweiten Parametern auf die Vielzahl von Pixeln beim Verarbeiten des Wafers (460) ausgleicht.
  15. Verfahren nach dem vorangehenden Anspruch, ferner umfassend den Schritt des Erhöhens einer Dichte von Pixelanordnungen (610, 620) in der Schreibkarte und/oder des Reduzierens einer Pixeldichte innerhalb der Pixelanordnungen (610, 620) in der Schreibkarte zum Ausgleichen des Mittelungseffekts der Beleuchtung des Beleuchtungssystems (350).
  16. Computerprogramm, das Anweisungen umfasst, die ein Computersystem veranlassen, die Schritte nach einem der Ansprüche 1 bis 15 auszuführen.
  17. Vorrichtung (300) zum Bestimmen von Positionen einer Vielzahl von Pixeln, die mit Hilfe eines Lasersystems (370) in ein Substrat (110) einer photolithographischen Maske (100, 600) eingebracht werden sollen, wobei die Pixel dazu dienen, einen oder mehrere Fehler (140, 145) der phototlithographischen Maske (100, 600) zumindest teilweise zu korrigieren, wobei die Vorrichtung (300) umfasst: a. Mittel (310) zum Erhalten von Fehlerdaten, die dem einen bzw. den mehreren Fehlern (140, 145) zugeordnet sind; b. Mittel (320) zum Erhalten erster Parameter eines Beleuchtungssystems (350), wobei die ersten Parameter eine Beleuchtung der photolithographischen Maske (100, 600) durch das Beleuchtungssystem (350) beim Verarbeiten eines Wafers (460) durch Beleuchten mit dem Beleuchtungssystem (350) unter Verwendung der photolithographische Maske (100, 600) bestimmen; und c. Mittel (330) zum Bestimmen der Positionen der Vielzahl von Pixeln basierend auf den Fehlerdaten und den ersten Parametern.
  18. Vorrichtung (300) nach Anspruch 17, wobei die Mittel (310) zum Erhalten von Fehlerdaten Mittel (340) zum Messen der Fehlerdaten der photolithographischen Maske (100, 600) umfassen.
  19. Vorrichtung (300) nach Anspruch 17 oder 18, ferner umfassend Mittel zum Bestimmen einer Variation der optischen Übertragung über die photolithographische Maske (100, 600).
  20. Vorrichtung (300) nach Anspruch 17-19, wobei die Vorrichtung (300) dazu ausgelegt ist, die Schritte nach einem der Ansprüche 1 bis 15 auszuführen.
DE102018218129.2A 2018-10-23 2018-10-23 Verfahren zum Bestimmen von Positionen einer Vielzahl von Pixeln, die in ein Substrat einer photolithographischen Maske eingebracht werden sollen Active DE102018218129B4 (de)

Priority Applications (6)

Application Number Priority Date Filing Date Title
DE102018218129.2A DE102018218129B4 (de) 2018-10-23 2018-10-23 Verfahren zum Bestimmen von Positionen einer Vielzahl von Pixeln, die in ein Substrat einer photolithographischen Maske eingebracht werden sollen
TW108133861A TWI768243B (zh) 2018-10-23 2019-09-19 確定用於微影光罩基材之複數個像素的位置之方法和裝置
US16/589,515 US11366383B2 (en) 2018-10-23 2019-10-01 Method and apparatus for determining positions of a plurality of pixels to be introduced in a substrate of a photolithographic mask
CN201911010591.XA CN111090216B (zh) 2018-10-23 2019-10-22 确定引入光刻掩模基板中的多个像素的位置的方法和装置
KR1020190132238A KR20200047381A (ko) 2018-10-23 2019-10-23 포토리소그래피 마스크의 기판에 도입될 복수의 픽셀의 위치를 결정하기 위한 방법 및 장치
KR1020220099191A KR20220115797A (ko) 2018-10-23 2022-08-09 포토리소그래피 마스크의 기판에 도입될 복수의 픽셀의 위치를 결정하기 위한 방법 및 장치

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102018218129.2A DE102018218129B4 (de) 2018-10-23 2018-10-23 Verfahren zum Bestimmen von Positionen einer Vielzahl von Pixeln, die in ein Substrat einer photolithographischen Maske eingebracht werden sollen

Publications (2)

Publication Number Publication Date
DE102018218129A1 true DE102018218129A1 (de) 2020-04-23
DE102018218129B4 DE102018218129B4 (de) 2023-10-12

Family

ID=70280486

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102018218129.2A Active DE102018218129B4 (de) 2018-10-23 2018-10-23 Verfahren zum Bestimmen von Positionen einer Vielzahl von Pixeln, die in ein Substrat einer photolithographischen Maske eingebracht werden sollen

Country Status (5)

Country Link
US (1) US11366383B2 (de)
KR (2) KR20200047381A (de)
CN (1) CN111090216B (de)
DE (1) DE102018218129B4 (de)
TW (1) TWI768243B (de)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111983667B (zh) * 2020-07-13 2023-04-28 中国辐射防护研究院 一种基于闪烁体的微剂量测量方法及测量装置
EP4302156A1 (de) * 2021-03-01 2024-01-10 Carl Zeiss SMS Ltd. Verfahren und vorrichtung zur optimierung einer fehlerkorrektur für ein optisches element in einem lithographischen verfahren

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102011083774A1 (de) * 2010-10-04 2012-04-05 Carl Zeiss Sms Ltd. Verfahren und Vorrichtung zum Bestimmen von Laser korrigierenden Tool-Parametern
US9658527B2 (en) 2010-07-12 2017-05-23 Carl Zeiss Sms Ltd. Correction of errors of a photolithographic mask using a joint optimization process
DE102017212848A1 (de) * 2017-07-26 2019-01-31 Carl Zeiss Sms Ltd. Verfahren und Vorrichtung zum Kompensieren von Defekten eines Maskenrohlings

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4351928B2 (ja) * 2004-02-23 2009-10-28 株式会社東芝 マスクデータの補正方法、フォトマスクの製造方法及びマスクデータの補正プログラム
EP1619556A1 (de) * 2004-07-20 2006-01-25 Interuniversitair Micro-Elektronica Centrum Verfahren und Masken zur Reduzierung der Auswirkung von Streulicht in der optischen Lithographie
KR100673014B1 (ko) 2005-10-28 2007-01-24 삼성전자주식회사 포토 마스크의 제조 방법
KR100809710B1 (ko) 2006-11-02 2008-03-06 삼성전자주식회사 보상 마스크, 그 마스크를 이용한 복합 광학 시스템, 및 그마스크를 이용한 3-d 마스크 효과 보상 방법
US8327298B2 (en) * 2009-02-27 2012-12-04 Applied Materials Israel, Ltd. System and method for evaluating error sources associated with a mask
KR101727783B1 (ko) * 2010-06-15 2017-04-17 칼 짜이스 에스엠테 게엠베하 Euv 리소그래피를 위한 마스크, euv 리소그래피 시스템 그리고 마스크의 결상을 최적화하는 방법
US8871409B2 (en) 2010-07-26 2014-10-28 Carl Zeiss Sms Ltd. Lithographic targets for uniformity control
US20120154773A1 (en) * 2010-12-17 2012-06-21 Carl Zeiss Sms Gmbh Method and apparatus for correcting errors on a wafer processed by a photolithographic mask
DE102011079382B4 (de) * 2011-07-19 2020-11-12 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zum Analysieren und zum Beseitigen eines Defekts einer EUV Maske
IL234655B (en) * 2014-09-15 2018-10-31 Zeiss Carl Sms Ltd Apparatus and method for imparting direction-selective light attenuation
WO2016184560A1 (en) * 2015-05-21 2016-11-24 Carl Zeiss Smt Gmbh Method of operating a microlithographic projection apparatus
US20180299770A1 (en) * 2015-10-19 2018-10-18 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
JP6235643B2 (ja) * 2016-03-25 2017-11-22 Hoya株式会社 パターン修正方法、フォトマスクの製造方法、フォトマスク、及び修正膜形成装置
DE102016209616A1 (de) * 2016-06-01 2017-12-07 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zur Vorhersage des mit einer Maske bei Durchführung eines Lithographieprozesses erzielten Abbildungsergebnisses

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9658527B2 (en) 2010-07-12 2017-05-23 Carl Zeiss Sms Ltd. Correction of errors of a photolithographic mask using a joint optimization process
DE102011083774A1 (de) * 2010-10-04 2012-04-05 Carl Zeiss Sms Ltd. Verfahren und Vorrichtung zum Bestimmen von Laser korrigierenden Tool-Parametern
DE102017212848A1 (de) * 2017-07-26 2019-01-31 Carl Zeiss Sms Ltd. Verfahren und Vorrichtung zum Kompensieren von Defekten eines Maskenrohlings

Also Published As

Publication number Publication date
TWI768243B (zh) 2022-06-21
KR20220115797A (ko) 2022-08-18
DE102018218129B4 (de) 2023-10-12
CN111090216B (zh) 2024-04-30
US20200124959A1 (en) 2020-04-23
US11366383B2 (en) 2022-06-21
CN111090216A (zh) 2020-05-01
KR20200047381A (ko) 2020-05-07
TW202016646A (zh) 2020-05-01

Similar Documents

Publication Publication Date Title
DE102017203879B4 (de) Verfahren zum Analysieren einer defekten Stelle einer photolithographischen Maske
DE102010045135B4 (de) Verfahren zur Ermittlung eines Platzierungsfehlers eines Strukturelements auf einer Maske, Verfahren zur Simulation eines Luftbildes aus Struktur-Vorgaben einer Maske und Positionsmessvorrichtung
DE60128975T2 (de) Mikrolithographischer Projektionsapparat
EP1845417B1 (de) Beleuchtungssystem mit Zoomobjetiv
DE102011006468B4 (de) Vermessung eines abbildenden optischen Systems durch Überlagerung von Mustern
DE102010009022B4 (de) Beleuchtungssystem sowie Projektionsobjektiv einer Maskeninspektionsanlage
DE102012010093A1 (de) Facettenspiegel
DE102011076145A1 (de) Verfahren zum Zuordnen einer Pupillenfacette eines Pupillenfacettenspiegels einer Beleuchtungsoptik einer Projektionsbelichtungsanlage zu einer Feldfacette eines Feldfacettenspiegels der Beleuchtungsoptik
DE102009010560A1 (de) Projektionsbelichtungsverfahren, Projektionsbelichtungsanlage, Laserstrahlungsquelle und Bandbreiten-Einengungsmodul für eine Laserstrahlungsquelle
DE102011005881A1 (de) Verfahren zur Einstellung eines Beleuchtungssystems einer Projektionsbelichtungsanlage für die Projektionslithographie
DE102018218129B4 (de) Verfahren zum Bestimmen von Positionen einer Vielzahl von Pixeln, die in ein Substrat einer photolithographischen Maske eingebracht werden sollen
DE102015208571A1 (de) Beleuchtungsoptik für die EUV-Projektionslithografie
DE102016205617A1 (de) Projektionsbelichtungsverfahren und Projektionsbelichtungsanlage
DE102013107976B4 (de) Positionsbestimmung von Strukturen auf einer Maske für die Mikrolithographie
DE102020210829A1 (de) Pupillenfacettenspiegel für eine Beleuchtungsoptik einer Projektionsbelichtungsanlage
DE102017206541A1 (de) Beleuchtungsoptik
WO2015036225A1 (de) Beleuchtungsoptik für die euv-projektionslithografie
WO2019134773A1 (de) Pupillenfacettenspiegel, beleuchtungsoptik und optisches system für eine projektionsbelichtungsanlage
DE102009045217B3 (de) Katadioptrisches Projektionsobjektiv
DE102020200615A1 (de) Mess-Beleuchtungsoptik zur Führung von Beleuchtungslicht in ein Objektfeld einer Projektionsbelichtungsanlage für die EUV-Lithografie
DE102018220625A1 (de) Optisches Beleuchtungssystem für Projektionslithographie
DE102019206648B4 (de) Verfahren zur Annäherung von Abbildungseigenschaften eines optischen Produktionssystems an diejenigen eines optischen Messsystems sowie Metrologiesystem hierfür
DE102015224522B4 (de) Beleuchtungssystem einer mikrolithographischen Projektionsanlage und Verfahren zum Betreiben eines solchen Systems
DE102018211895A1 (de) Verfahren zum Vermessen einer Inspektionsvorrichtung
DE102021213327B3 (de) Metrologiesystem zur Untersuchung von Objekten mit EUV-Messlicht

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division