DE102017122398B4 - Verfahren zur feuchtesteuerung bei der euv-lithografie und halbleiter-fertigungsanlage - Google Patents

Verfahren zur feuchtesteuerung bei der euv-lithografie und halbleiter-fertigungsanlage Download PDF

Info

Publication number
DE102017122398B4
DE102017122398B4 DE102017122398.3A DE102017122398A DE102017122398B4 DE 102017122398 B4 DE102017122398 B4 DE 102017122398B4 DE 102017122398 A DE102017122398 A DE 102017122398A DE 102017122398 B4 DE102017122398 B4 DE 102017122398B4
Authority
DE
Germany
Prior art keywords
layer
photosensitive layer
photoresist layer
euv
component
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102017122398.3A
Other languages
English (en)
Other versions
DE102017122398A1 (de
Inventor
An-Ren Zi
Chin-Hsiang Lin
Ching-Yu Chang
Joy Cheng
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102017122398A1 publication Critical patent/DE102017122398A1/de
Application granted granted Critical
Publication of DE102017122398B4 publication Critical patent/DE102017122398B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • G03F7/70866Environment aspects, e.g. pressure of beam-path gas, temperature of mask or workpiece
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/06Silver salts
    • G03F7/063Additives or means to improve the lithographic properties; Processing solutions characterised by such additives; Treatment after development or transfer, e.g. finishing, washing; Correction or deletion fluids
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/3042Imagewise removal using liquid means from printing plates transported horizontally through the processing stations
    • G03F7/3057Imagewise removal using liquid means from printing plates transported horizontally through the processing stations characterised by the processing units other than the developing unit, e.g. washing units
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • Toxicology (AREA)
  • Environmental & Geological Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Materials For Photolithography (AREA)

Abstract

Verfahren (700) mit den folgenden Schritten:Aufbringen (710) einer lichtempfindlichen Schicht (160) über einem Wafer (100); Belichten (720) der lichtempfindlichen Schicht (160);Härten (730) der lichtempfindlichen Schicht (160);Entwickeln (740) der lichtempfindlichen Schicht (160); undEinbringen von Feuchte in die lichtempfindliche Schicht (160) während des Härtens (730).

Description

  • Hintergrund der Erfindung
  • Die IC-Branche (IC: integrierter Halbleiter-Schaltkreis) hat ein exponentielles Wachstum erfahren. Technologische Fortschritte bei IC-Materialien und -Entwürfen haben Generationen von ICs hervorgebracht, wobei jede Generation kleinere und komplexere Schaltkreise als die vorhergehende Generation umfasst. Im Laufe der IC-Evolution hat die Funktionsdichte (d. h. die Anzahl von miteinander verbundenen Bauelementen je Chipfläche) im Allgemeinen zugenommen, während die Strukturgröße (d. h. die kleinste Komponente oder Leitung, die mit einem Herstellungsverfahren erzeugt werden kann) abgenommen hat. Dieser Prozess der Verkleinerung bietet im Allgemeinen Vorteile durch die Erhöhung der Produktionsleistung und die Senkung der entsprechenden Kosten. Diese Verkleinerung hat aber auch die Komplexität der Verarbeitung und Herstellung von ICs erhöht.
  • Damit diese Fortschritte realisiert werden können, sind ähnliche Entwicklungen bei der IC-Verarbeitung und -Herstellung erforderlich. Zum Beispiel wächst die Notwendigkeit, lithografische Prozesse mit höherer Auflösung durchzuführen. Ein lithografisches Verfahren ist die EUV-Lithografie (EUV: extremes Ultraviolett). Bei der EUV-Lithografie kommen Scanner zum Einsatz, die Licht im extremen Ultraviolettbereich verwenden, das Wellenlängen von etwa 1 bis 100 Nanometer (nm) hat. Einige EUV-Scanner bieten ein Projektionsverfahren mit 4-facher Reduzierung, das dem einiger optischer Scanner ähnlich ist, außer dass die EUV-Scanner Spiegeloptik statt Brechungsoptik, d. h. Spiegel statt Linsen, verwenden. EUV-Scanner stellen die gewünschte Struktur auf einer Absorptionsschicht (EUV-Maskenabsorber) bereit, die auf einer Reflexionsmaske hergestellt ist.
  • Trotz der zunehmenden Beliebtheit der EUV-Lithografie kann die herkömmliche EUV-Lithografie noch immer Nachteile haben. Zum Beispiel kann es bei der herkömmlichen EUV-Lithografie schwierig sein, gleichzeitig eine gute lithografische Leistung und einen hohen Durchsatz zu bieten. Oftmals nimmt bei einer Verbesserung des Durchsatzes die lithografische Leistung ab, oder umgekehrt.
  • Die herkömmliche EUV-Lithografie ist zwar bisher im Großen und Ganzen für Ihren Zweck geeignet, aber nicht in jeder Hinsicht völlig zufriedenstellend gewesen.
  • Aus der US 2003 / 0 077 539 A1 ist ein Verfahren zur Strukturierung eines Wasser-basierten Fotolacks bekannt, der ein Polymer, eine fotoaktive Substanz, ein Vernetzungsmittel sowie ein Lösungsmittel umfasst, wobei das Lösungsmittel Wasser oder eine Wasser-Alkohol-Mischung sein kann.
  • Die US 8 841 058 B2 beschreibt ein Verfahren zur Immersions-Lithographie eines Fotolacks, um die Auflösung bei der Strukturierung zu verbessern. Hierzu wird zwischen einer Linse und den zu strukturierenden Fotolack eine Immersionslösung eingebracht, welche Wasser enthalten kann.
  • Figurenliste
  • Aspekte der vorliegenden Erfindung lassen sich am besten anhand der nachstehenden detaillierten Beschreibung in Verbindung mit den beigefügten Zeichnungen verstehen. Es ist zu beachten, dass entsprechend der üblichen Praxis in der Branche verschiedene Elemente nicht maßstabsgetreu gezeichnet sind. Vielmehr können der Übersichtlichkeit der Erörterung halber die Abmessungen der verschiedenen Elemente beliebig vergrößert oder verkleinert sein.
    • 1 ist eine schematische Darstellung einer lithografischen Anlage, die gemäß einigen Ausführungsformen der vorliegenden Erfindung konfiguriert ist.
    • 2 ist eine Schnittansicht einer EUV-Maske, die gemäß einigen Ausführungsformen der vorliegenden Erfindung konfiguriert ist.
    • Die 3 bis 9 sind schematische Teilschnittansichten eines Halbleiter-Bauelements auf verschiedenen Herstellungsstufen gemäß einigen Ausführungsformen der vorliegenden Erfindung.
    • 10 ist eine schematische Darstellung einer Anlage zur Herstellung eines Halbleiter-Bauelements gemäß einigen Ausführungsformen der vorliegenden Erfindung.
    • 11 ist ein Diagramm, das eine Beziehung zwischen der Feuchte und der Empfindlichkeit bei einem Fotoresist gemäß einigen Ausführungsformen der vorliegenden Erfindung zeigt.
    • 12 ist ein Ablaufdiagramm eines Verfahrens zur Herstellung eines Halbleiter-Bauelements gemäß einigen Ausführungsformen der vorliegenden Erfindung.
  • Detaillierte Beschreibung
  • Es wird ein Verfahren mit den Merkmalen des Anspruchs 1 sowie eine Halbleiter-Fertigungsanlage mit den Merkmalen des Anspruchs 14 vorgesehen. Beispielhafte Ausgestaltungen sind in den abhängigen Ansprüchen angegeben.
  • Die nachstehende Beschreibung liefert viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale der Erfindung. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Erfindung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht beschränkend sein. Zum Beispiel kann die Herstellung eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt ausgebildet werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element so ausgebildet werden können, dass das erste und das zweite Element nicht in direktem Kontakt sind. Darüber hinaus können in der vorliegenden Erfindung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Übersichtlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.
  • Darüber hinaus können hier räumlich relative Begriffe, wie etwa „darunter befindlich“, „unter“, „untere(r)“/„unteres“, „darüber befindlich“, „obere(r)“/„oberes“ und dergleichen, zur einfachen Beschreibung der Beziehung eines Elements oder einer Struktur zu einem oder mehreren anderen Elementen oder Strukturen verwendet werden, die in den Figuren dargestellt sind. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren dargestellten Orientierung andere Orientierungen des in Gebrauch oder in Betrieb befindlichen Bauelements umfassen. Die Vorrichtung kann anders ausgerichtet werden (um 90 Grad gedreht oder in einer anderen Orientierung), und die räumlich relativen Deskriptoren, die hier verwendet werden, können ebenso entsprechend interpretiert werden.
  • Die EUV-Lithografie (EUV: extremes Ultraviolett) hat breite Anwendung gefunden, da mit ihr kleine Halbleiter-Bauelement-Größen erzielt werden können. Herkömmliche Anlagen und Verfahren zur Durchführung der EUV-Lithografie können jedoch noch immer Mängel haben. Zum Beispiel kann eine Erhöhung des Stroms / der Energie (die einer Verringerung der Resist-Empfindlichkeit entspricht) zwar zu einer besseren lithografischen Leistung, wie etwa einer kleineren Linienbreitenrauheit (LWR), führen, aber sie kann auch einen verringerten Durchsatz zur Folge haben, was die Kosten der Halbleiter-Bauelemente steigen lässt, die mittels EUV-Lithografie hergestellt werden. Herkömmliche Anlagen und Verfahren für die EUV-Lithografie sind bisher nicht in der Lage gewesen, eine Lösung für dieses Problem anzubieten.
  • Die Erfinder der vorliegenden Erfindung haben herausgefunden, dass ein EUV-Fotoresist für das Vorhandensein von Wasser oder Feuchte empfindlich sein kann. Die Erfinder haben zum Beispiel herausgefunden, dass mit steigender Feuchte die Empfindlichkeit des EUV-Fotoresists zunimmt, ohne dass zwangsläufig die Energie der EUV-Lithografie beeinträchtigt wird. Das bedeutet, dass eine Erhöhung der Feuchte bei der EUV-Lithografie die Leistung und den Durchsatz der EUV-Lithografie gleichzeitig verbessern kann. Daher wird bei der vorliegenden Erfindung vorsätzlich Wasser oder Feuchte bei verschiedenen Schritten der EUV-Lithografie zugeführt, wie später näher erörtert wird. Zunächst wird eine EUV-Lithografie-Anlage unter Bezugnahme auf die 1 und 2 erläutert. Dann wird bei einigen Ausführungsformen der vorliegenden Erfindung unter Bezugnahme auf die 3 bis 12 näher beschrieben, wie Wasser oder Feuchte in den verschiedenen Schritten der EUV-Lithografie eingebacht wird.
  • 1 ist eine schematische Darstellung einer EUV-Lithografie-Anlage 10, die gemäß einigen Ausführungsformen konfiguriert ist. Die EUV-Lithografie-Anlage 10 kann auch generisch als ein Scanner bezeichnet werden, der so konfiguriert ist, dass er lithografische Belichtungsprozesse jeweils mit einer Strahlungsquelle und einem Belichtungsmodus durchführt. Die EUV-Lithografie-Anlage 10 ist so konzipiert, dass sie eine Fotoresistschicht mit EUV-Licht oder EUV-Strahlung belichtet. Die Fotoresistschicht kann ein Material sein, das für EUV-Licht empfindlich ist. In der EUV-Lithografie-Anlage 10 wird eine Strahlungsquelle 12 zum Erzeugen von EUV-Licht verwendet, wie etwa EUV-Licht, das Wellenlängen in dem Bereich von etwa 1 nm bis 100 nm hat. In einem speziellen Beispiel erzeugt die Strahlungsquelle 12 ein EUV-Licht mit einem Wellenlängen-Schwerpunkt bei etwa 13,5 nm. Daher wird die Strahlungsquelle 12 auch als EUV-Strahlungsquelle 12 bezeichnet.
  • In der lithografischen Anlage 10 wird auch eine Beleuchtungsvorrichtung 14 verwendet. Bei verschiedenen Ausführungsformen umfasst die Beleuchtungsvorrichtung 14 verschiedene Brechungsoptik-Komponenten, wie etwa eine einzelne Linse oder ein Linsensystem mit mehreren Linsen (Zonenplatten), oder alternativ Spiegeloptik-Komponenten (für die EUV-Lithografie-Anlage), wie etwa einen einzelnen Spiegel oder ein Spiegelsystem mit mehreren Spiegeln, um Licht von der Strahlungsquelle 12 auf einen Maskentisch 16 zu richten, insbesondere auf eine Maske 18, die auf dem Maskentisch 16 befestigt ist. Bei der vorliegenden Ausführungsform, bei der die Strahlungsquelle 12 Licht im EUV-Wellenlängenbereich erzeugt, wird für die Beleuchtungsvorrichtung 14 Brechungsoptik verwendet. Bei einigen Ausführungsformen weist die Beleuchtungsvorrichtung 14 eine Dipol-Beleuchtungskomponente auf.
  • Bei einigen Ausführungsformen ist die Beleuchtungsvorrichtung 14 so betreibbar, dass sie die Spiegel so konfiguriert, dass sie eine geeignete Beleuchtung für die Maske 18 bereitstellen. In einem Beispiel können die Spiegel der Beleuchtungsvorrichtung 14 so geschaltet werden, dass sie EUV-Licht zu unterschiedlichen Beleuchtungspositionen reflektieren. Bei einigen Ausführungsformen kann ein Tisch vor der Beleuchtungsvorrichtung 14 außerdem weitere schaltbare Spiegel aufweisen, die so gesteuert werden können, dass sie das EUV-Licht mit den Spiegeln der Beleuchtungsvorrichtung 14 auf unterschiedliche Beleuchtungspositionen richten. Bei einigen Ausführungsformen ist die Beleuchtungsvorrichtung 14 so konfiguriert, dass sie eine axiale Beleuchtung (on-axis illumination; ONI) für die Maske 18 bereitstellt. In einem Beispiel wird eine Scheiben-Beleuchtungsvorrichtung 14 mit einer Teilkohärenz σ von maximal 0,3 verwendet. Bei einigen Ausführungsformen ist die Beleuchtungsvorrichtung 14 so konfiguriert, dass sie eine außeraxiale Beleuchtung (off-axis illumination; OAI) für die Maske 18 bereitstellt. In einem Beispiel ist die Beleuchtungsvorrichtung 14 eine Dipol-Beleuchtungsvorrichtung. Die Dipol-Beleuchtungsvorrichtung hat bei einigen Ausführungsformen eine Teilkohärenz σ von maximal 0,3.
  • Die lithografische Anlage 10 weist außerdem einen Maskentisch 16 auf, der so konfiguriert ist, dass er die Maske 18 festhält. Bei einigen Ausführungsformen weist der Maskentisch 16 eine elektrostatische Einspannvorrichtung auf, um die Maske 18 festzuhalten. Der Grund dafür ist, dass Gasmoleküle EUV-Licht absorbieren und die lithografische Anlage für EUV-Lithografie-Strukturierung in einer Vakuum-Umgebung gehalten wird, um einen EUV-Intensitätsverlust zu vermeiden. In dieser Beschreibung werden die Begriffe Maske, Fotomaske und Retikel austauschbar verwendet und sie bezeichnen ein und denselben Gegenstand.
  • Bei der vorliegenden Ausführungsform ist die lithografische Anlage 10 eine EUV-Lithografie-Anlage, und die Maske 18 ist eine Reflexionsmaske. Eine beispielhafte Struktur der Maske 18 dient der Erläuterung. Die Maske 18 weist ein Substrat mit einem geeigneten Material auf, wie etwa einem Material mit einer geringen thermischen Ausdehnung (low thermal expansion material; LTEM) oder Quarzglas. In verschiedenen Beispielen weist das LTEM mit TiO2 dotiertes SiO2 oder andere geeignete Materialien mit einer geringen thermischen Ausdehnung auf. Bei einigen Ausführungsformen weist das LTEM 5 bis 20 Masse-% TiO2 auf, und es hat einen Wärmeausdehnungskoeffizienten, der kleiner als etwa 1,0 × 10-6/°C ist. Bei einigen Ausführungsformen hat das mit TiO2 dotierte SiO2 des LTEM zum Beispiel einen Wärmeausdehnungskoeffizienten, der sich um weniger als 60 Teile je Billion pro 1 °C Temperaturänderung ändert. Natürlich können auch andere geeignete Materialien mit einem Wärmeausdehnungskoeffizienten verwendet werden, der gleich oder kleiner als der von mit TiO2 dotiertem SiO2 ist.
  • Die Maske 18 weist außerdem eine reflektierende Mehrfachschicht (multilayer; ML) auf, die auf dem Substrat abgeschieden ist. Die Mehrfachschicht weist eine Vielzahl von Schichtpaaren auf, wie etwa Molybdän/Silizium(Mo/Si)-Schichtpaare (z. B. eine Schicht aus Molybdän über oder unter einer Schicht aus Silizium in jedem Schichtpaar). Alternativ kann die Mehrfachschicht Molybdän/Beryllium(Mo/Be)-Schichtpaare oder andere geeignete Materialien aufweisen, die so konfigurierbar sind, dass sie das EUV-Licht in hohem Umfang reflektieren.
  • Die Maske 18 kann weiterhin eine Verkappungsschicht, wie etwa Ruthenium (Ru), aufweisen, die zum Schutz auf der Mehrfachschicht angeordnet ist. Die Maske 18 weist weiterhin eine Absorptionsschicht auf, die über der Mehrfachschicht angeordnet ist. Die Absorptionsschicht wird strukturiert, um eine Schicht eines integrierten Schaltkreises (IC) zu definieren. Alternativ kann eine andere reflektierende Schicht über der Mehrfachschicht abgeschieden und strukturiert werden, um eine Schicht eines integrierten Schaltkreises zu definieren, sodass eine EUV-Phasenverschiebungsmaske entsteht.
  • Die lithografische Anlage 10 weist außerdem ein Projektionsoptikmodul (oder eine Projektionsoptikbox; POB) 20 zum Abbilden der Struktur der Maske 18 auf ein Halbleitersubstrat (als ein Beispiel für ein Target 26) auf, das auf einem Substrattisch 28 der lithografischen Anlage 10 befestigt ist. Die POB 20 hat bei verschiedenen Ausführungsformen eine Brechungsoptik (wie etwa für eine UV-Lithografie-Anlage) oder alternativ eine Spiegeloptik (wie etwa für die EUV-Lithografie-Anlage). Das von der Maske 18 gerichtete Licht, das in verschiedene Beugungsordnungen gebeugt wird und das Bild der auf der Maske definierten Struktur überträgt, wird von der POB 20 gesammelt. Die POB 20 kann eine Vergrößerung von weniger als eins haben [wodurch die Größe des „Bilds“ auf einem Target (wie etwa dem vorgenannten Target 26) kleiner als die Größe des entsprechenden „Objekts“ auf der Maske wird]. Die Beleuchtungsvorrichtung 14 und die POB 20 werden gemeinsam als ein optisches Modul der lithografischen Anlage 10 bezeichnet.
  • Die lithografische Anlage 10 weist außerdem einen Pupillenphasenmodulator 22 zum Modulieren der optischen Phase des von der Maske 18 gerichteten Lichts auf, sodass das Licht eine Phasenverteilung auf einer Projektionspupillen-Ebene 24 hat. In dem optischen Modul gibt es eine Ebene mit einer Feldverteilung, die der Fourier-Transformierten des Objekts (in diesem Fall der Maske 18) entspricht. Diese Ebene wird als Projektionspupillen-Ebene bezeichnet. Der Pupillenphasenmodulator 22 stellt einen Mechanismus zum Modulieren der optischen Phase des Lichts auf der Projektionspupillen-Ebene 24 bereit. Bei einigen Ausführungsformen weist der Pupillenphasenmodulator 22 einen Mechanismus zum Einstellen der Reflexionsspiegel der POB 20 für die Phasenmodulation auf. Zum Beispiel sind die Spiegel der POB 20 schaltbar, und sie werden so gesteuert, dass sie das EUV-Licht reflektieren, sodass die Phase des Lichts durch die POB 20 moduliert wird.
  • Bei einigen Ausführungsformen wird in dem Pupillenphasenmodulator 22 ein Pupillenfilter verwendet, das auf der Projektionspupillen-Ebene platziert ist. Ein Pupillenfilter filtert spezifische Raumfrequenz-Komponenten des EUV-Lichts aus der Maske 18 heraus. Insbesondere ist das Pupillenfilter ein Phasen-Pupillenfilter, das so funktioniert, dass es die Phasenverteilung des Lichts moduliert, das durch die POB 20 geleitet wird. Die Verwendung eines Phasen-Pupillenfilters ist jedoch in einigen lithografischen Anlagen (wie etwa einer EUV-Lithografie-Anlage) begrenzt, da alle Materialien EUV-Licht absorbieren.
  • Wie vorstehend dargelegt worden ist, umfasst die lithografische Anlage 10 außerdem den Substrattisch 28 zum Festhalten eines zu strukturierenden Targets 26, wie etwa eines Halbleitersubstrats. Bei der vorliegenden Ausführungsform ist das Halbleitersubstrat ein Halbleiterwafer, wie etwa ein Siliziumwafer oder eine andere Art von Wafer. Das Target 26 (wie etwa das Substrat) wird mit der Resistschicht beschichtet, die für den Strahlungsstrahl, wie etwa EUV-Licht in der vorliegenden Erfindung, empfindlich ist. Verschiedene Komponenten, unter anderem die, die vorstehend beschrieben worden sind, werden miteinander kombiniert, und sie sind so betreibbar, dass sie lithografische Belichtungsprozesse durchführen. Die lithografische Anlage 10 kann außerdem weitere Module aufweisen oder kann mit anderen Modulen kombiniert (oder verbunden) werden.
  • Bei einigen Ausführungsformen werden die Maske 18 und das Verfahren zu deren Herstellung beschrieben. Bei einigen Ausführungsformen umfasst der Maskenherstellungsprozess zwei Schritte: einen Herstellungsprozess für eine unbeschichtete Maske und einen Maskenstrukturierungsprozess. Während des Unbeschichtete-Masken-Herstellungsprozesses wird eine unbeschichtete Maske durch Abscheiden von geeigneten Schichten (z. B. mehreren Reflexionsschichten) auf einem geeigneten Substrat hergestellt. Die unbeschichtete Maske wird dann während des Maskenstrukturierungsprozesses strukturiert, um einen gewünschten Entwurf für eine Schicht eines integrierten Schaltkreises (IC) zu erhalten. Dann wird die strukturierte Maske zum Übertragen von Schaltkreisstrukturen (z. B. des Entwurfs für eine Schicht eines IC) auf einen Halbleiterwafer verwendet. Die Strukturen können mit verschiedenen lithografischen Verfahren mehrfach auf mehrere Wafer übertragen werden. Eine Gruppe von Masken wird zum Herstellen eines vollständigen IC verwendet.
  • Die Maske 18 weist eine geeignete Struktur auf, wie etwa eine Binärintensitätsmaske (BIM) und eine Phasenverschiebungsmaske (PSM) bei verschiedenen Ausführungsformen. Eine beispielhafte BIM weist Absorptionsbereiche (die auch als opake Bereiche bezeichnet werden) und Reflexionsbereiche auf, die so strukturiert sind, dass sie eine IC-Struktur definieren, die auf das Target übertragen werden soll. In den opaken Bereichen befindet sich ein Absorber, der einfallendes Licht fast vollständig absorbiert. In den Reflexionsbereichen ist der Absorber entfernt, und das einfallende Licht wird von der Mehrfachschicht (ML) gebeugt. Die PSM kann eine Maske mit abgeschwächter Phasenverschiebung (attenuated PSM; AttPSM) oder eine Maske mit wechselnder Phasenverschiebung (alternating PSM; AltPSM) sein. Eine beispielhafte PSM weist eine erste Reflexionsschicht (wie etwa eine reflektierende Mehrfachschicht) und eine zweite Reflexionsschicht auf, die entsprechend einer IC-Struktur strukturiert sind. In einigen Beispielen hat eine AttPSM normalerweise einen Reflexionsgrad von 2 % bis 15 % von ihrem Absorber, während eine AltPSM normalerweise einen Reflexionsgrad von mehr als 50 % von ihrem Absorber hat.
  • Ein Beispiel für die Maske 18 ist in 2 gezeigt. Die Maske 18 ist bei der dargestellten Ausführungsform eine EUV-Maske und weist ein Substrat 30 auf, das aus einem LTEM besteht. Das LTEM kann mit TiO2 dotiertes SiO2 und/oder andere Materialien mit einem kleinen Wärmeausdehnungskoeffizienten umfassen, die auf dem Fachgebiet bekannt sind. Bei einigen Ausführungsformen ist weiterhin eine leitende Schicht 32 auf einer Rückseite des LTEM-Substrats 30 für die elektrostatische Einspannung angeordnet. In einem Beispiel weist die leitende Schicht 32 Chromnitrid (CrN) auf. Bei anderen Ausführungsformen sind auch andere geeignete Zusammensetzungen möglich, wie etwa ein Tantal-haltiges Material.
  • Die EUV-Maske 18 weist eine reflektierende Mehrschichtstruktur 34 auf, die über dem LTEM-Substrat 30 angeordnet ist. Die reflektierende Mehrschichtstruktur 34 kann so gewählt werden, dass sie einen höheren Reflexionsgrad für eine gewählte Strahlungsart/Wellenlänge bereitstellt. Die reflektierende Mehrschichtstruktur 34 weist eine Vielzahl von Schichtpaaren, wie etwa Mo/Si-Schichtpaaren (z. B. eine Schicht aus Molybdän über oder unter einer Schicht aus Silizium in jedem Schichtpaar) auf. Alternativ kann die reflektierende Mehrschichtstruktur 34 Mo/Be-Schichtpaare oder andere geeignete Materialien aufweisen, die eine solche Brechzahl-Differenz haben, dass sie bei EUV-Wellenlängen hochreflektierend sind.
  • In 2 weist die EUV-Maske 18 weiterhin eine Verkappungsschicht 36 auf, die über der reflektierenden Mehrschichtstruktur 34 angeordnet ist, um eine Oxidation der Mehrfachschicht zu verhindern. Bei einer Ausführungsform umfasst die Verkappungsschicht 36 Silizium mit einer Dicke in dem Bereich von etwa 4 nm bis etwa 7 nm. Die EUV-Maske 18 kann außerdem einer Pufferschicht 38 aufweisen, die über der Verkappungsschicht 36 angeordnet ist und als eine Ätzstoppschicht bei einem Strukturierungs- oder Reparaturprozess für eine Absorptionsschicht dient, was später beschrieben wird. Die Pufferschicht 38 hat andere Ätz-Eigenschaften als die darüber angeordnete Absorptionsschicht. Die Mehrschichtstruktur 34 kann in verschiedenen Beispielen Ruthenium (Ru), Ru-Verbindungen, wie etwa RuB und RiSi, Chrom (Cr), Chromoxid und Chromnitrid aufweisen.
  • Die EUV-Maske 18 weist außerdem eine Absorberschicht 40 (die auch als Absorptionsschicht bezeichnet wird) auf, die über der Pufferschicht 38 hergestellt ist. Bei einigen Ausführungsformen absorbiert die Absorberschicht 40 die auf die Maske gerichtete EUV-Strahlung. Bei verschiedenen Ausführungsformen kann die Absorberschicht aus Tantalbornitrid (TaBN), Tantalboroxid (TaBO) oder Chrom (Cr), Radium (Ra) oder einem geeigneten Oxid oder Nitrid (oder Legierung) eines oder mehrerer der folgenden Materialien bestehen: Actinum, Radium, Tellur, Zink, Kupfer und Aluminium.
  • Die 3 bis 9 zeigen vereinfachte schematische Teilschnittansichten eines Halbleiter-Bauelements 100 auf verschiedenen Herstellungsstufen gemäß einigen Ausführungsformen der vorliegenden Erfindung. In 3 weist das Halbleiter-Bauelement 100 ein Substrat 140 auf. Bei einigen Ausführungsformen ist das Substrat 140 ein Siliziumsubstrat, das mit einem p-Dotanden, wie etwa Bor, dotiert ist (zum Beispiel ein p-Substrat). Alternativ kann das Substrat 140 ein anderes geeignetes Halbleitermaterial sein. Zum Beispiel kann das Substrat 140 ein Siliziumsubstrat sein, das mit einem n-Dotanden, wie etwa Phosphor oder Arsen, dotiert ist (ein n-Substrat). Das Substrat 140 kann andere elementare Halbleiter aufweisen, wie etwa Germanium und Diamant. Das Substrat 140 kann optional einen Verbindungshalbleiter und/oder einen Legierungshalbleiter aufweisen. Weiterhin kann das Substrat 140 eine Epitaxialschicht aufweisen, kann zur Verbesserung der Leistung verspannt sein und kann eine Silizium-auf-Isolator(SOI)-Struktur haben.
  • Bei einigen Ausführungsformen ist das Substrat 140 im Wesentlichen leitend oder halbleitend. Der elektrische Widerstand kann kleiner als etwa 103 Ohmmeter sein. Bei einigen Ausführungsformen enthält das Substrat 140 ein Metall, eine Metalllegierung oder ein Metallnitrid, -sulfid, -selenid, -oxid oder -silizid mit der Formel MXa, wobei M ein Metall ist, X N, S, Se, O oder Si ist und a in dem Bereich von etwa 0,4 bis 2,5 liegt. Das Substrat 140 kann zum Beispiel Ti, Al, Co, Ru, TiN, WN2 oder TaN enthalten.
  • Bei einigen anderen Ausführungsformen enthält das Substrat 140 ein dielektrisches Material mit einer Dielektrizitätskonstante in dem Bereich von etwa 1 bis etwa 140. Bei einigen weiteren Ausführungsformen enthält das Substrat 140 Si, ein Metalloxid oder ein Metallnitrid mit der Formel MXb, wobei M ein Metall oder Si ist, X N oder O ist und b in dem Bereich von etwa 0,4 bis 2,5 liegt. Das Substrat 140 kann zum Beispiel SiO2, Siliziumnitrid, Aluminiumoxid, Hafniumoxid oder Lanthanoxid enthalten.
  • Über dem Substrat 140 wird eine Materialschicht 150 hergestellt. Die Materialschicht 150 kann mit einem lithografischen Prozess strukturiert werden, und daher kann sie auch als eine strukturierbare Schicht bezeichnet werden. Bei einer Ausführungsform weist die Materialschicht 150 ein dielektrisches Material auf, wie etwa Siliziumoxid oder Siliziumnitrid. Bei einer anderen Ausführungsform weist die Materialschicht 150 ein Metall auf. Bei einer noch weiteren Ausführungsform weist die Materialschicht 150 ein Halbleitermaterial auf.
  • Bei einigen Ausführungsformen hat die Materialschicht 150 andere optische Eigenschaften als das Fotoresist. Die Materialschicht 150 hat zum Beispiel einen anderen n-, k- oder T-Wert als das Fotoresist. Bei einigen Ausführungsformen weist die Materialschicht 150 eine andere Polymerstruktur, andere säurelabile Moleküle, eine andere Beladung mit fotochemischen Säurebildnern (PAG), eine andere Löscherbeladung, andere Chromophore, andere Vernetzer und/oder ein anderes Lösungsmittel auf, die zu einem anderen n-Wert des Fotoresists führen. Bei einigen Ausführungsformen haben die Materialschicht 150 und das Fotoresist einen unterschiedlichen Ätzwiderstand. Bei einigen Ausführungsformen enthält die Materialschicht 150 ätzbeständige Moleküle. Die Moleküle umfassen Strukturen mit einer niedrigen Ohnishi-Zahl, eine Doppelbindung, eine Dreifachbindung, Silizium, Siliziumnitrid, Ti, TiN, Al, Aluminiumoxid, SiON oder Kombinationen davon. Es ist klar, dass das Substrat 140 und die Materialschicht 150 bei anderen Ausführungsformen jeweils weitere geeignete Materialzusammensetzungen haben können.
  • Über dem Substrat 140 (insbesondere über der Materialschicht 150) wird eine Fotoresistschicht 160 hergestellt. Die Fotoresistschicht 160 kann mit einem Schleuderbeschichtungsprozess 170 hergestellt werden. Bei der in 3 gezeigten Ausführungsform weist die Fotoresistschicht 160 ein positives Fotoresist auf, aber es ist klar, dass die Fotoresistschicht 160 bei alternativen Ausführungsformen ein negatives Fotoresist aufweisen kann. Die Fotoresistschicht 160 enthält chemische Komponenten, wie etwa ein Polymer, fotochemische Säurebildner (PAG), Löscher, Chromophore, grenzflächenaktive Stoffe, Vernetzer usw. Bei einer Ausführungsform verbindet sich der fotochemische Säurebildner mit dem Polymer. In einem nachfolgenden fotolithografischen Prozess induzieren Photonen die Zersetzung des PAG. Dadurch entsteht eine geringe Menge Säure, die wiederum eine Kaskade von chemischen Umwandlungen in der Fotoresistschicht 160 auslöst. Der Löscher in dem Fotoresistmaterial trägt zur Verbesserung der Kontrolle der kritischen Abmessungen (CD) bei.
  • Es ist klar, dass die vorstehend erörterten chemischen Komponenten (wie etwa Polymer, PAG, Löscher, Chromophore, Vernetzer) nur einen kleinen Teil (z. B. etwa 1 % bis 10 %, zum Beispiel etwa 5 %) der Fotoresistschicht 160 ausmachen können. Der Hauptteil der Fotoresistschicht 160 ist ein Lösungsmittel. Bei einigen Ausführungsformen kann das Lösungsmittel Propylenglycolmonomethylether (PGME) oder Propylenglycolmonomethyletheracetat (PGMEA) oder eine Kombination davon sein. Das Lösungsmittel kann mit den anderen chemischen Komponenten (z. B. Polymer) der Fotoresistschicht 160 in einer Flasche oder einem anderen geeigneten Behälter vermischt werden, bevor die Fotoresistschicht 160 durch Schleuderbeschichtung oder anderweitig über der Schicht 150 aufgebracht wird.
  • Bei herkömmlichen Fotoresistschichten wird kein Wasser speziell in das Fotoresist eingebracht. Im Gegensatz dazu wird gemäß verschiedenen Aspekten der vorliegenden Erfindung Wasser (d. h. H2O) vorsätzlich zu der Fotoresistschicht 160 gegeben. Bei einigen Ausführungsformen wird Wasser in die Flasche (oder den anderen geeigneten Behälter) gegeben, die/der auch die chemischen Komponenten der Fotoresistschicht 160, die vorstehend erörtert worden sind (z. B. Polymer, PAG usw.), sowie das Lösungsmittel (z. B. PGME oder PGMEA) enthält. Dadurch entsteht ein Gemisch, das die verschiedenen chemischen Komponenten des Fotoresists, das Lösungsmittel und Wasser enthält.
  • Bei einigen Ausführungsformen wird eine bestimmte Menge Wasser langsam zugegeben, um sicherzustellen, dass das Wasser in dem Gemisch einen festgelegten Gehalt hat. Bei einigen Ausführungsformen beträgt der festgelegte Gehalt etwa 1 % bis etwa 15 %. Zum Beispiel wird eine entsprechende Menge Wasser zu dem Gemisch gegeben, sodass etwa 1 % bis 15 % des Gemisches Wasser sind. Bei diesen Ausführungsformen können die chemischen Komponenten der Fotoresistschicht 160 noch immer etwa 1 % bis 10 % (z. B. 5 %) des Gemisches ausmachen, und das Lösungsmittel kann etwa 80 % bis 94 % des Gemisches ausmachen. Bei einigen Ausführungsformen beträgt der festgelegte Gehalt etwa 0,1 % bis 8 % (d. h., etwa 0,1 % bis 8 % des Gemisches sind Wasser).
  • Es ist klar, dass die festgelegten Wassergehalte in dem Gemisch nicht zufällig gewählt sind, sondern speziell so konzipiert sind, dass sie gewährleisten, dass die Fotoresistschicht 160 eine gewünschte Feuchtemenge erreicht. Wie vorstehend dargelegt worden ist, ist die Empfindlichkeit des Fotoresists mit dem Feuchtegehalt des Fotoresists korreliert, und die richtige Feuchtemenge für das Fotoresist verringert seine Empfindlichkeit, ohne dass das Energieniveau der EUV-Lithografie geändert werden muss. Dadurch können sowohl die Leistung als auch der Durchsatz der EUV-Lithografie verbessert werden. Es ist außerdem klar, dass das Gemisch, das die chemischen Komponenten des Fotoresists, das Lösungsmittel und das Wasser enthält, bei dem Prozess 170 über der Materialschicht 150 durch Schleuderbeschichtung aufgebracht wird, um die Fotoresistschicht 160 herzustellen. Mit anderen Worten, das Gemisch wird effektiv in die durch Schleuderbeschichtung aufgebrachte Fotoresistschicht 160 umgewandelt.
  • In 4 wird nach dem Schleuderbeschichtungsprozess 170 ein Härtungsprozess 200 an dem Halbleiter-Bauelement 100 durchgeführt. Da der Härtungsprozess 200 durchgeführt wird, bevor die Fotoresistschicht 160 belichtet wird, kann der Härtungsprozess 200 auch als Vorhärtungsprozess bezeichnet werden. Der Härtungsprozess 200 kann in einer Kammer mit einem einstellbaren Feuchte-Sollwert, zum Beispiel in einem Ofen einer Folge-Anlage bei der Halbleiterfertigung, durchgeführt werden. Bei einigen Ausführungsformen kann die Kammer eine „heiße Platte“ umfassen. Gemäß verschiedenen Aspekten der vorliegenden Erfindung wird eine festgelegte Feuchtemenge vorsätzlich als ein Teil des Härtungsprozesses 200 eingebracht. Bei einigen Ausführungsformen ist die Anlage, in der der Härtungsprozess 200 durchgeführt wird, so konzipiert, dass die Luft, mit der die Fotoresistschicht 160 in Kontakt ist, einen Feuchtegehalt hat, der höher als etwa 44 % ist und zum Beispiel etwa 46 % bis 55 % beträgt. Durch diesen speziell konzipierten Feuchtegehalt kann die Fotoresistschicht 160 eine verminderte Empfindlichkeit haben, ohne dass die Energie des lithografischen Prozesses (z. B. des später durchzuführenden Belichtungsprozesses) geändert wird, und dadurch werden sowohl die lithografische Leistung als auch der Durchsatz verbessert.
  • In 5 wird nach dem Härtungsprozess 200 ein Belichtungsprozess 220 an dem Halbleiter-Bauelement 100 durchgeführt. Bei der dargestellten Ausführungsform umfasst die Belichtung 220 eine EUV-Belichtung. Als ein Teil der Belichtung wird eine Lichtquelle (oder Beleuchtungsquelle) verwendet, die Wellenlängen hat, die kleiner als etwa 250 nm sind und bei einigen Ausführungsformen zum Beispiel etwa 13,5 nm betragen. Bei einigen Ausführungsformen ist die Lichtquelle eine KrF-, ArF-, EUV- und/oder ElektronenstrahlQuelle. Die Lichtquelle belichtet einen oder mehrere festgelegte Bereiche 160A der Fotoresistschicht 160, während andere Bereiche 160B der Fotoresistschicht 160 durch die Verwendung einer strukturierten lithografischen Fotomaske nicht belichtet werden. Die strukturierte lithografische Fotomaske kann eine Ausführungsform der EUV-Maske 18 sein, die vorstehend unter Bezugnahme auf die 1 und 2 erörtert worden ist.
  • In 6 wird nach dem Belichtungsprozess 220 ein Spülprozess 240 an dem Halbleiter-Bauelement 100 durchgeführt. Der Spülprozess 240 ist so konfiguriert, dass Wasser oder Feuchte in die Fotoresistschicht 160 eingebracht wird. Bei einigen Ausführungsformen umfasst der Spülprozess 240 das Spülen der Fotoresistschicht 160 mit einer flüssigen Lösung, die ein Lösungsmittel und Wasser aufweist. Bei einigen Ausführungsformen umfasst das Lösungsmittel Propylenglycolmonomethyletheracetat, Propylenglycolmonomethylether, Butylacetat, 1-Ethoxy-2-propanol, gamma-Butyrolacton, Cyclohexanon, Ethyllactat, Methanol, Ethanol, Propanol, n-Butanol, Aceton, Dimethylformamid, Acetonitril, Isopropylalkohol, Tetrahydrofuran, Essigsäure oder Kombinationen davon. Die Wassermenge, die zu der flüssigen Lösung gegeben wird, wird genau festgelegt. Bei einigen Ausführungsformen macht das Wasser etwa 0,1 % bis etwa 10 %, zum Beispiel etwa 0,1 % bis etwa 5 %, der flüssigen Lösung aus. Es ist klar, dass bei einigen Ausführungsformen statt des, oder in Kombination mit dem, Spülprozess 240 ein Wasserdampf-Behandlungsprozess durchgeführt werden kann, bei dem Wasserdampf auf die Fotoresistschicht 160 aufgebracht wird. Es ist außerdem klar, dass bei einigen Ausführungsformen die Durchführung des Spülprozesses 240 optional ist.
  • In 7 wird nach dem Spülprozess 240 (oder nach dem Belichtungsprozess 220, wenn der Spülprozess 240 ausgelassen wird) ein Härtungsprozess 250 an dem Halbleiter-Bauelement 100 durchgeführt. Da dieser Härtungsprozess 250 nach dem Belichtungsprozess 220, bei dem die Fotoresistschicht 160 belichtet wird, durchgeführt wird, kann der Härtungsprozess 250 auch als Härtung nach der Belichtung (PEB-Prozess) bezeichnet werden. Der Härtungsprozess 250 kann ebenfalls in einer Kammer (z. B. mit einer heißen Platte) mit einem einstellbaren Feuchte-Sollwert, zum Beispiel in einem Ofen einer Folge-Anlage bei der Halbleiterfertigung, durchgeführt werden.
  • Bei einigen Ausführungsformen können der Härtungsprozess 250 und der Härtungsprozess 200 mit derselben heißen Platte oder derselben Anlage durchgeführt werden. Ähnlich wie bei dem Härtungsprozess 200 wird auch bei dem Härtungsprozess 250 eine festgelegte Feuchtemenge vorsätzlich in die Fotoresistschicht 160 eingebracht. Zum Beispiel ist die heiße Platte (oder eine andere geeignete Vorrichtung, in der der Härtungsprozess 250 durchgeführt wird) speziell so konzipiert, dass die Luft, die auf die Fotoresistschicht 160 einwirkt, einen Feuchtegehalt von etwa 46 % bis 55 % erreicht. Wie bei dem Härtungsprozess 200 kann durch diesen speziell konzipierten Feuchtegehalt für den Härtungsprozess 250 auch hier die Fotoresistschicht 160 eine verminderte Empfindlichkeit haben, ohne dass die Energie des lithografischen Prozesses geändert wird, was zu einer Verbesserung der lithografischen Leistung und des Durchsatzes beiträgt. Es ist klar, dass die speziellen Feuchtegehalte für den Härtungsprozess 250 und den Härtungsprozess 200 so festgelegt werden können, dass sie bei einigen Ausführungsformen gleichgroß sind und bei anderen Ausführungsformen unterschiedlich sind.
  • In 8 wird nach dem Härtungsprozess 250 ein Spülprozess 270 an dem Halbleiter-Bauelement 100 durchgeführt. Ähnlich wie der Spülprozess 240 ist der Spülprozess 270 so konfiguriert, dass Wasser oder Feuchte in die Fotoresistschicht 160 eingebracht wird. Bei einigen Ausführungsformen umfasst der Spülprozess 270 das Spülen der Fotoresistschicht 160 mit einer flüssigen Lösung, die ein Lösungsmittel und Wasser aufweist. Bei einigen Ausführungsformen umfasst das Lösungsmittel Propylenglycolmonomethyletheracetat, Propylenglycolmonomethylether, Butylacetat, 1-Ethoxy-2-propanol, gamma-Butyrolacton, Cyclohexanon, Ethyllactat, Methanol, Ethanol, Propanol, n-Butanol, Aceton, Dimethylformamid, Acetonitril, Isopropylalkohol, Tetrahydrofuran, Essigsäure oder Kombinationen davon. Die Wassermenge, die zu der flüssigen Lösung gegeben wird, wird genau festgelegt. Bei einigen Ausführungsformen macht das Wasser etwa 0,1 % bis etwa 10 %, zum Beispiel etwa 0,1 % bis etwa 5 %, der flüssigen Lösung aus. Es ist klar, dass bei einigen Ausführungsformen statt des, oder in Kombination mit dem, Spülprozess 270 ein Wasserdampf-Behandlungsprozess durchgeführt werden kann, und dass bei einigen Ausführungsformen die Durchführung des Spülprozesses 270 optional ist.
  • In 9 wird nach dem Spülprozess 270 (oder nach dem Härtungsprozess 250, wenn der Spülprozess 270 ausgelassen wird) ein Entwicklungsprozess 300 an der Fotoresistschicht 160 durchgeführt. Der Entwicklungsprozess 300 umfasst das Aufbringen einer Entwicklerlösung auf die Fotoresistschicht 160, wobei die Entwicklerlösung einen Lösungsmittel-Entwickler und Wasser aufweist. Bei einigen Ausführungsformen umfasst der Lösungsmittel-Entwickler 2-Heptanon, n-Butylacetat (NBA), Isoamylacetat oder Kombinationen davon.
  • Auch hier wird Wasser zu der Entwicklerlösung gegeben, um Feuchte in die Fotoresistschicht 160 einzubringen, und die Wassermenge, die zu der Entwicklerlösung gegeben wird, wird ebenfalls genau festgelegt. Bei einigen Ausführungsformen macht das Wasser etwa 0,1 % bis etwa 10 %, zum Beispiel etwa 0,1 % bis etwa 5 %, der Entwicklerlösung aus.
  • Wie in 9 gezeigt ist, wird die Fotoresistschicht 160 durch Durchführen des Entwicklungsprozesses 300 strukturiert. Wenn ein Positivton-Fotoresist verwendet wird, sind nach dem Entwicklungsprozess 300 die belichteten Bereiche 160A der Fotoresistschicht 160 entfernt, da die Belichtung mit dem EUV-Licht die chemische Struktur des Fotoresists verändert und seine Löslichkeit in der Entwicklerlösung verbessert. Dabei bleiben die unbelichteten Bereiche 160B der Fotoresistschicht 160 bestehen, sodass an der Stelle der entfernten Bereiche 160A Öffnungen 310 entstehen. Wenn die Fotoresistschicht 160 hingegen ein Negativton-Fotoresist ist, bleiben die belichteten Bereiche 160A nach dem Entwicklungsprozess 300 bestehen, während die unbelichteten Bereiche 160B entfernt worden sind. In jedem Fall kann in nachfolgenden Herstellungsprozessen die strukturierte Fotoresistschicht 160 nun zum Strukturieren der darunter befindlichen Materialschicht 150 verwendet werden.
  • 10 ist eine vereinfachte schematische Darstellung eines Teils einer Halbleiter-Fertigungsanlage 400, die zum Durchführen der verschiedenen Fertigungsprozesse verwendet werden kann, die vorstehend unter Bezugnahme auf die 3 bis 9 erörtert worden sind. Eine Fotoresist-Beschichtungsanlage 410 kann zum Aufbringen der Fotoresistschicht 160 über der Schicht 150 als ein Teil des Schleuderbeschichtungsprozesses 170 verwendet werden, der vorstehend unter Bezugnahme auf 3 erörtert worden ist. Bei einigen Ausführungsformen weist die Fotoresist-Beschichtungsanlage 410 eine Flasche oder einen Behälter zum Vermischen der Fotoresist-Chemikalien (z. B. Polymer, PAG usw.), des Lösungsmittels und des Wassers auf. Die Fotoresist-Beschichtungsanlage 410 kann außerdem Düsen zum Versprühen von Flüssigkeiten aufweisen, wie etwa des Gemisches, das aus den Fotoresist-Chemikalien, dem Lösungsmittel und dem Wasser besteht. Weiterhin kann die Fotoresist-Beschichtungsanlage 410 einen Tisch zum Halten und/oder Drehen eines Wafers während des Aufbringens der Fotoresistschicht 160 aufweisen. Die Fotoresist-Beschichtungsanlage 410 kann außerdem ein Steuergerät 415 zum Konfigurieren der verschiedenen Prozessparameter für den Schleuderbeschichtungsprozess 170 aufweisen, zum Beispiel der Wassermenge, die zu dem Gemisch gegeben werden soll (um z. B. einen Wassergehalt von etwa 1 % bis etwa 15 % in dem Gemisch zu erreichen).
  • Die Fertigungsanlage 400 weist eine Härtungsanlage 420 auf. Die Härtungsanlage 420 kann zum Härten der Fotoresistschicht 160 als ein Teil des Härtungsprozesses 200 verwendet werden, der vorstehend unter Bezugnahme auf 4 erörtert worden ist. Bei einigen Ausführungsformen weist die Härtungsanlage 420 eine „heiße Platte“ als einen Teil einer Folge-Halbleiter-Fertigungsanlage auf. Die heiße Platte kann ein Einstellelement für die Feuchtesteuerung haben. Die Härtungsanlage 420 kann außerdem ein Steuergerät 425 zum Konfigurieren der verschiedenen Prozessparameter für den Härtungsprozess 200 aufweisen, zum Beispiel des Feuchte-Sollwerts der heißen Platte. Bei einigen Ausführungsformen kann das Steuergerät 425 die Feuchte für die Härtungsanlage so konfigurieren, dass sie mehr als etwa 44 %, zum Beispiel etwa 46 % bis etwa 55 %, beträgt.
  • Die Fertigungsanlage 400 weist eine Belichtungsanlage 430 auf. Die Belichtungsanlage 430 kann zum Belichten der Fotoresistschicht 160 als ein Teil des Belichtungsprozesses 220 verwendet werden, der vorstehend unter Bezugnahme auf 5 erörtert worden ist. Bei einigen Ausführungsformen weist die Belichtungsanlage 430 einen EUV-Scanner auf, wie etwa die EUV-Lithografie-Anlage 10, die vorstehend unter Bezugnahme auf 1 erörtert worden ist. Die Belichtungsanlage 430 kann außerdem ein Steuergerät 435 zum Konfigurieren der verschiedenen Prozessparameter für den Belichtungsprozess 220 aufweisen.
  • Die Fertigungsanlage 400 weist außerdem eine Spülanlage 440 auf. Die Spülanlage 440 kann zum Spülen der Fotoresistschicht 160 als ein Teil des Spülprozesses 240 verwendet werden, der vorstehend unter Bezugnahme auf 6 erörtert worden ist. Bei einigen Ausführungsformen weist die Spülanlage 440 Düsen oder andere Abgabe-Einheiten auf, die so konfiguriert sind, dass sie das Lösungsmittel-Wasser-Gemisch abgeben. Die Spülanlage 440 kann außerdem ein Steuergerät 445 zum Konfigurieren der verschiedenen Prozessparameter für den Spülprozess 240 aufweisen, zum Beispiel der Wassermenge, die zu dem Lösungsmittel gegeben werden soll. Bei einigen Ausführungsformen kann das Steuergerät 445 den Wassergehalt in der abgegebenen Spüllösung so konfigurieren, dass er in dem Bereich von etwa 0,1 % bis etwa 10 % liegt.
  • Die Fertigungsanlage 400 weist weiterhin eine Nachhärtungs(PEB)-Anlage 450 auf. Die PEB-Anlage 420 kann zum Härten der Fotoresistschicht 160 als ein Teil des Härtungsprozesses 250 verwendet werden, der vorstehend unter Bezugnahme auf 7 erörtert worden ist. Bei einigen Ausführungsformen weist die Härtungsanlage 450 eine „heiße Platte“ als einen Teil einer Folge-Halbleiter-Fertigungsanlage auf. Die heiße Platte kann ein Einstellelement für die Feuchtesteuerung haben. Die Härtungsanlage 450 kann außerdem ein Steuergerät 455 zum Konfigurieren der verschiedenen Prozessparameter für den Härtungsprozess 250 aufweisen, zum Beispiel des Feuchte-Sollwerts der heißen Platte. Bei einigen Ausführungsformen kann das Steuergerät 455 die Feuchte für die Härtungsanlage so konfigurieren, dass sie mehr als etwa 44 %, zum Beispiel etwa 46 % bis etwa 55 %, beträgt. Bei einigen Ausführungsformen kann ein Spülgas, das N2 und CO2 enthält, in die heiße Platte (oder einen anderen Lagerort) der PEB-Härtungsanlage 450 eingebracht werden, um die Steuerung der Reaktion zu verbessern. Der Anteil von N2 und CO2 an dem Spülgas beträgt etwa 54 % bis etwa 100 %, um die Empfindlichkeitskontrolle zu optimieren. Bei einigen Ausführungsformen kann das Spülgas, das N2 und CO2 enthält, optional auch für die Härtungsanlage 420 verwendet werden.
  • Die Fertigungsanlage 400 weist außerdem eine Spülanlage 460 auf. Die Spülanlage 460 kann zum Spülen der Fotoresistschicht 160 als ein Teil des Spülprozesses 270 verwendet werden, der vorstehend unter Bezugnahme auf 8 erörtert worden ist. Bei einigen Ausführungsformen weist die Spülanlage 460 Düsen oder andere Abgabe-Einheiten auf, die so konfiguriert sind, dass sie das Lösungsmittel-Wasser-Gemisch abgeben. Die Spülanlage 460 kann außerdem ein Steuergerät 465 zum Konfigurieren der verschiedenen Prozessparameter für den Spülprozess 270 aufweisen, zum Beispiel der Wassermenge, die zu dem Lösungsmittel gegeben werden soll. Bei einigen Ausführungsformen kann das Steuergerät 465 den Wassergehalt in der abgegebenen Spüllösung so konfigurieren, dass er in dem Bereich von etwa 0,1 % bis etwa 10 % liegt.
  • Die Fertigungsanlage 400 weist weiterhin eine Entwicklungsanlage 470 auf. Die Entwicklungsanlage 470 kann zum Entwickeln der Fotoresistschicht 160 als ein Teil des Entwicklungsprozesses 300 verwendet werden, der vorstehend unter Bezugnahme auf 9 erörtert worden ist. Bei einigen Ausführungsformen weist die Entwicklungsanlage 470 Düsen oder andere Abgabe-Einheiten auf, die so konfiguriert sind, dass sie das Lösungsmittel-Wasser-Gemisch abgeben. Die Entwicklungsanlage 470 kann außerdem ein Steuergerät 475 zum Konfigurieren der verschiedenen Prozessparameter für den Entwicklungsprozess 300 aufweisen, zum Beispiel der Wassermenge, die zu der Entwicklerlösung gegeben werden soll. Bei einigen Ausführungsformen kann das Steuergerät 475 den Wassergehalt in der abgegebenen Entwicklerlösung so konfigurieren, dass er in dem Bereich von etwa 0,1 % bis etwa 10 % liegt.
  • Es ist klar, dass die Pfeile, die in 10 gezeigt sind, die Reihenfolge angeben, in der die Halbleiter-Fertigungsprozesse unter Verwendung der Fertigungsanlage 400 durchgeführt werden. Die gestrichelten Pfeile vor und nach der Spülanlage 440 und der Spülanlage 460 geben an, dass die Spülprozesse unter Verwendung der Spülanlagen 440 und 460 optional durchgeführt werden. Mit anderen Worten, bei einigen Ausführungsformen wird der Spülprozess 240, der mit der Spülanlage 440 verbunden ist, nach dem Belichtungsprozess 220, in dem die Belichtungsanlage 430 verwendet wird, und vor dem Härtungsprozess 250, in dem die Härtungsanlage 450 verwendet wird, durchgeführt, während der Spülprozess 270, der mit der Spülanlage 460 verbunden ist, nicht durchgeführt wird. Bei anderen Ausführungsformen wird der Spülprozess 240, der mit der Spülanlage 440 verbunden ist, nicht durchgeführt, während der Spülprozess 270, der mit der Spülanlage 460 verbunden ist, nach dem Härtungsprozess 250, in dem die Härtungsanlage 450 verwendet wird, und vor dem Entwicklungsprozess 300 durchgeführt wird, in dem die Entwicklungsanlage 470 verwendet wird.
  • Die Anlagen 410 bis 470 der Fertigungsanlage 400 sind in 10 zwar als getrennte Anlagen dargestellt, aber es ist klar, dass eine oder mehrere dieser Anlagen zu einer einzigen Anlage kombiniert werden können. Zum Beispiel können die PEB-Anlage 450 und die Härtungsanlage 420 als ein und dieselbe Anlage implementiert werden. Mit anderen Worten, ein und dieselbe „heiße Platte“ kann sowohl als die Härtungsanlage 420 zum Durchführen des Härtungsprozesses 200 als auch als die PEB-Anlage 450 zum Durchführen des Härtungsprozesses 250 verwendet werden. In ähnlicher Weise können die Spülanlage 440 und die Spülanlage 460 als ein und dieselbe Anlage zum Durchführen sowohl des Spülprozesses 240 als auch des Spülprozesses 270 implementiert werden. Darüber hinaus können einige der vorstehend erörterten Anlagen 410 bis 470 bestimmte Komponenten, wie etwa Düsen oder Abgabe-Einheiten zum Abgeben von Flüssigkeiten, oder auch die Steuergeräte 415 - 475 gemeinsam verwenden. Darüber hinaus kann die Fertigungsanlage 400 bei einigen Ausführungsformen außerdem ein unabhängiges (d. h., physisch außerhalb der Anlagen 410 bis 470) Steuergerät 480 aufweisen, das zum Duplizieren oder Ersetzen einer oder mehrerer der Funktionalitäten eines der Steuergeräte 415 bis 475 verwendet werden kann.
  • 11 ist ein Diagramm 600, das eine Beziehung zwischen der Empfindlichkeit und der Feuchte bei dem Fotoresist der vorliegenden Erfindung zeigt. Im Einzelnen stellt die x-Achse des Diagramms 600 die Empfindlichkeit des Fotoresists (als Prozentsatz angegeben) dar, und die y-Achse des Diagramms 600 stellt die Feuchte des Fotoresists (ebenfalls als Prozentsatz angegeben) dar. Das Diagramm 600 weist eine Vielzahl von Datenabtastungen, wie etwa Datenabtastungen 610 bis 614, auf, wobei jede Datenabtastung einer bestimmten Feuchte und einer bestimmten Empfindlichkeit entspricht. Auf Grund der Datenabtastungen 610 bis 614 wird eine Kurve 620 für das Diagramm 600 erzeugt.
  • Wie die Kurve 620 zeigt, nimmt die Empfindlichkeit mit steigender Feuchte ab (zumindest bis zu einem bestimmten Punkt). Es kann daher zweckmäßig sein, die Feuchte des Fotoresists entsprechend den vorstehend erörterten verschiedenen Schritten der vorliegenden Erfindung zu erhöhen, um die Empfindlichkeit des Fotoresists zu verringern. Natürlich sollte das Fotoresist bei der realen Fertigung nicht zu sehr mit Wasser verdünnt werden. Um optimale Ergebnisse zu erzielen, ohne das Fotoresist zu sehr zu verdünnen, werden die verschiedenen Prozesse der vorliegenden Erfindung so konfiguriert, dass die Feuchte bei der EUV-Lithografie in einer Zone 630 gehalten wird.
  • 12 ist ein Ablaufdiagramm, das ein vereinfachtes Verfahren 700 zeigt. Das Verfahren 700 weist einen Schritt 710 zum Aufbringen einer lichtempfindlichen Schicht über einem Wafer auf.
  • Das Verfahren 700 weist weiterhin einen Schritt 720 zum Belichten der lichtempfindlichen Schicht auf. Bei einigen Ausführungsformen umfasst die Belichtung das Belichten der lichtempfindlichen Schicht mit EUV-Licht (EUV: extremes Ultraviolett).
  • Das Verfahren 700 umfasst weiterhin einen Schritt 730 zum Härten der lichtempfindlichen Schicht.
  • Das Verfahren 700 umfasst weiterhin einen Schritt 740 zum Entwickeln der lichtempfindlichen Schicht.
  • Als ein Teil des Verfahrens 700 wird in mindestens einem der folgenden Schritte Feuchte eingebracht: in dem Schritt 710 zum Aufbringen einer lichtempfindlichen Schicht; in dem Schritt 720 zum Härten der lichtempfindlichen Schicht; oder in dem Schritt 740 zum Entwickeln der lichtempfindlichen Schicht. Bei einigen Ausführungsformen erfolgt das Einbringen von Feuchte vor oder während des Schritts 710 zum Aufbringen einer lichtempfindlichen Schicht. Bei einigen Ausführungsformen wird das Einbringen der Feuchte so durchgeführt, dass etwa 1 % bis etwa 15 % der lichtempfindlichen Schicht Wasser sind.
  • Bei einigen Ausführungsformen erfolgt das Einbringen der Feuchte während des Härtungsschritts 730. Bei einigen Ausführungsformen wird die Härtung mit einem Feuchte-Sollwert von etwa 46 % bis etwa 55 % durchgeführt. Bei einigen Ausführungsformen wird die Härtung vor und nach dem Belichtungsschritt 720 durchgeführt.
  • Bei einigen Ausführungsformen wird das Einbringen der Feuchte während des Entwicklungsschritts 740 durchgeführt. Bei einigen Ausführungsformen liegt der Wassergehalt in einer Entwicklerlösung, die bei der Entwicklung verwendet wird, in dem Bereich von etwa 0,1 % bis etwa 10 %.
  • Es ist klar, dass vor, während und nach den Schritten 710 bis 740 von 12 weitere Fertigungsprozesse durchgeführt werden können. Zum Beispiel kann das Verfahren 700 einen Schritt des Spülens der lichtempfindlichen Schicht nach dem Belichtungsschritt 720, aber vor dem Härtungsschritt 730 aufweisen. Bei einigen Ausführungsformen wird das Spülen mit einer Flüssigkeit durchgeführt, deren Wassergehalt in dem Bereich von etwa 0,1 % bis etwa 10 % liegt. Als ein weiteres Beispiel kann das Verfahren 700 einen Schritt des Spülens der lichtempfindlichen Schicht nach dem Härtungsschritt 730, aber vor dem Entwicklungsschritt 740 aufweisen. Bei einigen Ausführungsformen wird das Spülen mit einer Flüssigkeit durchgeführt, deren Wassergehalt in dem Bereich von etwa 0,1 % bis etwa 10 % liegt. Der Einfachheit halber werden hier weitere Prozesse nicht näher erörtert.
  • Anhand der vorstehenden Erörterung ist zu erkennen, dass die vorliegende Erfindung verschiedene Vorzüge bei der EUV-Lithografie bietet. Es ist jedoch klar, dass hier nicht unbedingt alle Vorzüge erörtert werden, andere Ausführungsformen andere Vorzüge bieten können und kein spezieller Vorzug für alle Ausführungsformen erforderlich ist. Einer der Vorzüge besteht darin, dass durch Erhöhen der Feuchte des EUV-Fotoresists die Empfindlichkeit des Fotoresists verringert wird. Dadurch kann die Leistung der EUV-Lithografie verbessert werden, ohne die Energie des Belichtungsprozesses erhöhen zu müssen. Außerdem kann der Wafer-Durchsatz verbessert werden. Mit anderen Worten, mit der vorliegenden Erfindung können der Durchsatz und die lithografische Leistung gleichzeitig dadurch verbessert werden, dass das Einbringen von Wasser oder Feuchte in den lithografischen Prozessen genau geplant wird. Ein weiterer Vorzug ist, dass die vorstehend erörterten Prozesse mit dem bestehenden Fertigungsprozessablauf kompatibel sind und sich leicht implementieren lassen.
  • Die vorliegende Erfindung stellt ein Verfahren mit den folgenden Schritten bereit: Aufbringen einer lichtempfindlichen Schicht über einem Wafer; Belichten der lichtempfindlichen Schicht; Härten der lichtempfindlichen Schicht; Entwickeln der lichtempfindlichen Schicht; und Einbringen von Feuchte in die lichtempfindliche Schicht während des Härtens.
  • Die vorliegende Erfindung stellt weiterhin ein Material bereit, das Folgendes aufweist: ein lichtempfindliches Material für eine EUV-Lithografie (EUV: extremes Ultraviolett); ein Lösungsmittel; und Wasser.
  • Die vorliegende Erfindung stellt weiterhin eine Halbleiter-Fertigungsanlage bereit, die Folgendes aufweist: eine erste Komponente, die so konfiguriert ist, dass sie eine Fotoresistschicht über einem Wafer aufbringt; eine zweite Komponente, die so konfiguriert ist, dass sie die Fotoresistschicht härtet; eine dritte Komponente, die so konfiguriert ist, dass sie die Fotoresistschicht belichtet; und eine vierte Komponente, die so konfiguriert ist, dass sie die Fotoresistschicht entwickelt, wobei die zweite Komponente weiterhin so konfiguriert ist, dass sie Feuchte oder Wasser in die Fotoresistschicht einbringt.
  • Vorstehend sind Merkmale verschiedener Ausführungsformen beschrieben worden, sodass Fachleute die Aspekte der vorliegenden Erfindung besser verstehen können. Fachleuten dürfte klar sein, dass sie die vorliegende Erfindung ohne Weiteres als eine Grundlage zum Gestalten oder Modifizieren anderer Verfahren und Strukturen zum Erreichen der gleichen Ziele und/oder zum Erzielen der gleichen Vorzüge wie bei den hier vorgestellten Ausführungsformen verwenden können. Fachleute dürften ebenfalls erkennen, dass solche äquivalenten Auslegungen nicht von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abweichen und dass sie hier verschiedene Änderungen, Ersetzungen und Abwandlungen vornehmen können, ohne von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abzuweichen.

Claims (20)

  1. Verfahren (700) mit den folgenden Schritten: Aufbringen (710) einer lichtempfindlichen Schicht (160) über einem Wafer (100); Belichten (720) der lichtempfindlichen Schicht (160); Härten (730) der lichtempfindlichen Schicht (160); Entwickeln (740) der lichtempfindlichen Schicht (160); und Einbringen von Feuchte in die lichtempfindliche Schicht (160) während des Härtens (730).
  2. Verfahren (700) nach Anspruch 1, wobei das Einbringen zusätzlich vor oder während des Aufbringens (710) der lichtempfindlichen Schicht (160) durchgeführt wird.
  3. Verfahren (700) nach Anspruch 1 oder 2, wobei das Einbringen so durchgeführt wird, dass etwa 1 % bis etwa 15 % der lichtempfindlichen Schicht (160) Wasser sind.
  4. Verfahren (700) nach einem der vorhergehenden Ansprüche, wobei das Belichten (720) das Belichten der lichtempfindlichen Schicht (160) mit EUV-Licht (EUV: extremes Ultraviolett) umfasst.
  5. Verfahren (700) nach einem der vorhergehenden Ansprüche, wobei das Härten (730) vor und nach dem Belichten (720) und mit einer Feuchte-Einstellung von etwa 46 % bis etwa 55 % durchgeführt wird.
  6. Verfahren (700) nach einem der vorhergehenden Ansprüche, das weiterhin das Zugeben eines Spülgases, das N2 und CO2 enthält, während des Härtens (730) umfasst, das nach dem Belichten (720) durchgeführt wird.
  7. Verfahren (700) nach Anspruch 1, das weiterhin das Spülen der lichtempfindlichen Schicht nach dem Belichten (720), jedoch vor dem Härten (730) umfasst.
  8. Verfahren (700) nach Anspruch 7, wobei das Spülen mit einer Flüssigkeit durchgeführt wird, wobei ein Wassergehalt in der Flüssigkeit in dem Bereich von etwa 0,1 % bis etwa 10 % liegt.
  9. Verfahren (700) nach einem der Ansprüche 1 bis 6, das weiterhin das Spülen der lichtempfindlichen Schicht (160) nach dem Härten (730), jedoch vor dem Entwickeln (740) umfasst.
  10. Verfahren (700) nach Anspruch 9, wobei das Spülen mit einer Flüssigkeit durchgeführt wird, wobei ein Wassergehalt in der Flüssigkeit in dem Bereich von etwa 0,1 % bis etwa 10 % liegt.
  11. Verfahren (700) nach einem der Ansprüche 1 bis 4, wobei das Einbringen zusätzlich während des Entwickelns (740) durchgeführt wird und ein Wassergehalt in einer Entwicklerlösung, die bei dem Entwickeln (740) verwendet wird, in dem Bereich von etwa 0,1 % bis etwa 10 % liegt.
  12. Verfahren (700) nach Anspruch 3, wobei die lichtempfindliche Schicht (160) ein lichtempfindliches Material für eine EUV-Lithografie und ein Lösungsmittel umfasst und wobei nach dem Einbringen der Anteil des lichtempfindlichen Materials in der lichtempfindlichen Schicht (160) in dem Bereich von 1% bis 10% liegt und der Anteil des Lösungsmittels in der lichtempfindlichen Schicht (160) in dem Bereich von 80% bis 94% liegt.
  13. Verfahren (700) nach Anspruch 12, wobei das lichtempfindliche Material ein Polymer, einen fotochemischen Säurebildner, einen Löscher, ein Chromophor, einen grenzflächenaktiven Stoff und/oder einen Vernetzer umfasst, und das Lösungsmittel Propylenglycolmonomethylether (PGME) oder Propylenglycolmonomethyletheracetat (PGMEA) umfasst.
  14. Halbleiter-Fertigungsanlage (400) mit: einer ersten Komponente (410), die so konfiguriert ist, dass sie eine Fotoresistschicht (160) über einem Wafer (100) aufbringt; einer zweiten Komponente (420), die so konfiguriert ist, dass sie die Fotoresistschicht (160) härtet; einer dritten Komponente (430), die so konfiguriert ist, dass sie die Fotoresistschicht (160) belichtet; und einer vierten Komponente (470), die so konfiguriert ist, dass sie die Fotoresistschicht (160) entwickelt, wobei die zweite Komponente (420) weiterhin so konfiguriert ist, dass sie Feuchte oder Wasser in die Fotoresistschicht (160) einbringt.
  15. Halbleiter-Fertigungsanlage (400) nach Anspruch 14, wobei die dritte Komponente (430) so konfiguriert ist, dass sie die Fotoresistschicht (160) unter Verwendung von EUV-Licht (EUV: extremes Ultraviolett) belichtet.
  16. Halbleiter-Fertigungsanlage (400) nach Anspruch 14 oder 15, die weiterhin eine fünfte Komponente (440) aufweist, die so konfiguriert ist, dass sie die Fotoresistschicht (160) mit einer Flüssigkeit spült, die Wasser umfasst.
  17. Halbleiter-Fertigungsanlage (400) nach einem der Ansprüche 14 bis 16, die weiterhin ein Steuergerät (480) aufweist, das so konfiguriert ist, dass es einen Feuchtegehalt oder eine Wassermenge einstellt, der/die in die Fotoresistschicht (160) eingebracht wird.
  18. Halbleiter-Fertigungsanlage (400) nach Anspruch 17, wobei das Steuergerät (480) dazu eingerichtet ist, die Feuchte für die zweite Komponente (420) so zu konfigurieren, dass die Feuchte 46 % bis 55 % beträgt.
  19. Halbleiter-Fertigungsanlage (400) nach einem der Ansprüche 14 bis 18, wobei die zweite Komponente (420) dazu eingerichtet ist, ein Spülgas zuzugeben, das N2 und CO2 enthält.
  20. Halbleiter-Fertigungsanlage (400) nach einem der Ansprüche 14 bis 19, wobei weiterhin die erste Komponente (410) und/oder die vierte Komponente (470) so konfiguriert sind, dass sie Feuchte oder Wasser in die Fotoresistschicht (160) einbringen.
DE102017122398.3A 2017-09-19 2017-09-27 Verfahren zur feuchtesteuerung bei der euv-lithografie und halbleiter-fertigungsanlage Active DE102017122398B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/708,800 US10274847B2 (en) 2017-09-19 2017-09-19 Humidity control in EUV lithography
US15/708,800 2017-09-19

Publications (2)

Publication Number Publication Date
DE102017122398A1 DE102017122398A1 (de) 2019-03-21
DE102017122398B4 true DE102017122398B4 (de) 2023-03-23

Family

ID=65526905

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102017122398.3A Active DE102017122398B4 (de) 2017-09-19 2017-09-27 Verfahren zur feuchtesteuerung bei der euv-lithografie und halbleiter-fertigungsanlage

Country Status (5)

Country Link
US (3) US10274847B2 (de)
KR (2) KR20190032149A (de)
CN (1) CN109521648B (de)
DE (1) DE102017122398B4 (de)
TW (1) TWI655509B (de)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10386723B2 (en) 2016-03-04 2019-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography patterning with flexible solution adjustment
US10515847B2 (en) * 2017-09-29 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming vias and method for forming contacts in vias
US10838304B2 (en) * 2018-08-13 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Priming material for organometallic resist
US11221554B2 (en) * 2020-01-17 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. EUV masks to prevent carbon contamination
US20230012705A1 (en) * 2021-07-16 2023-01-19 Taiwan Semiconductor Manufacturing Co., Ltd. Humidity control or aqueous treatment for euv metallic resist

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030077539A1 (en) 2001-09-28 2003-04-24 Ping-Hung Lu Negative- acting aqueous photoresist composition
US8841058B2 (en) 2010-08-03 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Photolithography material for immersion lithography processes

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07199482A (ja) * 1993-12-28 1995-08-04 Fujitsu Ltd レジストパターン形成方法
TW464944B (en) * 1997-01-16 2001-11-21 Tokyo Electron Ltd Baking apparatus and baking method
TW389949B (en) * 1997-01-30 2000-05-11 Tokyo Electron Ltd Method and apparatus for coating and development of the photo-resist solution
JP3559133B2 (ja) * 1997-01-31 2004-08-25 大日本スクリーン製造株式会社 熱処理装置および基板処理装置
US6265323B1 (en) * 1998-02-23 2001-07-24 Kabushiki Kaisha Toshiba Substrate processing method and apparatus
US6780461B2 (en) * 1998-09-14 2004-08-24 Asml Holding N.V. Environment exchange control for material on a wafer surface
JP2002015971A (ja) 2000-06-27 2002-01-18 Matsushita Electric Ind Co Ltd パターン形成方法及び半導体装置の製造装置
JP2002202570A (ja) * 2000-12-28 2002-07-19 Fuji Photo Film Co Ltd 画像露光記録装置および方法
US20020110760A1 (en) * 2001-02-09 2002-08-15 Macronix International Co., Ltd. Method for improving hydrophilic character of photoresist and effect of development
US6787286B2 (en) * 2001-03-08 2004-09-07 Shipley Company, L.L.C. Solvents and photoresist compositions for short wavelength imaging
JP2004252146A (ja) * 2002-05-27 2004-09-09 Tokyo Ohka Kogyo Co Ltd ネガ型レジスト組成物
JP4233314B2 (ja) * 2002-11-29 2009-03-04 東京応化工業株式会社 レジスト組成物および溶解制御剤
CN1717630A (zh) * 2003-06-30 2006-01-04 株式会社新克 正型感光性组合物
US20060285091A1 (en) 2003-07-21 2006-12-21 Parekh Bipin S Lithographic projection apparatus, gas purging method, device manufacturing method and purge gas supply system related application
JP2005070319A (ja) * 2003-08-22 2005-03-17 Canon Inc 近接場露光用フォトレジスト、及びこれを用いた微細パターンの作製方法
KR100620673B1 (ko) 2004-01-05 2006-09-13 주식회사 하이닉스반도체 포토레지스트 세정액 조성물 및 이를 이용한 패턴 형성 방법
EP1741730B1 (de) * 2004-04-27 2010-05-12 Tokyo Ohka Kogyo Co., Ltd. Material zur bildung eines resistschutzfilms für das eintauchbelichtungsverfahren und verfahren zur bildung eines resistmusters unter verwendung des schutzfilms
KR100680425B1 (ko) * 2004-06-18 2007-02-08 주식회사 하이닉스반도체 수용성 네가티브 포토레지스트 중합체 및 이를 포함하는조성물
US8003293B2 (en) * 2004-09-30 2011-08-23 Intel Corporation Pixelated photoresists
CN100449403C (zh) * 2005-06-03 2009-01-07 广西真龙彩印包装有限公司 丝印网点印刷工艺
JP2007067344A (ja) 2005-09-02 2007-03-15 Canon Inc 露光装置および方法ならびにデバイス製造方法
KR20070109467A (ko) 2006-05-11 2007-11-15 주식회사 하이닉스반도체 이머젼 리소그라피 공정을 이용한 반도체 소자 제조방법
JP2008218866A (ja) * 2007-03-07 2008-09-18 Elpida Memory Inc パターン形成方法およびパターン形成装置
US8039194B2 (en) * 2008-01-08 2011-10-18 Internatinal Business Machines Corporation Photoacid generators for extreme ultraviolet lithography
JP2009294439A (ja) * 2008-06-05 2009-12-17 Toshiba Corp レジストパターン形成方法
US8764995B2 (en) 2010-08-17 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
CN102540771A (zh) * 2010-12-24 2012-07-04 无锡华润上华半导体有限公司 正性光刻胶用显影液及光刻工艺中的显影方法
JP5708521B2 (ja) * 2011-02-15 2015-04-30 信越化学工業株式会社 レジスト材料及びこれを用いたパターン形成方法
EP2765457A4 (de) * 2011-10-06 2015-05-27 Nissan Chemical Ind Ltd Zusammensetzung zur bildung einer siliciumhaltigen euv-lack-unterschichtfolie
US9851639B2 (en) * 2012-03-31 2017-12-26 International Business Machines Corporation Photoacid generating polymers containing a urethane linkage for lithography
US8841047B2 (en) 2012-04-02 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8877409B2 (en) 2012-04-20 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflective mask and method of making same
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
US8828625B2 (en) 2012-08-06 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography mask and multilayer deposition method for fabricating same
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9012132B2 (en) 2013-01-02 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Coating material and method for photolithography
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US9146469B2 (en) 2013-03-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Middle layer composition for trilayer patterning stack
JP6352385B2 (ja) * 2013-03-15 2018-07-04 ティーイーエル エフエスアイ,インコーポレイティド 加熱されたエッチング溶液を供する処理システム及び方法
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9372402B2 (en) * 2013-09-13 2016-06-21 The Research Foundation For The State University Of New York Molecular organometallic resists for EUV
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9529268B2 (en) 2014-04-03 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for improving pattern transfer
US9256123B2 (en) 2014-04-23 2016-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making an extreme ultraviolet pellicle
US9184054B1 (en) 2014-04-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
WO2016104565A1 (ja) * 2014-12-26 2016-06-30 富士フイルム株式会社 有機系処理液およびパターン形成方法
US9536759B2 (en) 2015-05-29 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd Baking apparatus and method
JP6520490B2 (ja) 2015-07-08 2019-05-29 信越化学工業株式会社 パターン形成方法
JP6411967B2 (ja) 2015-07-29 2018-10-24 信越化学工業株式会社 レジスト材料並びにこれを用いたパターン形成方法
US9612536B2 (en) 2015-08-31 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Developer for lithography
CN108292593B (zh) 2015-09-30 2023-02-17 东京毅力科创株式会社 使用极紫外光刻对衬底进行图案化的方法
US20170205712A1 (en) * 2016-01-20 2017-07-20 Micron Technology, Inc. Development apparatus and method for developing photoresist layer on wafer using the same
CN106158590A (zh) * 2016-08-01 2016-11-23 合肥佳瑞林电子技术有限公司 一种薄膜晶体管液晶显示器的tft加工工艺
JP6781031B2 (ja) * 2016-12-08 2020-11-04 東京エレクトロン株式会社 基板処理方法及び熱処理装置
US10095109B1 (en) * 2017-03-31 2018-10-09 Rohm And Haas Electronic Materials Llc Acid-cleavable monomer and polymers including the same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030077539A1 (en) 2001-09-28 2003-04-24 Ping-Hung Lu Negative- acting aqueous photoresist composition
US8841058B2 (en) 2010-08-03 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Photolithography material for immersion lithography processes

Also Published As

Publication number Publication date
TWI655509B (zh) 2019-04-01
US11307504B2 (en) 2022-04-19
KR20190032149A (ko) 2019-03-27
US20190086818A1 (en) 2019-03-21
US20190094716A1 (en) 2019-03-28
TW201915611A (zh) 2019-04-16
CN109521648B (zh) 2021-09-07
US20200319565A1 (en) 2020-10-08
KR102301871B1 (ko) 2021-09-16
DE102017122398A1 (de) 2019-03-21
US10274847B2 (en) 2019-04-30
KR20200092922A (ko) 2020-08-04
CN109521648A (zh) 2019-03-26

Similar Documents

Publication Publication Date Title
DE102017122398B4 (de) Verfahren zur feuchtesteuerung bei der euv-lithografie und halbleiter-fertigungsanlage
DE102015106624B4 (de) Verfahren zum Verringern einer Defekt-Druckbarkeit für eine 1D-Struktur
DE102015110459A1 (de) Euv-maske und herstellungsverfahren mit deren verwendung
DE102013104390A1 (de) Verfahren für die Herstellung einer Lithografiemaske
DE4430253C2 (de) Verkleinerndes Musterprojektionsgerät mit einem Raumfilter
DE102018114910B4 (de) Leistungssteigerung von metallischem EUV-Resist durch Additive und entsprechende Verfahren
DE102015112858B4 (de) Maske mit Mehrschichtstruktur und Herstellungsverfahren unter Verwendung einer solchen
DE112005002469B4 (de) Festphasenimmersionslinsenlithographie
DE102020114852A1 (de) Lithographiemaske mit amorpher abdeckschicht
DE102015111770A1 (de) Pellikel für euv-maske und seine herstellung
DE102015104687B4 (de) Verfahren für einen extrem-ultraviolett-lithografie-prozess
DE102015109358A1 (de) Verfahren und vorrichtung zum belichten einer struktur auf einem substrat
DE102016125824B4 (de) Verbessertes extrem-ultraviolett-lithographiesystem, pupillen-phasenmodulator und verfahren zum anwenden eines extrem-ultraviolett-lithographie-prozesses auf einen wafer
DE102012107757B4 (de) Verfahren zum Herstellen einer lithographischen Maske
DE102022100372A1 (de) Optische anordnung mit beschichtung und verwendungsverfahren
DE102020112776B4 (de) Fotolithografische EUV-Maske sowie Verfahren zum Herstellen einer fotolithografischen EUV-Maske
DE102021120742A1 (de) Netzwerk-pellikelmembran und verfahren zu deren herstellung
DE102020133281A1 (de) Euv-fotomaske und zugehörige verfahren
DE102019133965A1 (de) Euv-fotoresist mit liganden mit niedriger aktivierungsenergie oder liganden mit hoher entwicklerlöslichkeit
DE102019100154B4 (de) Verfahren zum Durchführen eines Lithografieprozesses und Lithographieprozess-Überwachungsverfahren
DE102017100340B4 (de) Verfahren zum Entwickeln eines lichtempfindlichen Materials und Fotolithografiewerkzeug
DE102023109493A1 (de) Lithografiesystem und verfahren, das ein thermomanagement umfasst
DE102020114051A1 (de) Fotomaske mit verbesserter kontaminationskontrolle und deren herstellungsverfahren
DE102014119634B4 (de) Verfahren zum herstellen von halbleitervorrichtungen und photolitographiematerial
DE102021212971A1 (de) Optisches system, projektionsbelichtungsanlage und verfahren

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final