DE102015109834B4 - Verfahren zur Ausbildung eines Fin-Feldeffekttransistor (Finfet) - Bauelementstruktur mit unebenem Gate - Google Patents

Verfahren zur Ausbildung eines Fin-Feldeffekttransistor (Finfet) - Bauelementstruktur mit unebenem Gate Download PDF

Info

Publication number
DE102015109834B4
DE102015109834B4 DE102015109834.2A DE102015109834A DE102015109834B4 DE 102015109834 B4 DE102015109834 B4 DE 102015109834B4 DE 102015109834 A DE102015109834 A DE 102015109834A DE 102015109834 B4 DE102015109834 B4 DE 102015109834B4
Authority
DE
Germany
Prior art keywords
layer
work function
forming
plasma
finfet
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102015109834.2A
Other languages
English (en)
Other versions
DE102015109834A1 (de
Inventor
Chai-Wei Chang
Che-Cheng Chang
Po-Chi WU
Yi-Cheng Chao
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102015109834A1 publication Critical patent/DE102015109834A1/de
Application granted granted Critical
Publication of DE102015109834B4 publication Critical patent/DE102015109834B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823456MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • H01L29/4958Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo with a multiple layer structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Engineering & Computer Science (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Thin Film Transistor (AREA)

Abstract

Verfahren zum Ausbilden einer Fin-Feldeffekttransistor(FinFET)-Vorrichtungsstruktur, umfassend:Ausbilden einer Fin-Struktur (110) über einem Substrat (102), wobei das Substrat (102) einen ersten Bereich (11) und einen zweiten Bereich (12) aufweist,Ausbilden einer ersten Dummy-Gate-Struktur (116a) und einer zweiten Dummy-Gate-Struktur (116b) über der Fin-Struktur,Ausbilden einer Zwischenschichtdielektrikum(ILD)-Struktur (128) über dem Substrat (102) und benachbart zur Dummy-Gate-Struktur,Beseitigen der ersten Dummy-Gate-Struktur (116a) und der zweiten Dummy-Gate-Struktur (116b) zum Ausbilden eines ersten Grabens (130a) und eines zweiten Grabens (130b) in der ILD-Struktur (128),Ausbilden einer ersten Gate-Struktur (132'a) im ersten Graben (130a) und einer zweiten Gate-Struktur (132'b) im zweiten Graben (130b), wobei die erste Gate-Struktur eine erste Schicht mit einer Austrittsarbeit (136'a) und die zweite Gate-Struktur eine zweite Schicht mit einer Austrittsarbeit (136'b) umfasst,Ausführen eines ersten Plasmaarbeitsgangs (13a) auf der ersten Schicht mit einer Austrittsarbeit (136'a) und der zweiten Schicht mit einer Austrittsarbeit (136'b) für eine erste Zeitspanne undAusführen eines zweiten Plasmaarbeitsgangs (13b) auf der ersten Schicht mit einer Austrittsarbeit (136'a) und der zweiten Schicht mit einer Austrittsarbeit (136'b) für eine zweite Zeitspanne, so dass nach dem Ausführen des ersten Plasmaarbeitsgangs und des zweiten Plasmaarbeitsgangs die erste Schicht mit einer Austrittsarbeit (136'a) eine erste Höhe (H3) und die zweite Schicht mit einer Austrittsarbeit (136'b) eine zweite Höhe (H4) aufweist und eine Lücke zwischen der ersten Höhe (H3), wobei der zweite Plasmaarbeitsgang (13b) bei einer Null-Vorspannungsleistung ausgeführt wird.

Description

  • ALLGEMEINER STAND DER TECHNIK
  • Halbleiterbauelemente werden in einer Vielzahl elektronischer Anwendungen, wie z.B. Arbeitsplatzcomputern, Mobiltelefonen, Digitalkameras und anderen elektronischen Geräten, eingesetzt. Halbleiterbauelemente werden üblicherweise hergestellt durch sequentielles Abscheiden isolierender oder dielektrischer Schichten, leitfähiger Schichten und halbleitender Schichten eines Materials über einem Halbleitersubstrat und Strukturieren dieser verschiedenartigen Materialschichten unter Verwendung der Lithografie, um darauf Komponenten und Elemente von Schaltungen auszubilden. Viele integrierte Schaltungen werden üblicherweise auf einem einzigen Halbleiterwafer erzeugt, und die individuellen Dies auf dem Wafer werden durch Sägen zwischen den integrierten Schaltungen entlang einer Ritzlinie vereinzelt. Die individuellen Dies werden üblicherweise separat, zum Beispiel in Mehrchipmodule, oder in andere Package-Typen gepackt.
  • Da die Halbleiterindustrie bei den Bemühungen um eine höhere Bauelementdichte, höhere Leistungsfähigkeit und niedrigere Kosten zu den Nanometertechnologie-Prozessknoten hin fortgeschritten ist, haben die Herausforderungen sowohl von der Herstellung als auch von Designproblemen her die Entwicklung von dreidimensionalen Bauformen, wie z.B. dem Fin-Feldeffekttransistor (FinFET), zur Folge gehabt. FinFETs werden mit einer dünnen vertikalen „Flosse“ (oder Fin-Struktur) hergestellt, die sich von einem Substrat aus erstreckt. Der Kanal des FinFET wird in dieser vertikalen Flosse ausgebildet. Über der Flosse ist ein Gate vorgesehen. Vorteile des FinFET können umfassen, dass der Kurzkanaleffekt verkleinert und ein höherer Stromfluss geliefert wird.
  • Obwohl die vorliegenden FinFET-Bauelemente und die Verfahren zur Herstellung von FinFET-Bauelementen im Allgemeinen für ihre Zweckbestimmung ausreichend gewesen sind, waren sie doch nicht in jeder Hinsicht vollkommen zufriedenstellend.
  • Figurenliste
  • Ausbildungen der vorliegenden Offenbarung sind am besten anhand der nachfolgenden ausführlichen Beschreibung zu verstehen, wenn sie mitsamt den beigefügten Figuren gelesen wird. Es soll angemerkt werden, dass im Einklang mit der üblichen Vorgehensweise in der Industrie die verschiedenartigen Merkmale nicht maßstabsgetreu gezeichnet sind. Tatsächlich können die Abmessungen der verschiedenartigen Merkmale aus Gründen der Verständlichkeit der Darlegung beliebig vergrößert oder verkleinert sein.
    • Die 1A-1H zeigen perspektivische Darstellungen von verschiedenartigen Stufen der Ausbildung einer FinFET-Bauelementstruktur gemäß einigen Ausführungsformen der Offenbarung.
    • Die 2A-2J zeigen Querschnittsdarstellungen von verschiedenartigen Stufen der Ausbildung einer FinFET-Bauelementstruktur, die in den 1G-1H dargestellt ist, gemäß einigen Ausführungsformen der Offenbarung.
    • 3 stellt eine Vorspannungsleistung (W) aufgetragen über einer Betriebsdauer (Sekunden) eines ersten Plasmaarbeitsgangs und eines zweiten Plasmaarbeitsgangs gemäß einigen Ausführungsformen dar.
    • 4 zeigt eine perspektivische Darstellung einer FinFET-Bauelementstruktur gemäß einigen Ausführungsformen der Offenbarung.
    • Die 5A-5E zeigen perspektivische Darstellungen von verschiedenartigen Stufen der Ausbildung einer FinFET-Bauelementstruktur gemäß einigen Ausführungsformen der Offenbarung.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die nachfolgende Offenbarung liefert viele unterschiedliche Ausführungsformen oder Beispiele für die Realisierung unterschiedlicher Merkmale des bereitgestellten Gegenstandes. Nachfolgend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Selbstverständlich sind das lediglich Beispiele, und sie sind nicht zur Einschränkung gedacht. Zum Beispiel kann das Ausbilden eines ersten Merkmals über oder auf einem zweiten Merkmal in der nachfolgenden Beschreibung Ausführungsformen umfassen, bei denen das erste und zweite Merkmal in einem direkten Kontakt ausgebildet werden, und es kann auch Ausführungsformen umfassen, bei denen zwischen dem ersten und zweiten Merkmal zusätzliche Merkmale derart ausgebildet sein können, dass das erste und zweite Merkmal nicht unmittelbar kontaktieren können. Außerdem können sich in der vorliegenden Offenbarung in den verschiedenartigen Beispielen Bezugsziffern und/oder Zeichen wiederholen. Diese Wiederholung dient der Vereinfachung und Übersichtlichkeit und ergibt von sich aus keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen.
  • Es werden einige Varianten der Ausführungsformen beschrieben. In den verschiedenartigen Ansichten und veranschaulichenden Ausführungsformen werden durchgängig gleiche Bezugsziffern verwendet, um ähnliche Elemente zu kennzeichnen. Es sollte verständlich sein, dass vor, während und nach dem Verfahren zusätzliche Arbeitsgänge vorgesehen werden können und dass für andere Ausführungsformen des Verfahrens einige der beschriebenen Arbeitsgänge ersetzt oder weggelassen werden können.
  • Es werden Ausführungsformen für das Ausbilden einer Fin-Feldeffekttransistor(FinFET)-Bauelementstruktur geschaffen. Die 1A-1H zeigen perspektivische Darstellungen von verschiedenartigen Stufen der Ausbildung einer FinFET-Bauelementstruktur 100 gemäß einigen Ausführungsformen der Offenbarung.
  • Mit Bezugnahme auf 1A wird ein Substrat 102 bereitgestellt. Das Substrat 102 kann aus Silizium oder anderen Halbleitermaterialien bestehen. Alternativ oder zusätzlich kann das Substrat 102 andere Elementhalbleitermaterialien, wie z.B. Germanium, enthalten. In einigen Ausführungsformen besteht das Substrat 102 aus einem Verbindungshalbleiter, wie z.B. Siliziumcarbid, Gallium-Arsen, Indiumarsenid oder Indiumphosphid. In einigen Ausführungsformen besteht das Substrat 102 aus einem Legierungshalbleiter, wie z.B. Silizium-Germanium, Silizium-Germanium-Carbid, Gallium-Arsen-Phosphid oder Gallium-IndiumPhosphid. In einigen Ausführungsformen weist das Substrat 102 eine Epitaxieschicht auf. Zum Beispiel kann das Substrat 102 eine Epitaxieschicht aufweisen, die auf einem Halbleiterkörper aufliegt.
  • Danach werden über dem Substrat 102 eine dielektrische Schicht 104 und eine Hartmaskenschicht 106 ausgebildet, und über der Hartmaskenschicht 106 wird eine Fotolackschicht 108 ausgebildet. Die Fotolackschicht 108 wird mittels eines Strukturierungsprozesses strukturiert. Der Strukturierungsprozess umfasst einen Fotolithografieprozess und einen Ätzprozess. Der Fotolithografieprozess umfasst ein Fotolackbeschichten (z.B. Rotationsbeschichten), schwaches Tempern, Ausrichten der Maske, Belichten, Tempern nach der Belichtung, Entwickeln des Fotolacks, Spülen und Trocknen (z.B. Einbrennen). Der Ätzprozess umfasst einen Trockenätzprozess oder einen Nassätzprozess.
  • Die dielektrische Schicht 104 ist eine Pufferschicht zwischen dem Substrat 102 und der Hartmaskenschicht 106. Außerdem wird die dielektrische Schicht 104 als eine Stoppschicht verwendet, wenn die Hartmaskenschicht 106 beseitigt wird. Die dielektrische Schicht 104 kann aus Siliziumoxid bestehen. Die Hartmaskenschicht 106 kann aus Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid oder einem anderen verwendbaren Material bestehen. In einigen Ausführungsformen werden mehr als eine Hartmaskenschicht 106 über der dielektrischen Schicht 104 ausgebildet.
  • Die dielektrische Schicht 104 und die Hartmaskenschicht 106 werden durch Abscheideprozesse, so z.B. einen chemischen Gasphasenabscheidungs(CVD)-Prozess, chemischen Gasphasenabscheidungsprozess von hochdichtem Plasma (HDPCVD-Prozess), Aufschleuderprozess, Aufsprühprozess oder einen beliebigen anderen verwendbaren Prozess, hergestellt.
  • Nachdem die Fotolackschicht 108 strukturiert ist, werden gemäß einigen Ausführungsformen die dielektrische Schicht 104 und die Hartmaskenschicht 106 strukturiert, indem die strukturierte Fotolackschicht 108 als eine Maske verwendet wird, wie in 1B dargestellt ist. Im Ergebnis werden eine strukturierte Auflageschicht 104 und eine strukturierte Hartmaskenschicht 106 erhalten. Danach wird die strukturierte Fotolackschicht 108 entfernt.
  • Danach wird auf dem Substrat 102 ein Ätzprozess ausgeführt, um eine Fin-Struktur 110 auszubilden, wobei die strukturierte Auflageschicht 104 und die strukturierte Hartmaskenschicht 106 als eine Maske verwendet werden. Der Ätzprozess kann ein Trockenätzprozess oder ein Nassätzprozess sein.
  • In einigen Ausführungsformen wird das Substrat 102 durch einen Trockenätzprozess geätzt. Der Trockenätzprozess schließt die Verwendung des Ätzgases auf Fluorbasis, wie z.B. SF6, CxFy, NF3 oder Kombinationen davon, ein. Der Ätzprozess kann ein zeitlich gesteuerter Prozess sein und fortgesetzt werden, bis die Fin-Strukturen 110 eine vorgegebene Höhe erreichen. In einigen anderen Ausführungsformen weist die Fin-Struktur 110 eine Breite auf, die allmählich vom obenliegenden Teil zum unteren Teil hin zunimmt.
  • Nachdem die Fin-Struktur 110 ausgebildet ist, werden die strukturierte dielektrische Schicht 104 und die strukturierte Maskenschicht 106 beseitigt. Gemäß einigen Ausführungsformen wird eine Isolationsschicht 112 ausgebildet, um die Fin-Struktur 110 über dem Substrat 102 abzudecken, wie in 1C dargestellt ist.
  • In einigen Ausführungsformen besteht die Isolationsschicht 112 aus Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid, mit Fluoriden dotiertem Silikatglas (FSG) oder einem anderen low-k-dielektrischen Material. Die Isolationsschicht 112 kann mithilfe eines chemischen Gasphasenabscheidungs(CVD)-Prozesses, eines Glasaufschleuderprozesses oder eines anderen anwendbaren Prozesses abgeschieden werden.
  • Danach wird die Isolationsschicht 112 gemäß einigen Ausführungsformen teilweise abgetragen oder planarisiert, um die Deckfläche der Fin-Struktur 110 freizulegen, wie in 1D dargestellt ist. In einigen Ausführungsformen wird das dielektrische Material 112 durch einen chemisch-mechanischen Polierprozess (CMP-Prozess) teilweise abgetragen.
  • Im Ergebnis wird die Fin-Struktur 110 von einer Isolationsstruktur 114, wie z.B. der Flachgrabenisolations(STI)-Struktur, umfasst. Ein unterer Teil der Fin-Struktur 110 wird von der Isolationsstruktur 114 umfasst, und ein oberer Teil der Fin-Struktur 110 ragt aus der Isolationsstruktur 114 heraus. Mit anderen Worten, ein Teil der Fin-Struktur 110 ist in die Isolationsstruktur 114 eingebettet. Die Isolationsstruktur 114 verhindert eine elektrische Störbeeinflussung oder Kreuzkopplung.
  • Gemäß einigen Ausführungsformen werden danach über die Fin-Struktur 110 hinweg eine erste Dummy-Gate-Struktur 116a und eine zweite Dummy-Gate-Struktur 116b ausgebildet, und sie erstrecken sich über der Isolationsstruktur 114, wie in 1E dargestellt ist. Die erste Dummy-Gate-Struktur 116a wird in einem ersten Bereich 11 und die zweite Dummy-Gate-Struktur 116b in einem zweiten Bereich 12 ausgebildet.
  • In einigen Ausführungsformen weist die erste Dummy-Gate-Struktur 116a eine erste Dummy-Gate-Dielektrikumschicht 118a und eine erste Dummy-Gate-Elektrodenschicht 120a auf, die über der ersten Dummy-Gate-Dielektrikumschicht 118a ausgebildet ist. In einigen Ausführungsformen weist die zweite Dummy-Gate-Struktur 116b eine zweite Dummy-Gate-Dielektrikumschicht 118b und eine zweite Dummy-Gate-Elektrodenschicht 120b auf, die über der zweiten Dummy-Gate-Dielektrikumschicht 118b ausgebildet ist.
  • Nachdem die erste Dummy-Gate-Struktur 116a und die zweite Dummy-Gate-Struktur 116b ausgebildet sind, werden auf gegenüberliegenden Seitenwänden der ersten Dummy-Gate-Struktur 116a erste Spacer 122a und auf gegenüberliegenden Seitenwänden der zweiten Dummy-Gate-Struktur 116b zweite Spacer 122b ausgebildet. Die ersten Spacer 122a und die zweiten Spacer 122b können eine einlagige Schicht oder mehrlagige Schichten sein.
  • In einigen Ausführungsformen weist die erste Dummy-Gate-Struktur 116a in einer Richtung parallel zur Fin-Struktur 110 eine erste Breite W1 auf, und die zweite Dummy-Gate-Struktur 116b weist in einer Richtung parallel zur Fin-Struktur 110 eine zweite Breite W2 auf. Anders gesagt, die erste Breite W1 wird von einer Kante der ersten Spacer 122a bis zu einer Kante der gegenüberliegenden ersten Spacer 122a gemessen. Die zweite Breite W2 wird von einer Kante der zweiten Spacer 122b bis zu einer Kante der gegenüberliegenden zweiten Spacer 122b gemessen. Die erste Breite W1 ist kleiner als die zweite Breite W2.
  • Danach werden in der Fin-Struktur 110 Source/Drain(S/D)-Strukturen 124 ausgebildet. In einigen Ausführungsformen werden Teile der Fin-Struktur 110, die an die erste Dummy-Gate-Struktur 116a und die zweite Dummy-Gate-Struktur 116b angrenzen, zurückgesetzt, um an zwei Seiten der Fin-Struktur 110 Vertiefungen auszubilden, und in den Vertiefungen wächst ein verspanntes Material mittels eines Epitaxie(Epi)-Prozesses auf, um die Source/Drain(S/D)-Strukturen 124 auszubilden. Außerdem kann sich die Gitterkonstante des verspannten Materials von der Gitterkonstante des Substrats 102 unterscheiden. In einigen Ausführungsformen enthalten die Source/Drain-Strukturen 124 Ge, SiGe, InAs, InGaAs, InSb, GaAs, GaSb, InAlP, InP oder dergleichen.
  • Nachdem die Source/Drain-Strukturen 124 ausgebildet sind, wird gemäß einigen Ausführungsformen über dem Substrat 102 eine Kontakt-Ätzstoppschicht (CESL) 126 und über der Kontakt-Ätzstoppschicht 126 eine Zwischenschichtdielektrikum(ILD)-Struktur 128 ausgebildet, wie in 1F dargestellt ist. In einigen Ausführungsformen besteht die Kontakt-Ätzstoppschicht 126 aus Siliziumnitrid, Siliziumoxinitrid und/oder anderen verwendbaren Materialien. Die Kontakt-Ätzstoppschicht 126 kann mittels einer plasmaunterstützten CVD, Niederdruck-CVD, ALD oder anderer anwendbarer Prozesse ausgebildet werden.
  • Die ILD-Struktur 128 kann mehrlagige Schichten aufweisen, die aus mehreren dielektrischen Materialien, wie z.B. Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid, Tetraethoxysilan (TEOS), Phosphorsilicatglas (PSG), Borphosphorsilicatglas (BPSG), low-k-dielektrischem Material und/oder anderen verwendbaren dielektrischen Materialien, bestehen. Beispiele für low-k-dielektrische Materialien schließen fluoriertes Silikatglas (FSG), kohlenstoffdotiertes Siliziumoxid, amorphen fluorierten Kohlenstoff, Parylene, Bis-Benzocyclobutene (BCB) oder Polyimid ein, sind aber nicht darauf beschränkt. Die ILD-Struktur 128 kann durch chemische Gasphasenabscheidung (CVD), physikalische Gasphasenabscheidung (PVD), Atomlagenabscheidung (ALD), Aufschleudern oder andere geeignete Prozesse ausgebildet werden.
  • Danach wird die ILD-Struktur 128 einem Polierprozess unterworfen, bis die Deckfläche der ersten Dummy-Gate-Struktur 116a und die Deckfläche der zweiten Dummy-Gate-Struktur 116b freigelegt sind. In einigen Ausführungsformen wird die ILD-Struktur 128 durch einen chemisch-mechanischen Polierprozess (CMP-Prozess) planarisiert.
  • Nachdem die ILD-Struktur 128 ausgebildet ist, wird gemäß einigen Ausführungsformen die erste Dummy-Gate-Struktur 116a beseitigt, um einen ersten Graben 130a in der ILD-Struktur 128 auszubilden, und die zweite Dummy-Gate-Struktur 116b wird beseitigt, um einen zweiten Graben 130b in der ILD-Struktur 128 auszubilden, wie in 1G dargestellt ist. Die erste Dummy-Gate-Struktur 116a und die zweite Dummy-Gate-Struktur 116b können durch einen Nassätzprozess oder einen Trockenätzprozess beseitigt werden.
  • Nachdem der erste Graben 130a und der zweite Graben 130b ausgebildet sind, werden gemäß einigen Ausführungsformen in dem ersten Graben 130a und dem zweiten Graben 130b jeweils eine erste geätzte Gate-Struktur 132'a bzw. eine zweite geätzte Gate-Struktur 132'b ausgebildet, wie in 1H dargestellt ist.
  • Die erste geätzte Gate-Struktur 132'a weist eine erste geätzte Dielektrikumschicht 134'a, eine erste geätzte Austrittsarbeit-Schicht 136'a und eine erste Gate-Elektrodenschicht 138'a auf. Die zweite geätzte Gate-Struktur 132'b weist eine zweite geätzte Dielektrikumschicht 134'b, eine zweite geätzte Austrittsarbeit-Schicht 136'b und eine zweite Gate-Elektrodenschicht 138'b auf. Die erste geätzte Gate-Struktur 132'a weist eine nicht geebnete Deckfläche auf, und die zweite geätzte Gate-Struktur 132'b weist eine nicht geebnete Deckfläche auf.
  • Über der ersten geätzten Gate-Struktur 132'a wird eine erste Hartmaskenstruktur 152a ausgebildet, und über der zweiten geätzten Gate-Struktur 132'b wird eine zweite Hartmaskenschicht 152b ausgebildet. Die erste Hartmaskenstruktur 152a weist einen ersten Teil 154a, einen zweiten Teil 156a und einen dritten Teil 158a auf, und die Dicken des ersten Teils 154a, des zweiten Teils 156a und des dritten Teils 158a sind unterschiedlich.
  • Die 2A-2J zeigen Querschnittsdarstellungen von verschiedenartigen Stufen der Ausbildung einer FinFET-Bauelementstruktur gemäß einigen Ausführungsformen der Offenbarung, die in den 1G-1H dargestellt ist. 2A ist eine Querschnittsdarstellung, die entlang der Linie II' von 1G aufgenommen ist.
  • Mit Bezugnahme auf 2A wird der erste Graben 130a zwischen den ersten Spacern 122a im ersten Bereich 11 ausgebildet, und der zweite Graben 130b wird zwischen den zweiten Spacern 122b im zweiten Bereich 12 ausgebildet. Der erste Graben 130a weist eine dritte Breite W3 in einer Richtung parallel zur Fin-Struktur 110 und der zweite Graben eine vierte Breite W4 in einer Richtung parallel zur Fin-Struktur 110 auf. Die dritte Breite W3 ist im Wesentlichen gleich der (in 1E dargestellten) ersten Breite W1. Die vierte Breite W4 ist im Wesentlichen gleich der (in 1E dargestellten) zweiten Breite W2.
  • Die dritte Breite W3 ist kleiner als die vierte Breite W4. Die vierte Breite W4 ist größer als die dritte Breite W3. In einigen Ausführungsformen liegt die dritte Breite W3 in einem Bereich von circa 10 nm bis zu circa 100 nm. In einigen Ausführungsformen liegt die vierte Breite W4 in einem Bereich von circa 101 nm bis zu circa 500 nm.
  • Nachdem der erste Graben 130a und der zweite Graben 130b ausgebildet sind, wird gemäß einigen Ausführungsformen im ersten Graben 130a und im zweiten Graben 130b eine Gate-Dielektrikumschicht 134 konform ausgebildet, wie in 2B dargestellt ist. Insbesondere wird die Gate-Dielektrikumschicht 134 über Bodenflächen und Seitenwänden des ersten Grabens 130a und des zweiten Grabens 130b und über der Zwischenschichtdielektrikum(ILD)-Struktur 128 ausgebildet.
  • Die Gate-Dielektrikumschicht 134 kann eine einlagige Schicht oder eine mehrlagige Schicht sein. Die Gate-Dielektrikumschicht 134 besteht aus Siliziumoxid (SiOx), Siliziumnitrid (SixNy), Siliziumoxinitrid (SiON), dielektrischem(n) Material(ien) mit einer kleinen Dielektrizitätskonstanten (low-k) oder Kombinationen davon. In einigen Ausführungsformen besteht die Gate-Dielektrikumschicht 134 aus einem extrem-low-k-(ELK)-dielektrischen Material mit einer Dielektrizitätskonstanten (k) kleiner als circa 2,5. In einigen Ausführungsformen schließen die ELK-dielektrischen Materialien kohlenstoffdotiertes Siliziumoxid, amorphen fluorierten Kohlenstoff, Parylene, Bis-Benzocyclobutene (BCB), Polytetrafluorethylen (PTFE) (Teflon) oder Siliziumoxicarbid-Polymere (SiOC) ein. In einigen Ausführungsformen schließen die ELK-dielektrischen Materialien eine poröse Ausführung eines existierenden dielektrischen Materials, wie z.B. Wasserstoff-Silsesquioxan (HSQ), poröses Methyl-Silsesqioxan (MSQ), porösen Polyarylether (PAE), poröses SiLK oder poröses Siliziumoxid (SiO2), ein. In einigen Ausführungsformen wird die Gate-Dielektrikumschicht 134 durch einen plasmaunterstützten chemischen Gasphasenabscheidungs(PECVD)-Prozess oder durch einen Aufschleuderprozess abgeschieden.
  • Anschließend wird über der Gate-Dielektrikumschicht 134 eine Austrittsarbeit-Schicht 136 abgeschieden. In einigen Ausführungsformen ist die Dicke der Austrittsarbeit-Schicht 136 größer als die Dicke der Gate-Dielektrikumschicht 134. Die Austrittsarbeit-Schicht 136 besteht aus einem Metallmaterial, und das Metallmaterial kann ein N-Austrittsarbeit-Metall oder P-Austrittsarbeit-Metall umfassen. Das N-Austrittsarbeit-Metall schließt Wolfram (W), Kupfer (Cu), Titan (Ti), Silber (Ag), Aluminium (Al), Titan-Aluminium-Legierung (TiAl), Titan-Aluminium-Nitrid (TiAlN), Tantalcarbid (TaC), Tantal-Kohlenstoff-Nitrid (TaCN), Tantal-Silizium-Nitrid (TaSiN), Mangan (Mn), Zirkon (Zr) oder Kombinationen davon ein. Das P-Austrittsarbeit-Metall schließt Titannitrid (TiN), Wolframnitrid (WN), Tantalnitrid (TaN), Ruthenium (Ru) oder Kombinationen davon ein.
  • Nachdem die Austrittsarbeit-Schicht 136 ausgebildet ist, wird gemäß einigen Ausführungsformen über der Austrittsarbeit-Schicht 136 eine Gate-Elektrodenschicht 138 ausgebildet, wie in 2C dargestellt ist. Die Gate-Elektrodenschicht 138 besteht aus leitfähigem Material, wie z.B. Aluminium (Al), Kupfer (Cu), Wolfram (W), Titan (Ti), Tantal (Ta) oder anderen verwendbaren Materialien.
  • Die Gate-Elektrodenschicht 138 wird mittels eines Abscheideprozesses, wie z.B. chemische Gasphasenabscheidung (CVD), physikalische Gasphasenabscheidung (PVD), Atomlagenabscheidung (ALD), CVD von hochdichtem Plasma (HDPCVD), metallorganische CVD (MOCVD) oder plasmaunterstützte CVD (PECVD), ausgebildet.
  • Anschließend wird gemäß einigen Ausführungsformen ein Teil der Gate-Dielektrikumschicht 134, der Austrittsarbeit-Schicht 136 und der Gate-Elektrodenschicht 138 außerhalb des ersten Grabens 130a und des zweiten Grabens 130b durch einen Abtrageprozess 11 beseitigt, wie in 2D dargestellt ist. In einigen Ausführungsformen ist der Abtrageprozess 11 ein chemisch-mechanischer Polierprozess (CMP-Prozess).
  • Im Ergebnis wird durch eine erste Gate-Dielektrikumschicht 134a, eine erste Austrittsarbeit-Schicht 136a und eine erste Gate-Elektrodenschicht 138a, die in dem ersten Graben 130a ausgebildet sind, im ersten Bereich 11 eine erste Gate-Struktur 132a aufgebaut. Durch eine zweite Gate-Dielektrikumschicht 134b, eine zweite Austrittsarbeit-Schicht 136b und eine zweite Gate-Elektrodenschicht 138b, die in dem zweiten Graben 130b ausgebildet sind, wird im zweiten Bereich 12 eine zweite Gate-Struktur 132a aufgebaut. Es soll angemerkt werden, dass die Fläche der zweiten Gate-Elektrodenschicht 138b im zweiten Bereich 12 größer als die Fläche der ersten Gate-Elektrodenschicht 138a im ersten Bereich 11 ist.
  • Die erste Gate-Struktur 132a und die zweite Gate-Struktur 132b liegen quer über einem Mittelteil der Fin-Struktur 110. Unter der ersten Gate-Struktur 132a und der zweiten Gate-Struktur 132b ist ein Kanalbereich ausgebildet, und der Kanalbereich wird von der ersten Gate-Struktur 132a und der zweiten Gate-Struktur 132b umhüllt.
  • Es soll angemerkt werden, das der CMP-Prozess auf der ersten Gate-Elektrodenschicht 138a im ersten Bereich 11 und der zweiten Gate-Elektrodenschicht 138b im zweiten Bereich 12 ausgeführt wird. Der CMP-Prozess krankt an einem Problem, das als „Schüssel-Effekt“ bezeichnet wird. Der Schüssel-Effekt wird erzeugt, wenn der CMP-Prozess auf der Metallschicht ausgeführt wird, die über Gräben ausgebildet ist, welche eine unterschiedliche Breite aufweisen. Die Oberfläche der Metallschicht ist in einer breiteren Öffnung in einer Schüsselform abgesenkt. Deshalb wird nach dem CMP-Prozess ein Ätzprozess auf die erste Gate-Elektrodenschicht 138a und die zweite Gate-Elektrodenschicht 138b angewendet, um den Schüssel-Effekt zwischen dem ersten Bereich 11 und dem zweiten Bereich 12 zu vermindern.
  • Im Ergebnis wird die erste Gate-Elektrodenschicht 138a im ersten Bereich 11 geringfügig zurückgesetzt, um eine erste Vertiefung 160a auszubilden, und die zweite Gate-Elektrodenschicht 138b im zweiten Bereich 12 wird stark zurückgesetzt, um eine zweite Vertiefung 160b auszubilden. Die Tiefe der zweiten Vertiefung 160b ist größer als die Tiefe der ersten Vertiefung 160a.
  • In einigen Ausführungsformen weist die erste Vertiefung 160a eine erste Tiefe D1 auf, die von einer Deckfläche des ersten Gate-Spacers 122a bis zu einer Deckfläche der ersten Gate-Elektrodenschicht 138a gemessen wird. In einigen Ausführungsformen weist die zweite Vertiefung 160b eine zweite Tiefe D2 auf, die von einer Deckfläche des zweiten Gate-Spacers 122a bis zu einer Deckfläche der zweiten Gate-Elektrodenschicht 138b gemessen wird. Die zweite Tiefe D2 ist größer als die erste Tiefe D1.
  • Für Bereiche mit unterschiedlichen freiliegenden Flächen (oder geätzten Flächen), ist es wegen des Loading-Effekts schwierig, die Gleichmäßigkeit des Ätzens zu steuern. In Abhängigkeit von der Ätzstrategie besteht der Loading-Effekt darin, dass die Ätzrate für eine größere Fläche entweder schneller oder langsamer als für eine kleinere Fläche ist. Mit anderen Worten, der Loading-Effekt besteht darin, dass die Ätzrate auf einer großen Fläche nicht zur Ätzrate auf einer kleinen Fläche passt. Das bedeutet, dass der Loading-Effekt durch die Strukturdichte beeinflusst sein kann. Während des Ätzens der ersten Austrittsarbeit-Schicht 136a in einem ersten Bereich 11 und der zweiten Austrittsarbeit-Schicht 136b in einem zweiten Bereich 12 ist es deshalb schwieriger, die Gleichmäßigkeit der Ätztiefe zu steuern.
  • Wie oben erwähnt wurde, liegt nach dem Abtrageprozess 11 außerdem eine Deckfläche der zweiten Gate-Elektrodenschicht 138b tiefer als eine Deckfläche der ersten Gate-Elektrodenschicht 138a. Genauer gesagt, von der zweiten Austrittsarbeit-Schicht 136b wird eine größere Fläche freigelegt als von der ersten Austrittsarbeit-Schicht 136a, da der abgetragene Bereich der zweiten geätzten Gate-Elektrodenschicht 138'b größer als der von der ersten geätzten Gate-Elektrodenschicht 138'a ist. Deshalb kann nach dem zweiten Ätzprozess die Ätztiefe der zweiten Austrittsarbeit-Schicht 136b wegen des Loading-Effekts größer als die Ätztiefe der ersten Austrittsarbeit-Schicht 136a sein.
  • Wird die zweite Austrittsarbeit-Schicht 136b im zweiten Bereich 12 mehr als im vorgegebenen Umfang abgetragen, dann kann die Durchschlagspannung (Vbd) der zweiten Gate-Struktur 132b unerwünscht abnehmen. Genauer gesagt, wird zu viel von der zweiten Austrittsarbeit-Schicht 136b abgetragen, dann kann die zweite Austrittsarbeit-Schicht 136b eine Deckfläche der Fin-Struktur 110 berühren, und die zweite Gate-Struktur 132b kann versagen. Wenn hingegen im ersten Bereich 11 die erste Austrittsarbeit-Schicht 136a weniger als im vorgegebenen Umfang abgetragen wird, dann kann eine Gate-Drain-Kapazität (Cgd) der ersten Gate-Struktur 132a unerwünscht abnehmen.
  • Um die obigen Probleme zu lösen, wird ein zweiter Ätzprozess ausgeführt, der einen ersten Plasmaarbeitsgang 13a und einen zweiten Plasmaarbeitsgang 13b umfasst. Nach dem Abtrageprozess 11 wird der zweite Ätzprozess verwendet, um einen Teil der ersten Austrittsarbeit-Schicht 136a und einen Teil der zweiten Austrittsarbeit-Schicht 136b zu entfernen.
  • Gemäß einigen Ausführungsformen umfasst der zweite Ätzprozess den ersten Plasmaarbeitsgang 13a gemäß der Darstellung in 2E und den zweiten Plasmaarbeitsgang 13b gemäß der Darstellung in 2F. Der erste Plasmaarbeitsgang 13a ist ausgelegt, einen Teil der ersten Austrittsarbeit-Schicht 136a und einen Teil der zweiten Austrittsarbeit-Schicht 136b zu entfernen, und der zweite Plasmaarbeitsgang 13a ist ausgelegt, auf der ersten Austrittsarbeit-Schicht 136a und der zweiten Austrittsarbeit-Schicht 136b einen Schutzfilm 137 auszubilden.
  • In einigen Ausführungsformen wird der zweite Ätzprozess bei einer Temperatur von circa 60 Grad bis circa 100 Grad betrieben. Ist die Temperatur niedriger als 60 Grad, dann kann die Ätzrate zu niedrig sein, und es wird mehr Ätzzeit benötigt. Damit können die Herstellungskosten zunehmen. Ist die Temperatur höher als 100 Grad, dann kann die Ätzrate zu hoch sein. Folglich ist der Ätzbetrag schwer zu steuern, und es kann zu einem Überätzen kommen.
  • In einigen Ausführungsformen wird der zweite Ätzprozess bei einem Druck in einem Bereich von circa 1 Millitorr bis zu circa 10 Millitorr betrieben. Ist der Druck des zweiten Ätzprozesses kleiner als 1 Millitorr, dann ist die Ätzrate zu klein. Ist der Druck des zweiten Ätzprozesses höher als 80 Millitorr, dann ist die Gleichmäßigkeit des Ätzens gering.
  • In einigen Ausführungsformen wird der erste Plasmaarbeitsgang 13a unter Verwendung eines ersten Plasmas ausgeführt, das Borchlorid (BCl3), Chlorgas (Cl2), Sauerstoff (O2) oder Kombinationen davon enthält. In einigen Ausführungsformen wird der erste Plasmaarbeitsgang 13a bei einer Leistung in einem Bereich von circa 100 W bis zu circa 500 W ausgeführt. Ist die Leistung kleiner als 100 W, dann kann die Ätzrate zu niedrig sein. Ist die Leistung größer als 500 W, dann kann die Ätzrate zu hoch sein, und die kritische Abmessung (CD) ist schwer zu kontrollieren.
  • Nachdem der erste Plasmaarbeitsgang 13a ausgeführt ist, wird gemäß einigen Ausführungsformen der zweite Plasmaarbeitsgang 13b auf der ersten Austrittsarbeit-Schicht 136a und der zweiten Austrittsarbeit-Schicht 136b ausgeführt, wie in 2F dargestellt ist. Es soll angemerkt werden, dass der erste Arbeitsgang 13a und der zweite Plasmaarbeitsgang 13b in derselben Kammer ohne ein Umsetzen in eine andere Kammer ausgeführt werden, sodass die Schadstoffbelastung vermindert wird.
  • Es soll angemerkt werden, dass sich der Schutzfilm 137 während des zweiten Plasmaarbeitsgangs 13b temporär über der ersten Austrittsarbeit-Schicht 136a und der zweiten Austrittsarbeit-Schicht 136b ausbilden kann. Der Schutzfilm 137 wird verwendet, um zu verhindern, dass die zweite Austrittsarbeit-Schicht 136b infolge des Loading-Effekts zu stark geätzt wird.
  • In einigen Ausführungsformen wird der zweite Plasmaarbeitsgang 13b unter Verwendung eines zweiten Plasmas ausgeführt, das Chlorgas (Cl2), Bromwasserstoff (HBr) oder Kombinationen davon enthält. In einigen Ausführungsformen wird der zweite Plasmaarbeitsgang 13b bei einer Nullleistung ausgeführt. Während des zweiten Plasmaarbeitsgangs 13b ist die Hauptfunktion des zweiten Plasmas das Ausführen eines Abscheidearbeitsgangs. Die Hauptfunktion des ersten Plasmas ist das Ausführen eines Ätzarbeitsgangs.
  • Wird die Vorspannungsleistung des zweiten Plasmaarbeitsgangs 13b auf null gesetzt, dann kann das aus dem zweiten Plasma erzeugte Gas miteinander reagieren, um über der ersten Austrittsarbeit-Schicht 136a und der zweiten Austrittsarbeit-Schicht 136b ein Polymer auszubilden. Das temporäre Polymer wird als eine Schutzschicht verwendet, um zu verhindern, dass die zweite Austrittsarbeit-Schicht 136b zu stark geätzt wird. Demzufolge wird der Loading-Effekt zwischen der ersten Austrittsarbeit-Schicht 136a und der zweiten Austrittsarbeit-Schicht 136b verringert.
  • 3 stellt eine Vorspannungsleistung (W) aufgetragen über einer Betriebsdauer (Sekunden) des ersten Plasmaarbeitsgangs 13a und des zweiten Plasmaarbeitsgangs 13b gemäß einigen Ausführungsformen der Offenbarung dar. Der erste Plasmaarbeitsgang 13a wird über eine Zeitspanne t1 betrieben, und der zweite Arbeitsgang 13b wird über eine Zeitspanne t2 betrieben. In einigen Ausführungsformen liegt ein Verhältnis der ersten Zeitspanne zur zweiten Zeitspanne in einem Bereich von circa 1/4 bis zu circa 4/1. Liegt das Verhältnis nicht in dem genannten Bereich, dann kann der Loading-Effekt erheblich sein.
  • Es soll angemerkt werden, dass der erste Plasmaarbeitsgang 13a bei einer Vorspannungsleistung in einem Bereich von circa 100 W bis zu circa 500 W, der zweite Plasmaarbeitsgang 13b hingegen bei einer Nullleistung betrieben wird. Wenn die Leistung einen Wert null annimmt, dann wird kein Ätzvorgang ausgeführt. Deshalb kann der Loading-Effekt durch Ausführen des zweiten Plasmaarbeitsgangs 13b nach dem ersten Plasma-Arbeitsgang 13a verringert werden.
  • Nach dem zweiten Plasmaarbeitsgang 13b werden gemäß einigen Ausführungsformen die erste geätzte Austrittsarbeit-Schicht 136'a und die zweite geätzte Austrittsarbeit-Schicht 136'b erhalten, wie in 2G dargestellt ist. Zusätzlich werden über der ersten geätzten Austrittsarbeit-Schicht 136'a eine erste Durchkontaktierung 162a und über der zweiten geätzten Austrittsarbeit-Schicht 136'b eine zweite Durchkontaktierung 162b ausgebildet.
  • In einigen Ausführungsformen weist die erste geätzte Austrittsarbeit-Schicht 136'a eine dritte Höhe H3 auf, die von einer Deckfläche der Isolationsstruktur 114 bis zu einer Deckfläche der ersten geätzten Austrittsarbeit-Schicht 136'a gemessen wird. In einigen Ausführungsformen weist die zweite geätzte Austrittsarbeit-Schicht 136'b eine vierte Höhe H4 auf, die von einer Deckfläche der Isolationsstruktur 114 bis zu einer Deckfläche der zweiten geätzten Austrittsarbeit-Schicht 136'b gemessen wird. In einigen Ausführungsformen ist die dritte Höhe H3 größer als die vierte Höhe H4. In einigen Ausführungsformen liegt eine Lücke ΔH zwischen der dritten Höhe H3 und der vierten Höhe H4 in einem Bereich von circa 1 nm bis zu circa 6 nm. In einigen Ausführungsformen liegt eine Lücke ΔH zwischen der dritten Höhe H3 und der vierten Höhe H4 in einem Bereich von circa 2 nm bis zu circa 4 nm.
  • In einigen Ausführungsformen weist die erste Durchkontaktierung 162a eine dritte Tiefe D3 auf, die von einer Deckfläche der ersten Gate-Spacer 122a bis zu einer Deckfläche der ersten geätzten Austrittsarbeit-Schicht 136'a gemessen wird. In einigen Ausführungsformen weist die zweite Durchkontaktierung 162b eine vierte Tiefe D4 auf, die von einer Deckfläche der zweiten Gate-Spacer 122b bis zu einer Deckfläche der zweiten geätzten Austrittsarbeit-Schicht 136'b gemessen wird. In einigen Ausführungsformen ist dritte Tiefe D3 größer als die vierte Tiefe D4. In einigen Ausführungsformen liegt eine Lücke ΔH zwischen der dritten Tiefe D3 und der vierten Tiefe D4 in einem Bereich von circa 1 nm bis zu circa 6 nm. In einigen Ausführungsformen liegt eine Lücke ΔH zwischen der dritten Tiefe D3 und der vierten Tiefe D4 in einem Bereich von circa 2 nm bis zu circa 4 nm.
  • Nach dem zweiten Plasmaarbeitsgang 13b und vor dem dritten Ätzprozess (gemäß Darstellung in 2G) können der erste Plasmaarbeitsgang 13a und der zweite Plasmaarbeitsgang 13b wiederholt werden, bis die Lücke ΔH zwischen der ersten geätzten Austrittsarbeit-Schicht 136'a und der zweiten geätzten Austrittsarbeit-Schicht 136'b den vorgegebenen Wert erreicht. Ein Zyklus umfasst das Ausführen des ersten Plasmaarbeitsgangs 13a und des zweiten Plasmaarbeitsgangs 13b. In einigen Ausführungsformen ist die Zykluszeit in einem Bereich von 0 bis 10.
  • Nachdem der zweite Ätzprozess, der den ersten Arbeitsgang 13a und den zweiten Arbeitsgang 13b umfasst, ausgeführt ist, wird gemäß einigen Ausführungsformen auf der ersten Gate-Dielektrikumschicht 134a im ersten Bereich 11 und der zweiten Gate-Dielektrikumschicht 134b im zweiten Bereich 12 ein dritter Ätzprozess 15 ausgeführt, wie in 2H dargestellt ist.
  • Im Ergebnis werden nach dem dritten Ätzprozess 15 die erste geätzte Gate-Dielektrikumschicht 134'a und die zweite geätzte Gate-Dielektrikumschicht 134'b erhalten. In einigen Ausführungsformen weist die erste geätzte Gate-Dielektrikumschicht 134'a eine fünfte Höhe H5 und die zweite geätzte Gate-Dielektrikumschicht 134'b eine sechste Höhe H6 auf. In einigen Ausführungsformen ist die fünfte Höhe H5 gleich der sechsten Höhe H6. Die fünfte Höhe H5 der ersten geätzten Gate-Dielektrikumschicht 134'a ist größer als die dritte Höhe H3 der ersten geätzten Austrittsarbeit-Schicht 136'a. Die sechste Höhe H6 der zweiten geätzten Gate-Dielektrikumschicht 134'b ist größer als die vierte Höhe H4 der zweiten geätzten Austrittsarbeit-Schicht 136'b.
  • Darüber hinaus wird ein obenliegender Teil der ersten Gate-Dielektrikumschicht 134a entfernt, um in dem ersten Bereich 11 ein erstes Loch 164a auszubilden, und ein obenliegender Teil der zweiten Gate-Dielektrikumschicht 134b wird entfernt, um in dem zweiten Bereich 12 ein zweites Loch 164b auszubilden. In einigen Ausführungsformen weist das erste Loch 164a eine fünfte Tiefe D5 und das zweite Loch 164b eine sechste Tiefe D6 auf. In einigen Ausführungsformen ist die fünfte Tiefe D5 gleich der sechsten Tiefe D6.
  • Nach dem dritten Ätzprozess 15 wird gemäß einigen Ausführungsformen auf der ersten Gate-Elektrodenschicht 138a und der zweiten Gate-Elektrodenschicht 138b ein vierter Ätzprozess 17 ausgeführt, wie in 2I dargestellt ist. Im Ergebnis werden die erste geätzte Gate-Elektrodenschicht 138'a und die zweite geätzte Gate-Elektrodenschicht 138'b erhalten. In einigen Ausführungsformen weist die erste geätzte Gate-Elektrodenschicht 138'a eine siebente Höhe H7 und die zweite geätzte Gate-Elektrodenschicht 138'b eine achte Höhe H8 auf. Die siebente Höhe H7 ist im Wesentlichen gleich der achten Höhe H8. Die siebente Höhe H7 ist größer als die fünfte Höhe H5 der ersten geätzten Gate-Dielektrikumschicht 134'a. Die achte Höhe H8 ist größer als die sechste Höhe H6 der zweiten geätzten Gate-Dielektrikumschicht 134'b.
  • Außerdem wird ein obenliegender Teil der ersten Gate-Elektrodenschicht 138 entfernt, um einen ersten Hohlraum 166a im ersten Bereich 11 auszubilden, und ein obenliegender Teil der zweiten Gate-Elektrodenschicht 138b wird entfernt, um einen zweiten Hohlraum 166b im zweiten Bereich 12 auszubilden. In einigen Ausführungsformen weist der erste Hohlraum 166a eine siebente Tiefe D7 und der zweite Hohlraum 166b eine achte Tiefe D8 auf. Die siebente Tiefe D7 ist gleich der achten Tiefe D8.
  • Nach dem vierten Ätzprozess 17 wird gemäß einigen Ausführungsformen auf der geätzten Gate-Struktur 132'a eine erste Hartmaskenschicht 152a ausgebildet und auf der geätzten Gate-Struktur 132'b eine zweite Hartmaskenschicht 152b ausgebildet, wie in 2J dargestellt ist. Die erste Hartmaskenschicht 152a weist eine unebene Bodenfläche auf, und die zweite Hartmaskenschicht 152a weist eine unebene Bodenfläche auf.
  • Die erste Hartmaskenstruktur 152a weist einen ersten Teil 154a über der ersten geätzten Gate-Dielektrikumschicht 134'a, einen zweiten Teil 156a über der ersten geätzten Austrittsarbeit-Schicht 136'a und einen dritten Teil 158a über der ersten geätzten Gate-Elektrodenschicht 138'a auf. Die zweite Hartmaskenstruktur 152b weist einen ersten Teil 154b über der zweiten geätzten Gate-Dielektrikumschicht 134'b, einen zweiten Teil 156b über der zweiten geätzten Austrittsarbeit-Schicht 136'b und einen dritten Teil 158b über der zweiten geätzten Gate-Elektrodenschicht 138'b auf.
  • In einigen Ausführungsformen wird die erste Hartmaskenstruktur 152a ausgebildet, indem die erste Durchkontaktierung 162a, das erste Loch 164a und der erste Hohlraum 166a mit einem dielektrischen Material ausgefüllt werden. Die zweite Hartmaskenstruktur 152b wird ausgebildet, indem die zweite Durchkontaktierung 162b, das zweite Loch 164b und der zweite Hohlraum 166b mit einem dielektrischen Material ausgefüllt werden.
  • In einigen Ausführungsformen bestehen die Hartmaskenstrukturen 152a, 152b aus Siliziumnitrid. Die Hartmaskenstrukturen 152a, 152b können unter Verwendung einer chemischen Gasphasenabscheidung bei niedrigem Druck (LPCVD) oder einer plasmaunterstützten chemischen Gasphasenabscheidung (PECVD) ausgebildet werden, obwohl in einigen anderen Ausführungsformen auch andere Abscheideprozesse verwendet werden können.
  • 4 zeigt eine perspektivische Darstellung einer FinFET-Bauelementstruktur 100b gemäß einigen Ausführungsformen der Offenbarung. Die Halbleiterstruktur 100b ist ähnlich zu der in den 1H und 2J dargestellten Halbleiterstruktur 100 oder die gleiche wie sie, abgesehen davon, dass die Deckflächen der ersten geätzten Gate-Dielektrikumschicht 134'a und der ersten geätzten Austrittsarbeit-Metallschicht 136'a auf einer Ebene liegen. Die Prozesse und Materialien, die zum Ausbilden der Halbleiterstruktur 100b eingesetzt werden, können ähnlich oder die gleichen wie diejenigen sein, die zum Ausbilden der Halbleiterstruktur 100 verwendet werden, und werden hier nicht noch einmal dargelegt.
  • Wie in 4 dargestellt ist, liegt die Deckfläche der ersten geätzten Gate-Elektrodenschicht 138'a höher als die Deckfläche der ersten Austrittsarbeit-Schicht 136'a, und die Deckfläche der zweiten geätzten Gate-Elektrodenschicht 138'b liegt höher als die Deckfläche der zweiten Austrittsarbeit-Schicht 136'b.
  • Die 5A-5E zeigen perspektivische Darstellungen von verschiedenartigen Stufen der Ausbildung einer FinFET-Bauelementstruktur 100a gemäß einigen Ausführungsformen der Offenbarung.
  • Mit Bezugnahme auf 5A wird der erste Plasmaarbeitsgang 13a auf der ersten Austrittsarbeit-Schicht 136a und der zweiten Austrittsarbeit-Schicht 136b ausgeführt.
  • Nach dem ersten Plasmaarbeitsgang 13a wird gemäß einigen Ausführungsformen der Offenbarung der zweite Plasmaarbeitsgang 13b ausgeführt, wie in 5B dargestellt ist. Auf der ersten Austrittsarbeit-Schicht 136a und der zweiten Austrittsarbeit-Schicht 136b werden temporär die Schutzfilme 137 ausgebildet. Die Hauptfunktion der Schutzfilme 137 ist es, als ein Schutz verwendet zu werden, um ein zu starkes Ätzen der zweiten Austrittsarbeit-Schicht 136b zu verhindern.
  • Nach dem zweiten Plasma-Arbeitsgang 13b kann ein zweiter Zyklus, der den ersten Plasmaarbeitsgang 13a und den zweiten Plasmaarbeitsgang 13b umfasst, nochmals ausgeführt werden. Durch Wiederholen des Zyklus kann der Loading-Effekt nach und nach vermindert werden. Die Dauer des Zyklus kann gemäß der jeweiligen Anwendung angepasst werden.
  • Danach werden gemäß einigen Ausführungsformen der Offenbarung die obenliegenden Teile der ersten Gate-Dielektrikumschicht 134a und der zweiten Gate-Dielektrikumschicht 134b durch den dritten Ätzprozess 15 beseitigt, wie in 5C dargestellt ist.
  • Die erste geätzte Austrittsarbeit-Schicht 136'a weist eine neunte Höhe H9 und die zweite geätzte Austrittsarbeit-Schicht 136'b eine zehnte Höhe H10 auf. Die neunte Höhe H9 ist kleiner als die zehnte Höhe H10. In einigen Ausführungsformen liegt eine Lücke ΔH zwischen der neunten Höhe H9 und der zehnten Höhe H10 in einem Bereich von circa 1 nm bis zu circa 6 nm. In einigen Ausführungsformen liegt eine Lücke ΔH zwischen der neunten Höhe H9 und der zehnten Höhe H10 in einem Bereich von circa 2 nm bis zu circa 4 nm. Die erste geätzte Austrittsarbeit-Schicht 136'a liegt auf einer Ebene mit der ersten geätzten Gate-Dielektrikumschicht 134'a.
  • Nach dem dritten Ätzprozess 15 wird gemäß einigen Ausführungsformen der Offenbarung der vierte Ätzprozess 17 ausgeführt, um die obenliegenden Teile der ersten Gate-Elektrodenschicht 138a und der zweiten Gate-Elektrodenschicht 138b zu beseitigen, wie in 5D dargestellt ist.
  • Nach dem vierten Ätzprozess 17 werden gemäß einigen Ausführungsformen der Offenbarung über der unebenen Fläche der ersten geätzten Gate-Struktur 132'a und der zweiten geätzten Gate-Struktur 132'b die erste Hartmaskenschicht 152a und die zweite Hartmaskenschicht 152b ausgebildet, wie in 5E dargestellt ist.
  • Wenn der Loading-Effekt zwischen dem ersten Bereich 11 und dem zweiten Bereich 12 vermindert ist, ist die (in 2G dargestellte) dritte Höhe H3 der ersten geätzten Austrittsarbeit-Schicht 136'a kleiner als die vierte Höhe H4 der zweiten geätzten Austrittsarbeit-Schicht 136'b. Demzufolge ist die Gate-Drain-Kapazität (Cgd) der ersten Gate-Struktur 132a vermindert und die Durchschlagspannung (Vbd) der zweiten Gate-Struktur 132b erhöht. Außerdem werden der erste Plasmaarbeitsgang und der zweite Plasmaarbeitsgang an Ort und Stelle ohne ein Umsetzen in eine andere Kammer ausgeführt.
  • Ausführungsformen für das Ausbilden einer Halbleiterbauelementstruktur und für ein Verfahren zum Ausbilden derselben werden geschaffen. Eine FinFET-Struktur umfasst eine erste Gate-Struktur und eine zweite Gate-Struktur, die über einer Fin-Struktur über einem Substrat ausgebildet sind. Die Gate-Struktur umfasst eine Gate-Dielektrikumschicht, eine Austrittsarbeit-Schicht und eine Gate-Elektrodenschicht. Die Fläche der zweiten Gate-Struktur ist größer als die der ersten Gate-Struktur. Um den Loading-Effekt zwischen den zwei Gate-Strukturen beim Ätzen der Austrittsarbeit-Schicht zu vermindern, werden ein erster Plasmaarbeitsgang und ein zweiter Plasmaarbeitsgang nacheinander auf der Austrittsarbeit-Schicht ausgeführt. Die Vorspannungsleistung des zweiten Plasma-Arbeitsgangs wird auf einen Nullwert gesetzt. Demzufolge wird eine Gate-Drain-Kapazität (Cgd) der ersten Gate-Struktur verringert und die Durchbruchspannung (Vbd) der zweiten Gate-Struktur erhöht. Im Ergebnis wird die Leistungsfähigkeit der FinFET-Bauelementstruktur verbessert.
  • In einigen Ausführungsformen wird eine FinFET-Bauelementstruktur geschaffen. Die FinFET-Bauelementstruktur umfasst eine Isolationsstruktur, die über einem Substrat ausgebildet ist, und eine Fin-Struktur, die über dem Substrat ausgebildet ist. Die FinFET-Bauelementstruktur umfasst eine erste Gate-Struktur und eine zweite Gate-Struktur, die über der Fin-Struktur ausgebildet sind, und die erste Gate-Struktur weist in einer Richtung parallel zur Fin-Struktur eine erste Breite auf, die zweite Gate-Struktur weist in einer Richtung parallel zur Fin-Struktur eine zweite Breite auf, und die erste Breite ist kleiner als die zweite Breite. Die erste Gate-Struktur umfasst eine erste Austrittsarbeit-Schicht, die eine erste Höhe aufweist, die von einer Deckfläche der Isolationsstruktur bis zu einer Deckfläche der ersten Gate-Struktur gemessen wird. Die zweite Gate-Struktur umfasst eine zweite Austrittsarbeit-Schicht, die eine zweite Höhe aufweist, die von einer Deckfläche der Isolationsstruktur bis zu einer Deckfläche der zweiten Gate-Struktur gemessen wird, und eine Lücke zwischen der ersten Höhe und der zweiten Höhe liegt in einem Bereich von circa 1 nm bis zu circa 6 nm.
  • In einigen Ausführungsformen wird ein Verfahren zum Ausbilden einer FinFET-Bauelementstruktur geschaffen. Das Verfahren umfasst das Ausbilden einer Fin-Struktur über einem Substrat, und das Substrat umfasst einen ersten Bereich und einen zweiten Bereich. Das Verfahren umfasst das Ausbilden einer ersten Dummy-Gate-Struktur sowie einer zweiten Dummy-Gate-Struktur über der Fin-Struktur und das Ausbilden einer Zwischenschichtdielektrikum(ILD)-Struktur über dem Substrat und benachbart zur ersten Dummy-Gate-Struktur sowie zur zweiten Dummy-Gate-Struktur. Das Verfahren umfasst auch das Beseitigen der ersten Dummy-Gate-Struktur sowie der zweiten Dummy-Gate-Struktur zum Ausbilden eines ersten Grabens sowie eines zweiten Grabens in der ILD-Struktur und das Ausbilden einer ersten Gate-Struktur im ersten Graben sowie einer zweiten Gate-Struktur im zweiten Graben. Die erste Gate-Struktur umfasst eine erste Austrittsarbeit-Schicht, und die zweite Gate-Struktur umfasst eine zweite Austrittsarbeit-Schicht. Das Verfahren umfasst ferner das Ausführen eines ersten Plasma-Arbeitsgangs auf der ersten Austrittsarbeit-Schicht sowie der zweiten Austrittsarbeit-Schicht für eine erste Zeitspanne und das Ausführen eines zweiten Plasma-Arbeitsgangs auf der ersten Austrittsarbeit-Schicht sowie der zweiten Austrittsarbeit-Schicht für eine zweite Zeitspanne. Die erste Austrittsarbeit-Schicht weist eine erste Höhe und die zweite Austrittsarbeit-Schicht eine zweite Höhe auf, und eine Lücke zwischen der ersten Höhe und der zweiten Höhe liegt in einem Bereich von circa 1 nm bis zu circa 6 nm.
  • In einigen Ausführungsformen wird ein Verfahren zum Ausbilden einer Halbleiterbauelementstruktur geschaffen. Das Verfahren umfasst das Ausbilden einer Fin-Struktur über einem Substrat und das Ausbilden einer ersten Dummy-Gate-Struktur sowie einer zweiten Dummy-Gate-Struktur über der Fin-Struktur. Das Verfahren umfasst auch das Ausbilden einer Zwischenschichtdielektrikum(ILD)-Struktur über dem Substrat und benachbart zur Dummy-Gate-Struktur und das Beseitigen der ersten Dummy-Gate-Struktur sowie der zweiten Dummy-Gate-Struktur, um einen ersten Graben sowie einen zweiten Graben in der ILD-Struktur auszubilden. Das Verfahren umfasst auch das Ausbilden einer ersten Austrittsarbeit-Schicht im ersten Graben sowie einer zweiten Austrittsarbeit-Schicht im zweiten Graben und das Ausbilden einer ersten Gate-Elektrodenschicht über der ersten Austrittsarbeit-Schicht sowie einer zweiten Gate-Elektrodenschicht über der zweiten Austrittsarbeit-Schicht. Das Verfahren umfasst ferner das Beseitigen eines Teils der ersten Austrittsarbeit-Schicht sowie eines Teils der zweiten Austrittsarbeit-Schicht durch einen Ätzprozess. Der Ätzprozess umfasst einen ersten Plasmaarbeitsgang sowie einen zweiten Plasmaarbeitsgang, und der zweite Plasmaarbeitsgang wird bei einer Null-Vorspannungsleistung ausgeführt.

Claims (14)

  1. Verfahren zum Ausbilden einer Fin-Feldeffekttransistor(FinFET)-Vorrichtungsstruktur, umfassend: Ausbilden einer Fin-Struktur (110) über einem Substrat (102), wobei das Substrat (102) einen ersten Bereich (11) und einen zweiten Bereich (12) aufweist, Ausbilden einer ersten Dummy-Gate-Struktur (116a) und einer zweiten Dummy-Gate-Struktur (116b) über der Fin-Struktur, Ausbilden einer Zwischenschichtdielektrikum(ILD)-Struktur (128) über dem Substrat (102) und benachbart zur Dummy-Gate-Struktur, Beseitigen der ersten Dummy-Gate-Struktur (116a) und der zweiten Dummy-Gate-Struktur (116b) zum Ausbilden eines ersten Grabens (130a) und eines zweiten Grabens (130b) in der ILD-Struktur (128), Ausbilden einer ersten Gate-Struktur (132'a) im ersten Graben (130a) und einer zweiten Gate-Struktur (132'b) im zweiten Graben (130b), wobei die erste Gate-Struktur eine erste Schicht mit einer Austrittsarbeit (136'a) und die zweite Gate-Struktur eine zweite Schicht mit einer Austrittsarbeit (136'b) umfasst, Ausführen eines ersten Plasmaarbeitsgangs (13a) auf der ersten Schicht mit einer Austrittsarbeit (136'a) und der zweiten Schicht mit einer Austrittsarbeit (136'b) für eine erste Zeitspanne und Ausführen eines zweiten Plasmaarbeitsgangs (13b) auf der ersten Schicht mit einer Austrittsarbeit (136'a) und der zweiten Schicht mit einer Austrittsarbeit (136'b) für eine zweite Zeitspanne, so dass nach dem Ausführen des ersten Plasmaarbeitsgangs und des zweiten Plasmaarbeitsgangs die erste Schicht mit einer Austrittsarbeit (136'a) eine erste Höhe (H3) und die zweite Schicht mit einer Austrittsarbeit (136'b) eine zweite Höhe (H4) aufweist und eine Lücke zwischen der ersten Höhe (H3), wobei der zweite Plasmaarbeitsgang (13b) bei einer Null-Vorspannungsleistung ausgeführt wird.
  2. Verfahren zum Ausbilden der Fin-Feldeffekttransistor(FinFET)-Vorrichtungsstruktur nach Anspruch 1, ferner umfassend: nach dem zweiten Plasmaarbeitsgang (13b) Wiederholen der folgenden Arbeitsgänge: Ausführen des ersten Plasmaarbeitsgangs (13a) auf der ersten Schicht mit einer Austrittsarbeit und der zweiten Schicht mit einer Austrittsarbeit und Ausführen des zweiten Plasmaarbeitsgangs (13b) auf der ersten Schicht mit einer Austrittsarbeit und der zweiten Schicht mit einer Austrittsarbeit.
  3. Verfahren zum Ausbilden der Fin-Feldeffekttransistor(FinFET)-Vorrichtungsstruktur nach Anspruch 1 oder 2, wobei der erste Plasmaarbeitsgang (13a) unter Verwendung eines ersten Plasmas ausgeführt wird, das Borchlorid (BCl3), Chlorgas (Cl2), Sauerstoff (O2) oder Kombinationen davon enthält.
  4. Verfahren zum Ausbilden der Fin-Feldeffekttransistor(FinFET)-Vorrichtungsstruktur nach einem der Ansprüche 1 bis 3, wobei der zweite Plasmaarbeitsgang (13b) unter Verwendung eines zweiten Plasmas ausgeführt wird, das Chlorgas (Cl2), Bromwasserstoff (HBr) oder Kombinationen davon enthält.
  5. Verfahren zum Ausbilden der Fin-Feldeffekttransistor(FinFET)-Vorrichtungsstruktur nach einem der Ansprüche 1 bis 4, wobei der erste Plasmaarbeitsgang (13a) bei einer Leistung in einem Bereich von 100 W bis zu 500 W ausgeführt wird.
  6. Verfahren zum Ausbilden der Fin-Feldeffekttransistor(FinFET)-Vorrichtungsstruktur nach einem der Ansprüche 1 bis 5, wobei der zweiten Höhe (H4) in einem Bereich von 1 nm bis zu 6 nm liegt.
  7. Verfahren zum Ausbilden der Fin-Feldeffekttransistor(FinFET)-Vorrichtungsstruktur nach einem der Ansprüche 1 bis 6, wobei der erste Plasmaarbeitsgang (13a) und der zweite Plasmaarbeitsgang (13b) in derselben Kammer ausgeführt werden.
  8. Verfahren zum Ausbilden der Fin-Feldeffekttransistor(FinFET)-Vorrichtungsstruktur nach einem der Ansprüche 1 bis 7, wobei ein Verhältnis der ersten Zeitspanne zur zweiten Zeitspanne in einem Bereich von 1/4 bis 4/1 liegt.
  9. Verfahren zum Ausbilden einer Halbleitervorrichtungsstruktur, umfassend: Ausbilden einer Fin-Struktur (110) über einem Substrat (102), Ausbilden einer ersten Dummy-Gate-Struktur (116a) und einer zweiten Dummy-Gate-Struktur (116b) über der Fin-Struktur, Ausbilden einer Zwischenschichtdielektrikum(ILD)-Struktur (128) über dem Substrat und benachbart zur ersten Dummy-Gate-Struktur und zur zweiten Dummy-Gate-Struktur, Beseitigen der ersten Dummy-Gate-Struktur und der zweiten Dummy-Gate-Struktur, um einen ersten Graben (130a) und einen zweiten Graben (130b) in der ILD-Struktur auszubilden, Ausbilden einer ersten Schicht mit einer Austrittsarbeit (136a) im ersten Graben und einer zweiten Schicht mit einer Austrittsarbeit (136b) im zweiten Graben, Ausbilden einer ersten Gate-Elektrodenschicht (138a) über der ersten Schicht mit einer Austrittsarbeit und einer zweiten Gate-Elektrodenschicht (138b) über der zweiten Schicht mit einer Austrittsarbeit und Beseitigen eines Teils der ersten Schicht mit einer Austrittsarbeit (136a) und eines Teils der zweiten Schicht mit einer Austrittsarbeit (136b) durch einen Ätzprozess, wobei der Ätzprozess einen ersten Plasmaarbeitsgang (13a) und einen zweiten Plasmaarbeitsgang (13b) umfasst und der zweite Plasmaarbeitsgang bei einer Null-Vorspannungsleistung ausgeführt wird.
  10. Verfahren zum Ausbilden einer Fin-Feldeffekttransistor(FinFET)-Vorrichtungsstruktur nach Anspruch 9, wobei der erste Plasmaarbeitsgang (13a) bei einer Leistung in einem Bereich von 100 W bis zu 500 W ausgeführt wird.
  11. Verfahren zum Ausbilden einer Fin-Feldeffekttransistor(FinFET)-Vorrichtungsstruktur nach Anspruch 9 oder 10, wobei der erste Plasmaarbeitsgang (13a) und der zweite Plasmaarbeitsgang (13b) in derselben Kammer ausgeführt werden.
  12. Verfahren zum Ausbilden einer Fin-Feldeffekttransistor(FinFET)-Vorrichtungsstruktur nach einem der Ansprüche 9 bis 11, ferner vor dem Ausbilden der ersten Schicht mit einer Austrittsarbeit im ersten Graben und dem Ausbilden der zweiten Schicht mit einer Austrittsarbeit im zweiten Graben umfassend: Ausbilden einer ersten Gate-Dielektrikumschicht (134a) im ersten Graben und einer zweiten Gate-Dielektrikumschicht (134b) im zweiten Graben.
  13. Verfahren zum Ausbilden einer Fin-Feldeffekttransistor(FinFET)-Vorrichtungsstruktur nach Anspruch 12, nach dem Ätzprozess ferner umfassend: Beseitigen eines Teils der ersten Gate-Dielektrikumschicht und eines Teils der zweiten Gate-Dielektrikumschicht, wobei eine Deckfläche der ersten Gate-Elektrodenschicht (138a) in einer Lage angeordnet ist, die höher als eine Deckfläche der ersten Gate-Dielektrikumschicht (134'a) ist.
  14. Verfahren zum Ausbilden einer Fin-Feldeffekttransistor(FinFET)-Vorrichtungsstruktur nach einem der Ansprüche 9 bis 13, nach dem Ätzprozess ferner umfassend: Beseitigen eines Teils der ersten Gate-Elektrodenschicht und eines Teils der zweiten Gate-Elektrodenschicht, wobei eine Deckfläche der ersten Gate-Elektrodenschicht (138a) in einer Lage angeordnet ist, die höher als eine Deckfläche der ersten Schicht mit einer Austrittsarbeit (136'a) ist.
DE102015109834.2A 2015-05-22 2015-06-19 Verfahren zur Ausbildung eines Fin-Feldeffekttransistor (Finfet) - Bauelementstruktur mit unebenem Gate Active DE102015109834B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562165569P 2015-05-22 2015-05-22
US62/165,569 2015-05-22
US14/737,066 2015-06-11
US14/737,066 US9583485B2 (en) 2015-05-15 2015-06-11 Fin field effect transistor (FinFET) device structure with uneven gate structure and method for forming the same

Publications (2)

Publication Number Publication Date
DE102015109834A1 DE102015109834A1 (de) 2016-11-24
DE102015109834B4 true DE102015109834B4 (de) 2022-09-15

Family

ID=57231362

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102015109834.2A Active DE102015109834B4 (de) 2015-05-22 2015-06-19 Verfahren zur Ausbildung eines Fin-Feldeffekttransistor (Finfet) - Bauelementstruktur mit unebenem Gate

Country Status (5)

Country Link
US (3) US9583485B2 (de)
KR (1) KR101777006B1 (de)
CN (1) CN106169501B (de)
DE (1) DE102015109834B4 (de)
TW (1) TWI566280B (de)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10090396B2 (en) * 2015-07-20 2018-10-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating metal gate devices and resulting structures
CN106531776B (zh) * 2015-09-11 2021-06-29 联华电子股份有限公司 半导体结构
US9768272B2 (en) * 2015-09-30 2017-09-19 International Business Machines Corporation Replacement gate FinFET process using a sit process to define source/drain regions, gate spacers and a gate cavity
US10020304B2 (en) * 2015-11-16 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor, semiconductor device and fabricating method thereof
KR102497251B1 (ko) * 2015-12-29 2023-02-08 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9761728B1 (en) * 2016-05-25 2017-09-12 International Business Machines Corporation Self-aligned source/drain junction for vertical field-effect transistor (FET) and method of forming the same
US10651171B2 (en) 2016-12-15 2020-05-12 Taiwan Semiconductor Manufacturing Co. Ltd. Integrated circuit with a gate structure and method making the same
CN108573924B (zh) * 2017-03-07 2020-10-09 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
KR102387465B1 (ko) * 2017-03-09 2022-04-15 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10056303B1 (en) * 2017-04-21 2018-08-21 Globalfoundries Inc. Integration scheme for gate height control and void free RMG fill
US10211217B2 (en) 2017-06-20 2019-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10269787B2 (en) * 2017-06-29 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure cutting process
US11309220B2 (en) * 2017-08-14 2022-04-19 Globalfoundries Inc. Methods, apparatus, and manufacturing system for self-aligned patterning of a vertical transistor
US11424335B2 (en) * 2017-09-26 2022-08-23 Intel Corporation Group III-V semiconductor devices having dual workfunction gate electrodes
KR102377358B1 (ko) 2017-10-16 2022-03-23 삼성전자주식회사 반도체 메모리 소자 및 그 제조 방법
US10483168B2 (en) * 2017-11-15 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k gate spacer and formation thereof
KR102487549B1 (ko) 2017-11-23 2023-01-11 삼성전자주식회사 트랜지스터들을 포함하는 반도체 소자
US10741671B2 (en) 2017-11-28 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing semiconductor device
US10957779B2 (en) * 2017-11-30 2021-03-23 Taiwan Semiconductor Manufacturing Co., Ltd. Gate etch back with reduced loading effect
US10515954B2 (en) 2018-03-18 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having fin structures of varying dimensions
US20190348516A1 (en) * 2018-05-08 2019-11-14 Intel Corporation Work function material recess for threshold voltage tuning in finfets
US10515955B1 (en) * 2018-05-29 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing transistor gate structures by local thinning of dummy gate stacks using an etch barrier
KR102574322B1 (ko) 2018-06-27 2023-09-05 삼성전자주식회사 반도체 장치
US10468491B1 (en) * 2018-07-03 2019-11-05 International Business Machines Corporation Low resistance contact for transistors
US11011636B2 (en) * 2018-09-27 2021-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with hard mask layer over gate structure and method for forming the same
US10872826B2 (en) 2018-10-31 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method
US10777679B2 (en) 2019-01-23 2020-09-15 International Business Machines Corporation Removal of work function metal wing to improve device yield in vertical FETs
US11843019B2 (en) * 2019-11-20 2023-12-12 Omni Vision Technologies, Inc. Pixel, associated image sensor, and method
CN114156263A (zh) * 2020-09-07 2022-03-08 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
EP4120361A1 (de) 2021-07-16 2023-01-18 Hitachi Energy Switzerland AG Leistungshalbleiterbauelement

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070259501A1 (en) 2006-05-05 2007-11-08 Texas Instruments Incorporated Integrating high performance and low power multi-gate devices
US20120049279A1 (en) 2010-08-25 2012-03-01 Mayank Shrivastava High Voltage Semiconductor Devices
DE102013104744B3 (de) 2013-01-18 2013-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung mit metallischem Gate mit modifiziertem Profil und Verfahren zu deren Herstellung
US20140070320A1 (en) 2012-09-07 2014-03-13 Srijit Mukherjee Integrated circuits with selective gate electrode recess
DE102013215671A1 (de) 2012-08-20 2014-03-13 Globalfoundries Singapore Pte. Ltd. Mehrfach programmierbarer Speicher
DE102014222289A1 (de) 2013-11-01 2015-05-07 Globalfoundries Inc. Verfahren zum Bilden von Gatestrukturen mit mehreren Austrittsarbeitsfunktionen und die sich ergebenden Produkte
DE102014019257A1 (de) 2014-01-17 2015-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Metall-Gate-Struktur und diesbezügliches Fertigungsverfahren

Family Cites Families (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4786360A (en) * 1987-03-30 1988-11-22 International Business Machines Corporation Anisotropic etch process for tungsten metallurgy
US5834371A (en) * 1997-01-31 1998-11-10 Tokyo Electron Limited Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
US5960270A (en) * 1997-08-11 1999-09-28 Motorola, Inc. Method for forming an MOS transistor having a metallic gate electrode that is formed after the formation of self-aligned source and drain regions
US6093332A (en) * 1998-02-04 2000-07-25 Lam Research Corporation Methods for reducing mask erosion during plasma etching
US6239491B1 (en) * 1998-05-18 2001-05-29 Lsi Logic Corporation Integrated circuit structure with thin dielectric between at least local interconnect level and first metal interconnect level, and process for making same
US6312568B2 (en) * 1999-12-07 2001-11-06 Applied Materials, Inc. Two-step AIN-PVD for improved film properties
KR100506943B1 (ko) 2003-09-09 2005-08-05 삼성전자주식회사 식각정지막으로 연결홀의 저측면에 경사를 갖는 반도체소자의 제조 방법들
US7348281B2 (en) 2003-09-19 2008-03-25 Brewer Science Inc. Method of filling structures for forming via-first dual damascene interconnects
US6855989B1 (en) 2003-10-01 2005-02-15 Advanced Micro Devices, Inc. Damascene finfet gate with selective metal interdiffusion
US7297628B2 (en) 2003-11-19 2007-11-20 Promos Technologies, Inc. Dynamically controllable reduction of vertical contact diameter through adjustment of etch mask stack for dielectric etch
US7105390B2 (en) * 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US7232762B2 (en) 2004-06-16 2007-06-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming an improved low power SRAM contact
KR100748261B1 (ko) 2006-09-01 2007-08-09 경북대학교 산학협력단 낮은 누설전류를 갖는 fin 전계효과트랜지스터 및 그제조 방법
KR100823874B1 (ko) * 2006-12-28 2008-04-21 경북대학교 산학협력단 낮은 누설전류를 갖는 고밀도 fin 전계효과트랜지스터및 그 제조 방법
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
US20090191711A1 (en) 2008-01-30 2009-07-30 Ying Rui Hardmask open process with enhanced cd space shrink and reduction
US20100129984A1 (en) * 2008-11-26 2010-05-27 George Vakanas Wafer singulation in high volume manufacturing
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8609495B2 (en) 2010-04-08 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid gate process for fabricating finfet device
US8378428B2 (en) * 2010-09-29 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate structure of a semiconductor device
US8487378B2 (en) 2011-01-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniform channel junction-less transistor
US9177867B2 (en) 2011-09-30 2015-11-03 Intel Corporation Tungsten gates for non-planar transistors
US8901665B2 (en) 2011-12-22 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure for semiconductor device
US8887106B2 (en) 2011-12-28 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process
US20130187236A1 (en) * 2012-01-20 2013-07-25 Globalfoundries Inc. Methods of Forming Replacement Gate Structures for Semiconductor Devices
US8466012B1 (en) * 2012-02-01 2013-06-18 International Business Machines Corporation Bulk FinFET and SOI FinFET hybrid technology
KR20130127257A (ko) 2012-05-14 2013-11-22 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9130023B2 (en) 2012-06-05 2015-09-08 Kabushiki Kaisha Toshiba Isolated insulating gate structure
US8679909B2 (en) 2012-06-08 2014-03-25 Globalfoundries Singapore Pte. Ltd. Recessing and capping of gate structures with varying metal compositions
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
KR101994237B1 (ko) 2012-08-28 2019-06-28 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US8941189B2 (en) 2013-01-07 2015-01-27 International Business Machines Corporation Fin-shaped field effect transistor (finFET) structures having multiple threshold voltages (Vt) and method of forming
US8826213B1 (en) 2013-03-11 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Parasitic capacitance extraction for FinFETs
US8943455B2 (en) 2013-03-12 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for layout verification for polysilicon cell edge structures in FinFET standard cells
US9024388B2 (en) 2013-06-17 2015-05-05 Globalfoundries Inc. Methods of forming gate structures for CMOS based integrated circuit products and the resulting devices
KR102066848B1 (ko) 2013-06-24 2020-01-16 삼성전자 주식회사 반도체 소자 및 그 제조 방법
US9147680B2 (en) 2013-07-17 2015-09-29 GlobalFoundries, Inc. Integrated circuits having replacement metal gates with improved threshold voltage performance and methods for fabricating the same
US9257348B2 (en) * 2013-08-06 2016-02-09 Globalfoundries Inc. Methods of forming replacement gate structures for transistors and the resulting devices
US8883648B1 (en) 2013-09-09 2014-11-11 United Microelectronics Corp. Manufacturing method of semiconductor structure
US9202918B2 (en) 2013-09-18 2015-12-01 Globalfoundries Inc. Methods of forming stressed layers on FinFET semiconductor devices and the resulting devices
US9117886B2 (en) 2013-11-27 2015-08-25 United Microelectronics Corp. Method for fabricating a semiconductor device by forming and removing a dummy gate structure
CN104867873B (zh) 2014-02-21 2018-03-20 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
TWI612563B (zh) * 2014-07-07 2018-01-21 聯華電子股份有限公司 金屬閘極結構與其製作方法
CN105280486B (zh) * 2014-07-23 2020-09-22 联华电子股份有限公司 金属栅极结构的制作方法
US9252238B1 (en) 2014-08-18 2016-02-02 Lam Research Corporation Semiconductor structures with coplanar recessed gate layers and fabrication methods
CN105514105B (zh) * 2014-09-26 2019-08-06 联华电子股份有限公司 集成电路与其形成方法
US9082852B1 (en) * 2014-12-04 2015-07-14 Stmicroelectronics, Inc. LDMOS FinFET device using a long channel region and method of manufacture
US9425103B2 (en) * 2014-12-04 2016-08-23 Globalfoundries Inc. Methods of using a metal protection layer to form replacement gate structures for semiconductor devices
US9818841B2 (en) 2015-05-15 2017-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with unleveled gate structure and method for forming the same
TWI647845B (zh) * 2015-06-29 2019-01-11 聯華電子股份有限公司 半導體結構及其製作方法

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070259501A1 (en) 2006-05-05 2007-11-08 Texas Instruments Incorporated Integrating high performance and low power multi-gate devices
US20120049279A1 (en) 2010-08-25 2012-03-01 Mayank Shrivastava High Voltage Semiconductor Devices
DE102013215671A1 (de) 2012-08-20 2014-03-13 Globalfoundries Singapore Pte. Ltd. Mehrfach programmierbarer Speicher
US20140070320A1 (en) 2012-09-07 2014-03-13 Srijit Mukherjee Integrated circuits with selective gate electrode recess
DE102013104744B3 (de) 2013-01-18 2013-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung mit metallischem Gate mit modifiziertem Profil und Verfahren zu deren Herstellung
DE102014222289A1 (de) 2013-11-01 2015-05-07 Globalfoundries Inc. Verfahren zum Bilden von Gatestrukturen mit mehreren Austrittsarbeitsfunktionen und die sich ergebenden Produkte
DE102014019257A1 (de) 2014-01-17 2015-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Metall-Gate-Struktur und diesbezügliches Fertigungsverfahren

Also Published As

Publication number Publication date
KR20160137305A (ko) 2016-11-30
KR101777006B1 (ko) 2017-09-08
US9583485B2 (en) 2017-02-28
CN106169501B (zh) 2020-05-08
US10468407B2 (en) 2019-11-05
US20200066719A1 (en) 2020-02-27
TWI566280B (zh) 2017-01-11
CN106169501A (zh) 2016-11-30
US11139295B2 (en) 2021-10-05
DE102015109834A1 (de) 2016-11-24
US20170179123A1 (en) 2017-06-22
US20160343706A1 (en) 2016-11-24
TW201642327A (zh) 2016-12-01

Similar Documents

Publication Publication Date Title
DE102015109834B4 (de) Verfahren zur Ausbildung eines Fin-Feldeffekttransistor (Finfet) - Bauelementstruktur mit unebenem Gate
DE102016100035B4 (de) Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) und Verfahren zum Ausbilden dieser
DE102015110028B4 (de) Fin-Feldeffekttransistor(FinFET)-Vorrichtungsstruktur und Verfahren zu ihrer Herstellung
DE102016115984B4 (de) Halbleiter-Bauelement und Verfahren zu dessen Herstellung
DE102020100101B4 (de) Verfahren zum ausbilden einer halbleitervorrichtungsstruktur
DE102016100033B4 (de) FinFET-Vorrichtungsstruktur und Verfahren zu ihrer Herstellung
DE102017120568B4 (de) Zellengrenzstruktur für eingebetteten speicher
DE102017110441B3 (de) Verfahren zur Herstellung eines Kontaktsteckers mit niedrigem Widerstand
DE102015105996B4 (de) Verfahren zum ausbilden von einem fin-feldeffekttransistor-bauelement (finfet-bauelement) mit einer regulierten kritischen ende-zu-ende-abmessung
DE102014117338B4 (de) Verfahren zum ausbilden einer verbindungsstruktur für eine halbleitervorrichtung
DE102005052000B3 (de) Halbleiterbauelement mit einer Kontaktstruktur auf der Grundlage von Kupfer und Wolfram
DE102015106866B4 (de) Schutzschicht auf der Finne einer Finnen-Feldeffekttransistor-(FinFET-) Bauelementstruktur
DE102015111262A1 (de) Fin-feldeffekttransistor-bauelementstruktur (finfet- bauelementstruktur) mit einer stoppschicht und verfahren zum ausbilden von dieser
DE102017113479A1 (de) Metallgates von transistoren mit verringertem widerstand
DE102017112820A1 (de) Steckkontakte und Verfahren zu deren Bildung
DE102019124526B4 (de) Designprozess für ein Herstellungsverfahren eines Halbleiterbauelements
DE102017127542B4 (de) Struktur und verfahren für einen gate-isolierstecker
DE102016114705A1 (de) Ätzstoppschicht für Halbleiter-Bauelemente
DE102016115983A1 (de) Halbleiterstruktur und Herstellungsverfahren
DE102015112914A1 (de) Struktur eines Fin-Feldeffekttransistorbauelements (FinFET- Bauelement) mit Zwischenverbindungsstruktur
DE102011002769B4 (de) Halbleiterbauelement und Verfahren zur Herstellung einer Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE102011085203B4 (de) Herstellungsverfahren für Halbleiterbauelemente mit Durchgangskontakten
DE112012001220T5 (de) Lokale, zu einer Gate-Struktur selbstjustierte Zwischenverbindungsstruktur
DE102018122339A1 (de) Verschlussschicht-schema zum verbessern der rram-leistung
DE102010028460A1 (de) Reduzierte Defektrate in Kontakten eines Halbleiterbauelements, das Austauschgateelektrodenstrukturen unter Anwendung einer Zwischendeckschicht aufweist

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R083 Amendment of/additions to inventor(s)
R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0029780000

Ipc: H01L0021336000

R018 Grant decision by examination section/examining division
R130 Divisional application to

Ref document number: 102015017443

Country of ref document: DE

R020 Patent grant now final