DE102011082043A1 - Mirror for collector of extreme UV light source used in projection exposure system, has layer arrangement whose average sputtering rate with respect to particle energies of tin particle is set to specific range at specific time period - Google Patents

Mirror for collector of extreme UV light source used in projection exposure system, has layer arrangement whose average sputtering rate with respect to particle energies of tin particle is set to specific range at specific time period Download PDF

Info

Publication number
DE102011082043A1
DE102011082043A1 DE201110082043 DE102011082043A DE102011082043A1 DE 102011082043 A1 DE102011082043 A1 DE 102011082043A1 DE 201110082043 DE201110082043 DE 201110082043 DE 102011082043 A DE102011082043 A DE 102011082043A DE 102011082043 A1 DE102011082043 A1 DE 102011082043A1
Authority
DE
Germany
Prior art keywords
layer
mirror
subsystem
wavelength range
euv wavelength
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE201110082043
Other languages
German (de)
Inventor
Jörn Weber
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Carl Zeiss SMT GmbH
Original Assignee
Carl Zeiss SMT GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Carl Zeiss SMT GmbH filed Critical Carl Zeiss SMT GmbH
Priority to DE201110082043 priority Critical patent/DE102011082043A1/en
Publication of DE102011082043A1 publication Critical patent/DE102011082043A1/en
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • G21K1/062Devices having a multilayer structure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K2201/00Arrangements for handling radiation or particles
    • G21K2201/06Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements
    • G21K2201/067Construction details

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Engineering & Computer Science (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

The mirror (112) has substrate and layer arrangement having layer of sub-systems. The sub-system has high-refractive index layer and low refractive layer with tin (Sn) particles. The average sputtering rate of layer arrangement with Sn particles having particle energies of 100 eV is less than 0.024, or particle energy of 1000 eV is less than 0.65 or particle energies of 10 keV is less than 3 at specific period. The sub-system is provided with sputter-restraining interlayer made of boron carbide, carbon and silicon carbide.

Description

Die Erfindung betrifft einen Spiegel für den EUV-Wellenlängenbereich und einen Kollektor für eine EUV-Lichtquelle mit einem solchen Spiegel. Darüber hinaus bezieht sich die Erfindung auf eine Projektionsbelichtungsanlage für die Mikrolithographie mit einem solchen Kollektor.The invention relates to a mirror for the EUV wavelength range and a collector for an EUV light source with such a mirror. Moreover, the invention relates to a projection exposure apparatus for microlithography with such a collector.

Projektionsbelichtungsanlagen für die Mikrolithographie für den EUV-Wellenlängenbereich sind darauf angewiesen, dass die zur Belichtung bzw. Abbildung einer Maske in eine Bildebene genutzten Spiegel eine hohe Reflektivität aufweisen, da einerseits das Produkt der Reflektivitätswerte der einzelnen Spiegeln die Gesamttransmission der Projektionsbelichtungsanlage bestimmt und da andererseits EUV-Lichtquellen in ihrer Lichtleistung begrenzt sind.Microlithography projection exposure apparatuses for the EUV wavelength range rely on the fact that the mirrors used for the exposure or imaging of a mask in an image plane have a high reflectivity, since on the one hand the product of the reflectivity values of the individual mirrors determines the total transmission of the projection exposure apparatus and on the other hand EUV Light sources are limited in their light output.

Zur Erzielung einer hohen Reflektivität müssen auch Verluste aufgrund von Streulicht vermieden werden, was zu hohen Anforderungen an die Oberflächenrauhigkeit solcher Spiegel im sogenannten HSFR-Bereich führt, siehe U. Dinger et al. „Mirror substrates for EUV-lithography: Progress in metrology and optical fabrication technology” in Proc. SPIE Vol. 4146, 2000 , insbesondere für die Definition der Oberflächenrauhigkeit im HSFR-Bereich mit Ortswellenlängen der Rauhigkeit von 10 nm bis 1 μm und im MSFR-Bereich mit Ortswellenlängen der Rauhigkeit von 1 μm bis 1 mm.To achieve a high reflectivity losses due to stray light must be avoided, which leads to high demands on the surface roughness of such mirrors in the so-called HSFR range, see U. Dinger et al. "Mirror substrates for EUV lithography: Progress in metrology and optical fabrication technology" in Proc. SPIE Vol. 4146, 2000 , in particular for the definition of the surface roughness in the HSFR range with spatial wavelengths of the roughness of 10 nm to 1 μm and in the MSFR range with spatial wavelengths of the roughness of 1 μm to 1 mm.

Darüber hinaus sind Spiegel für Kollektoren einer EUV-Lichtquelle, welche mittels Laseranregung ein Plasma zünden (sogenannte LPP-Lichtquellen), einem hohen Teilchenfluss von z. B. Zinn-Teilchen mit Energien zwischen etwa 100 eV und einigen 10 keV ausgesetzt, da bei der Laserzündung des Plasmas dieses Lichtquellentyps insbesondere die Teilchen des Targetmaterials Zinn hohe Beschleunigungen erfahren. Im Folgenden werden nur noch chemische Symbole für Materialien verwendet, so z. B. Sn für Zinn.In addition, mirrors for collectors of an EUV light source, which ignite a plasma by means of laser excitation (so-called LPP light sources), a high particle flux of z. B. exposed to tin particles with energies between about 100 eV and some 10 keV, since in the laser ignition of the plasma of this light source type in particular the particles of the target material tin undergo high accelerations. In the following, only chemical symbols for materials are used, such. B. Sn for tin.

Aufgabe der Erfindung ist es daher, einen Spiegel für den EUV-Wellenlängenbereich bereitzustellen, welcher bei hohen Teilchenflussdichten eine verlängerte Lebensdauer gewährleistet und gleichzeitig eine hohe Reflektivität aufweist.The object of the invention is therefore to provide a mirror for the EUV wavelength range, which ensures a prolonged service life at high particle flux densities and at the same time has a high reflectivity.

Diese Aufgabe wird gelöst durch einen Spiegel für den EUV-Wellenlängenbereich bei 13,5 nm umfassend ein Substrat und eine Schichtanordnung, wobei die Schichtanordnung mindestens ein Schichtteilsystemen umfasst, welches aus einer periodischen Abfolge von mindestens zwei Perioden an Einzelschichten besteht, wobei die Perioden zwei Einzelschichten aus unterschiedlichen Materialien für eine hoch brechende Schicht und eine niedrig brechende Schicht umfassen und wobei die mittlere Sputterrate für eine Periode des Schichtteilsystems der Schichtanordnung bei Sn-Teilchen mit Teilchenenergien von 100 eV weniger als 0,024 und/oder mit Teilchenenergien von 1000 eV weniger als 0,65 und/oder mit Teilchenenergien von 10 keV weniger als 3 beträgt.This object is achieved by a mirror for the EUV wavelength range at 13.5 nm comprising a substrate and a layer arrangement, wherein the layer arrangement comprises at least one layer subsystem consisting of a periodic sequence of at least two periods of individual layers, wherein the periods are two individual layers of different materials for a high refractive index layer and a low refractive index layer, and wherein the average sputtering rate for a period of the layered subsystem of the layered arrangement is less than 0.024 and / or with particle energies of 1000 eV less than 0 for Sn particles having particle energies of 100 eV; 65 and / or with particle energies of 10 keV less than 3.

Ferner wird diese Aufgabe gelöst durch einen Spiegel für den EUV-Wellenlängenbereich bei 13,5 nm umfassend ein Substrat und eine Schichtanordnung, wobei die Schichtanordnung mindestens ein Schichtteilsystemen umfasst, welches aus einer periodischen Abfolge von mindestens zwei Perioden an Einzelschichten besteht, wobei die Perioden zwei Einzelschichten aus unterschiedlichen Materialien für eine hoch brechende Schicht und eine niedrig brechende Schicht umfassen und wobei das Schichteilsystem mindestens eine sputterhemmende Zwischenschicht enthält, deren Material und Dicke so gewählt ist, dass die mittlere Sputterrate für eine Periode des Schichtteilsystems der Schichtanordnung für Sn-Teilchen mit Teilchenenergien zwischen 100 eV und 10 keV um mindestens 10% niedriger ausfällt, als bei einer vergleichbaren Schichtanordnung deren Schichtteilsystem diese mindestens eine sputterhemmende Zwischenschicht nicht aufweist.This object is further achieved by a mirror for the EUV wavelength range at 13.5 nm comprising a substrate and a layer arrangement, wherein the layer arrangement comprises at least one layer subsystem consisting of a periodic sequence of at least two periods of individual layers, the periods being two Individual layers of different materials for a high refractive index layer and a low refractive index layer, and wherein the layered subsystem includes at least one sputter-inhibiting intermediate layer whose material and thickness is selected such that the average sputtering rate for a period of the layer subsystem of the Sn particle particle energy layer arrangement between 100 eV and 10 keV is at least 10% lower than in the case of a comparable layer arrangement whose layer subsystem does not have at least one sputter-inhibiting intermediate layer.

Unter einer mittleren Sputterrate für eine Periode wird die über eine Periode gemittelte Sputterrate verstanden, die sich aus dem Verhältnis der Anzahl der Atome einer Periode und der Anzahl der zum vollständigen Abtragen der Periode benötigten Sn-Teilchen ergibt.An average sputtering rate for a period is understood to be the sputtering rate averaged over a period, which results from the ratio of the number of atoms of a period and the number of Sn particles required for the complete removal of the period.

Eine vergleichbare Schichtanordnung weist die gleichen Materialien für die hoch und niedrig brechenden Schichten auf und weicht in der theoretischen Reflektivität bei 13,5 nm bei senkrechtem Lichteinfall um weniger als 3% ab.A comparable layer arrangement has the same materials for the high and low refractive layers and differs in the theoretical reflectivity at 13.5 nm at normal incidence by less than 3%.

Erfindungsgemäß wurde erkannt, dass sich die Lebensdauer von Spiegeln bei hohen Teilchenflussdichten steigern lässt, wenn die Sputterrate des Schichtsystems erniedrigt wird. Durch den Einsatz von zum Beispiel sputterhemmenden Zwischenschichten lässt sich die Sputterrate eines Schichtsystems gegenüber einem vergleichbaren Schichtsystem ohne solche Zwischenschichten erhöhen.According to the invention, it was recognized that the lifetime of mirrors can be increased at high particle flux densities if the sputtering rate of the layer system is lowered. By using, for example, sputter-inhibiting intermediate layers, the sputtering rate of a layer system can be increased compared to a comparable layer system without such intermediate layers.

In einer Ausführungsform der Erfindung weist der Spiegel bei senkrechtem Lichteinfall eine maximale theoretische Reflektivität von mehr als 65% auf. Hierdurch kann der Spiegel z. B. als Ellipsoidkollektorspiegel mit einer hohen Lichtausbeute bei einer LPP-Lichtquelle eingesetzt werden, da dieser Lichtquellentypus den Einsatz von Ellipsoidspiegeln als Kollektoren aufgrund der Bauform der Lichtquelle zulässt. In one embodiment of the invention, the mirror has a maximum theoretical reflectivity of more than 65% at normal incidence of light. As a result, the mirror z. B. can be used as ellipsoidal collector mirror with a high luminous efficiency in a LPP light source, since this light source type allows the use of ellipsoidal mirrors as collectors due to the design of the light source.

In einer weiteren Ausführungsform der Erfindung umfasst die Schichtanordnung mindestens eine sputterhemmende Schicht, die gebildet ist oder als Verbindung zusammengesetzt ist aus einem Material der Gruppe: B4C, C, SiC. Solche Materialien sind in der Lage Sputterraten zu reduzieren.In a further embodiment of the invention, the layer arrangement comprises at least one sputter-inhibiting layer, which is formed or compounded from a material of the group: B 4 C, C, SiC. Such materials are capable of reducing sputtering rates.

In einer anderen Ausführungsform der Erfindung weist die sputterhemmende Zwischenschicht eine Dicke zwischen 0,4 nm und 1,5 nm, insbesondere zwischen 0,6 nm und 1,2 nm auf. Dieser Parameterbereich für die Dicke der sputterhemmenden Zwischenschicht stellt einen optimalen Kompromiss zwischen zu vermeidenden Reflektivitätsverlusten durch zu eine dicke Zwischenschicht und hinreichender Unterdrückung der Sputterrate durch eine genügend dicke Zwischenschicht dar.In another embodiment of the invention, the sputterhemmende intermediate layer has a thickness between 0.4 nm and 1.5 nm, in particular between 0.6 nm and 1.2 nm. This parameter range for the thickness of the sputter-inhibiting intermediate layer represents an optimal compromise between avoidable reflectivity losses due to a thick intermediate layer and sufficient suppression of the sputtering rate by a sufficiently thick intermediate layer.

In einer Ausführungsform weist jede Periode des Schichtteilsystems mindestens eine sputterhemmende Zwischenschicht auf, wobei die sputterhemmende Zwischenschicht durch eine etwa 1 nm dicke Schicht aus C gebildet ist. Für Kohlenstoff liegt das Optimum für eine geringe Sputterrate bei gleichzeitig hoher Reflektivität in etwa bei 1 nm für die Dicke der Zwischenschicht.In one embodiment, each period of the layer subsystem has at least one sputter-inhibiting intermediate layer, wherein the sputter-inhibiting intermediate layer is formed by an approximately 1 nm thick layer of C. For carbon, the optimum for a low sputtering rate with simultaneously high reflectivity is approximately 1 nm for the thickness of the intermediate layer.

In einer anderen Ausführungsform besteht die niedrig brechende Schicht aus Mo und/oder Nb mit einer Dicke zwischen 1,5 und 3 nm und die hoch brechende Schicht aus Si mit einer Dicke zwischen 4 und 5 nm. Solche Schichtsysteme bieten im Zusammenhang mit der sputterhemmenden Zwischenschicht eine hinreichend hohe Reflektivität und eine erfindungsgemäß niedrige Sputterrate.In another embodiment, the low refractive index layer is Mo and / or Nb having a thickness of between 1.5 and 3 nm and the high refractive index layer of Si having a thickness of between 4 and 5 nm. Such layer systems provide in connection with the sputter inhibiting intermediate layer a sufficiently high reflectivity and an inventively low sputtering rate.

In einer weitern Ausführungsform ist das Material für das Substrat ausgewählt aus der Gruppe: Al, Legierungen aus Al, kristallines Si oder SiSiC und der Spiegel weist eine Polierschicht aus NiP oder amorphem Si zur Reduktion der Oberflächenrauhigkeit des Substrates auf. Durch die Verwendung von Polierschichten ist es möglich, für das Substrat Materialien zu verwenden, welche aufgrund ihrer Oberflächenrauhigkeiten eigentlich nicht für eine Anwendung im EUV-Bereich in Frage kommen, die aber gleichzeitig einfache Bearbeitungsmöglichkeit bieten, so dass z. B. Kühlkanäle im Substratmaterial ohne großen Fertigungsaufwand vorgesehen werden können.In another embodiment, the material for the substrate is selected from the group: Al, alloys of Al, crystalline Si or SiSiC, and the mirror has a polishing layer of NiP or amorphous Si for reducing the surface roughness of the substrate. By the use of polishing layers, it is possible to use for the substrate materials which, due to their surface roughness actually not eligible for an application in the EUV area in question, but at the same time offer easy processing capability, so that z. B. cooling channels in the substrate material can be provided without much manufacturing effort.

In einer Ausführungsform weist der Spiegel mindestens eine Haftvermittlerschicht aus Zink und/oder mindestens eine strukturierbare Schicht aus NiP oder Cu auf. Die Haftvermittlerschicht ist in der Regel notwendig, damit die Polierschicht ausreichend aus dem Substrat haftet. Eine strukturierbare Schicht ist z. B. dann sinnvoll, wenn es wie bei einer LPP-Lichtquelle gilt zu verhindern, dass Licht einer anderen Wellenlänge als EUV von der Lichtquelle in das Beleuchtungssystem einer Projektionsbelichtungsanlage gelangt. Durch die strukturierbare Schicht ist es möglich, ein Gitter im Spiegel zu realisieren, welches unerwünschtes Licht aus dem gewünschten optischen Lichtweg herausbeugt.In one embodiment, the mirror has at least one adhesion promoter layer of zinc and / or at least one structurable layer of NiP or Cu. The primer layer is usually necessary for the polishing layer to adhere sufficiently to the substrate. A structurable layer is z. B. makes sense, if it applies as in an LPP light source to prevent light of a different wavelength than EUV from the light source enters the illumination system of a projection exposure system. The structurable layer makes it possible to realize a grating in the mirror, which diffracts unwanted light from the desired optical path.

Bei einer weiteren Ausführungsform des Spiegels beträgt die Anzahl der Perioden des Schichtteilsystems weniger als 500, insbesondere weniger als 200, bevorzugt weniger als 100 Perioden. Eine solche Anzahl der Perioden ist für einen Kollektorspiegel notwendig, da die Perioden des Kollektorspiegels mit der Zeit durch Sn-Teilchen einer LPP-Lichtquelle sukzessive durch Sputtern abgetragen werden. Insofern ist die vorliegende Erfindung hilfreich, bei gegebener Anzahl der Perioden die Lebensdauer eines Kollektorspiegels zu erhöhen, oder umgekehrt hilfreich, bei gegebener Lebensdauer die hierfür benötigte Anzahl der Perioden auf unter 500 Perioden zu begrenzen.In a further embodiment of the mirror, the number of periods of the layer subsystem is less than 500, in particular less than 200, preferably less than 100 periods. Such a number of periods is necessary for a collector mirror because the periods of the collector mirror are successively removed by sputtering by Sn particles of an LPP light source with time. In this respect, the present invention is useful to increase the life of a collector mirror given a given number of periods, or conversely helpful to limit the required number of periods for less than 500 periods for a given life.

Die Aufgabe der vorliegenden Erfindung wird ferner durch einen Kollektor für eine EUV-Lichtquelle gelöst, der einen erfindungsgemäßen Spiegel für den EUV-Wellenlängenbereich umfasst. Ebenso wird die Aufgabe der Erfindung durch eine entsprechende Projektionsbelichtungsanlage für die Mikrolithographie mit einem erfindungsgemäßen Kollektor oder einem erfindungsgemäßen Spiegel gelöst.The object of the present invention is further achieved by a collector for an EUV light source comprising a mirror according to the invention for the EUV wavelength range. Likewise, the object of the invention is achieved by a corresponding projection exposure apparatus for microlithography with a collector according to the invention or a mirror according to the invention.

Weitere Merkmale und Vorteile der Erfindung ergeben sich aus der nachfolgenden Beschreibung von Ausführungsbeispielen der Erfindung anhand der Figuren, die erfindungswesentliche Einzelheiten zeigen, und aus den Ansprüchen. Die einzelnen Merkmale können je einzeln für sich oder zu mehreren in beliebiger Kombination bei einer Variante der Erfindung verwirklicht sein.Further features and advantages of the invention will become apparent from the following description of exemplary embodiments of the invention with reference to the figures, the essential details of the invention show, and from the claims. The individual features can be realized individually for themselves or for several in any combination in a variant of the invention.

Ausführungsbeispiele der Erfindung werden nachfolgend anhand der Figuren näher erläutert.Embodiments of the invention will be explained in more detail with reference to FIGS.

In diesen zeigt In these shows

1 eine schematische Darstellung einer Projektionsbelichtungsanlage, 1 a schematic representation of a projection exposure apparatus,

2 eine schematische Darstellung eines Beleuchtungssystems und 2 a schematic representation of a lighting system and

3 eine schematische Darstellung des erfindungsgemäßen Spiegels. 3 a schematic representation of the mirror according to the invention.

In 1 ist in einer Prinzipansicht eine Projektionsbelichtungsanlage 100 für die Herstellung von beispielsweise mikroelektronischen Bauteilen gezeigt, die in einem Scanmodus entlang einer Scanrichtung 126 mit einer Arbeitswellenlänge im EUV-Bereich betrieben wird und die ein oder mehrere optische Elemente mit einer Schichtanordnung aufweisen kann. Die in 1 gezeigte Projektionsbelichtungsanlage 100 weist eine punktförmige Plasmastrahlungsquelle auf. Die Strahlung der Laserquelle 102 wird über eine Kondensorlinse 104 auf geeignetes Material gerichtet, das über die Zufuhr 108 eingeleitet wird und zu einem Plasma 106 angeregt wird. Die vom Plasma 106 emittierte Strahlung wird vom Kollektorspiegel 110 auf den Zwischenfokus Z abgebildet. Durch entsprechende Blenden 111 am Zwischenfokus Z wird gewährleistet, dass keine unerwünschte Streustrahlung auf die nachfolgenden Spiegeln 112, 114, 116, 118, 120 des Beleuchtungssystems der Projektionsbelichtungsanlage 100 trifft. Der Planspiegel 122 dient zur Faltung des Systems, um Bauräume für mechanische und elektronische Komponenten in der Objektebene, in der die Halterung für das Retikel 124 angeordnet ist, zur Verfügung zu stellen. Im Beleuchtungssystem folgen im vorliegenden Beispiel auf den Spiegel 112 ein Feldfacettenspiegel 114 und ein Pupillenfacettenspiegel 116. Der Feldfacettenspiegel 114 dient dazu, eine Vielzahl von Abbildern der Strahlungsquelle der Projektionsbelichtungsanlage in eine Pupillenebene zu projizieren, in der ein zweiter Facettenspiegel angeordnet ist, der als Pupillenfacettenspiegel 116 dient und die Abbilder der Facetten des Feldfacettenspiegels 114 in der Objektebene überlagert, um eine möglichst homogene Ausleuchtung zu ermöglichen. Die anschließend an die Facettenspiegel 114, 116 angeordneten Spiegel 118 und 120 dienen im Wesentlichen dazu, das Feld in der Objektebene zu formen. In der Objektebene ist ein strukturiertes Retikel 124 angeordnet, dessen Struktur mittels eines Projektionsobjektivs 128 mit im vorliegenden Beispiel sechs Spiegeln auf das zu belichtende Objekt 130, etwa einen Wafer abgebildet wird. Das Retikel 124 ist in der hier als Scanning-System ausgelegten Projektionsbelichtungsanlage 100 in die eingezeichnete Richtung 126 verfahrbar und wird sukzessive abschnittsweise ausgeleuchtet, um die jeweiligen Strukturen des Retikels 124 mit dem Projektionsobjektiv entsprechend auf beispielsweise einen Wafer 130 zu projizieren.In 1 is a principle view of a projection exposure system 100 for the fabrication of, for example, microelectronic devices operating in a scan mode along a scan direction 126 is operated at a working wavelength in the EUV range and can have one or more optical elements with a layer arrangement. In the 1 shown projection exposure system 100 has a punctiform plasma radiation source. The radiation of the laser source 102 is via a condenser lens 104 directed to suitable material, via the feed 108 is initiated and to a plasma 106 is stimulated. The plasma 106 emitted radiation is from the collector mirror 110 imaged on the intermediate focus Z. By appropriate screens 111 At the intermediate focus Z it is ensured that no unwanted scattered radiation on the following mirrors 112 . 114 . 116 . 118 . 120 of the illumination system of the projection exposure apparatus 100 meets. The plane mirror 122 is used to fold the system to build space for mechanical and electronic components in the object plane in which the reticle holder 124 is arranged to provide. In the lighting system follow in the present example on the mirror 112 a field facet mirror 114 and a pupil facet mirror 116 , The field facet mirror 114 serves to project a plurality of images of the radiation source of the projection exposure apparatus in a pupil plane in which a second facet mirror is arranged, which is a pupil facet mirror 116 serves and the images of the facets of the field facet mirror 114 superimposed in the object plane to allow the most homogeneous possible illumination. The subsequent to the facet mirror 114 . 116 arranged mirrors 118 and 120 essentially serve to shape the field in the object plane. In the object plane is a structured reticle 124 arranged, its structure by means of a projection lens 128 with in the present example six mirrors on the object to be exposed 130 , about a wafer is imaged. The reticle 124 is in the projection exposure system designed here as a scanning system 100 in the direction shown 126 movable and is successively illuminated in sections to the respective structures of the reticle 124 with the projection lens according to, for example, a wafer 130 to project.

In 2 ist eine Strahlungsquelle in Verbindung mit einem Beleuchtungssystem 11 dargestellt. Ein Kollektor 1 ist um eine Lichtquelle angeordnet, die von einem Plasmatröpfchen 2 gebildet wird, die von einem Infrarotlaser 3 angeregt wird. Um im EUV-Wellenlängenbereich Wellenlängen im Bereich um beispielsweise 13,5 nm zu erhalten, kann z. B. Sn mittels eines bei einer Wellenlänge von 10,6 μm arbeitenden CO2-Lasers zu einem Plasma angeregt werden. Anstelle eines CO2-Lasers können beispielsweise auch Festkörperlaser eingesetzt werden. Auf den Kollektor 1 folgen nach der Blende 5 am Zwischenfokus 4 ein Feldfacettenspiegel 16 mit einzelnen Facetten 18 und ein Pupillenfacettenspiegel 17 mit einzelnen Facetten 19. Bevor die Strahlen auf das in y-Richtung abzuscannende Retikel 13 mit der auf einen Wafer zu projizierenden Struktur trifft, wird sie noch von einem Faltspiegel 12 umgelenkt. Der Faltspiegel 12 hat weniger optische Funktion, er dient vielmehr dazu, den Platzbedarf des Beleuchtungssystems 11 zu optimieren.In 2 is a radiation source in conjunction with a lighting system 11 shown. A collector 1 is arranged around a light source, that of a plasma droplet 2 is formed by an infrared laser 3 is stimulated. In order to obtain wavelengths in the range of, for example, 13.5 nm in the EUV wavelength range, z. B. Sn are excited by means of a working at a wavelength of 10.6 microns CO 2 laser to a plasma. Instead of a CO 2 laser, for example, solid state lasers can be used. On the collector 1 follow after the aperture 5 at the intermediate focus 4 a field facet mirror 16 with individual facets 18 and a pupil facet mirror 17 with individual facets 19 , Before the rays on the reticle to be scanned in the y-direction 13 With the structure to be projected onto a wafer, it still becomes a folding mirror 12 diverted. The folding mirror 12 has less visual function, but rather serves the space requirements of the lighting system 11 to optimize.

Es sei darauf hingewiesen, dass in der UV- oder EUV-Lithographie verschiedenste Strahlungsquellen eingesetzt werden können, u. a. Plasmaquellen, die etwa auf Laseranregung (LPP-Quellen) oder Gasentladung (DPP-Quellen) basieren können, Synchrotronstrahlungsquellen oder freie Elektronenlaser (FEL).It should be noted that in UV or EUV lithography a variety of radiation sources can be used, u. a. Plasma sources based on laser excitation (LPP sources) or gas discharge (DPP sources), synchrotron radiation sources or free electron lasers (FEL).

Die 3 zeigt eine schematische Darstellung eines erfindungsgemäßen Spiegels 1a, der z. B. als Kollektorspiegel bei der in 2 gezeigten EUV-Lichtquelle oder bei der in 1 gezeigten Projektionsbelichtungsanlage eingesetzt werden kann. Der Spiegel 1a weist ein Substrat S und eine Schichtanordnung auf, welche ein Schichtteilsystem SP umfasst. Das Schichtteilsystem SP umfasst eine periodische Abfolge von N > 2 Perioden P an Einzelschichten, wobei die Periode P zwei Einzelschichten aus unterschiedlichen Materialien für eine hoch brechende Schicht H und eine niedrig brechende Schicht L umfasst. Ferner kann der Spiegel 1a eine Polierschicht A aus NiP oder amorphem Si zur Reduktion der Oberflächenrauhigkeit des Substrats aufweisen. Eine solche Polierschicht ist insbesondere bei Substraten aus Al, Legierungen aus Al, kristallines Si oder SiSiC notwendig, da sich die genannten Substrat-Materialien nicht mit ausreichender Güte auf unter 0,2 nm RMS MSFR und unter 0,2 nm RMS HSFR Oberflächenrauheit polieren lassen. Allerdings bieten die genannten Substrat-Materialien die Möglichkeit, durch einfache Fertigungsverfahren z. B. Kühlkanäle im Substrat zu realisieren. Solche Kühlkanäle sind insbesondere für Kollektorspiegel für EUV-Lichtquellen notwendig. Zur Verbesserung der Haftung der Polierschicht kann eine in der 3 nicht dargestellte Haftvermittlungsschicht aus Zn zwischen dem Substrat S und der Polierschicht A verwendet werden. Darüber hinaus kann die Schichtanordnung des Spiegels 1a auch eine strukturierbare Schicht G aus z. B. NiP oder Cu aufweisen. Eine solche Schicht wird z. B. benötigt, wenn es gilt, durch ein in dieser Schicht realisierte Gitterstruktur Infrarotlicht der LPP-Lichtquelle aus dem gewünschten Lichtweg herauszubeugen und somit für die lithographische Abbildung unschädlich zu machen.The 3 shows a schematic representation of a mirror according to the invention 1a , the z. B. as a collector mirror in the in 2 shown EUV light source or at the in 1 shown projection exposure system can be used. The mirror 1a has a substrate S and a layer arrangement, which comprises a layer subsystem SP. The layer subsystem SP comprises a periodic sequence of N> 2 periods P of individual layers, the period P comprising two individual layers of different materials for a high refractive index layer H and a low refractive index layer L. Furthermore, the mirror 1a a polishing layer A of NiP or amorphous Si for reducing the surface roughness of the substrate. Such a polishing layer is necessary in particular for substrates of Al, alloys of Al, crystalline Si or SiSiC, because the mentioned substrate materials can not be polished with sufficient quality to less than 0.2 nm RMS MSFR and less than 0.2 nm RMS HSFR surface roughness , However, the substrate materials mentioned offer the possibility, by simple manufacturing methods z. B. to realize cooling channels in the substrate. Such cooling channels are necessary in particular for collector mirrors for EUV light sources. To improve the adhesion of the polishing layer may be in the 3 not shown Bonding layer of Zn between the substrate S and the polishing layer A can be used. In addition, the layer arrangement of the mirror 1a also a structurable layer G of z. B. NiP or Cu have. Such a layer is z. B. required, if it is necessary to prevent by a realized in this layer grating structure infrared light of the LPP light source from the desired light path and thus render harmless for the lithographic image.

Bei den in der 3 mit H bezeichneten Schichten handelt es sich um Schichten aus Materialien, welche im EUV-Wellenlängenbereich im Vergleich mit den als L bezeichneten Schichten des gleichen Schichtteilsystems als hoch brechend bezeichnet werden können, siehe die komplexen Brechzahlen der Materialien in Tabelle 1. Umgekehrt handelt es sich bei den in der 3 mit L bezeichneten Schichten um Schichten aus Materialien, welche im EUV-Wellenlängenbereich im Vergleich mit den als H bezeichneten Schichten des gleichen Schichtteilsystems als niedrig brechend bezeichnet werden können. Somit handelt es sich bei den Begriffen hoch brechend und niedrig brechend im EUV-Wellenlängenbereich um relative Begriffe bezüglich der jeweiligen Partnerschicht in einer Periode eines Schichtteilsystems. Schichtteilsysteme funktionieren im EUV-Wellenlängenbereich in der Regel nur, wenn eine optisch hoch brechend wirkende Schicht mit einer relativ dazu optisch niedriger brechenden Schicht als Hauptbestandteil einer Periode des Schichtteilsystems kombiniert wird. Im Allgemeinen wird für hoch brechende Schichten das Material Silizium verwendet. In Kombination mit Si sind die Materialien Mo, Ru und Nb als niedrig brechende Schichten zu bezeichnen, siehe die komplexen Literatur-Brechzahlen der Materialien in Tabelle 1 des Schichtteilsystems SP: Material Symbol chemisch Symbol Schichtdesign n k Silizium Si H 0.999362 0.00171609 Borcarbid B4C C 0.963773 0.0051462 Siliziumcarbid SiC C 0.982752 0.0047599 Kohlenstoff C C 0.9617 0.0069 Molybdän Mo L 0.921252 0.0064143 Ruthenium Ru L 0.889034 0.0171107 Niob Nb L 0.934061 0.0051577 Vakuum 1 0 Tabelle 1: verwendete Literatur-Brechzahlen ñ = n – i·k für 13.5 nm In the in the 3 Layers denoted by H are layers of materials that can be said to be high refractive in the EUV wavelength range compared to the layers of the same layer subsystem denoted L, see the complex refractive indices of the materials in Table 1. Conversely, in the 3 layers denoted L are layers of materials which may be said to be low refractive in the EUV wavelength range as compared to the layers of the same layer subsystem denoted H. Thus, the terms high refractive and low refractive in the EUV wavelength range are relative terms relative to the respective partner layer in a period of a layered subsystem. Layer subsystems generally only work in the EUV wavelength range if a layer which refracts optically with high refractive index is combined with a layer which is relatively lower in optical refraction than the main component of a period of the layer subsystem. In general, the material silicon is used for highly refractive layers. In combination with Si, the materials Mo, Ru and Nb are to be regarded as low-refraction layers, see the complex literature refractive indices of the materials in Table 1 of the layer subsystem SP: material Symbol chemical Icon layer design n k silicon Si H 0.999362 0.00171609 boron carbide B 4 C C 0.963773 0.0051462 silicon carbide SiC C 0.982752 0.0047599 carbon C C 0.9617 0.0069 molybdenum Not a word L 0.921252 0.0064143 ruthenium Ru L 0.889034 0.0171107 niobium Nb L 0.934061 0.0051577 vacuum 1 0 Table 1: used literature refractive indices ñ = n - i · k for 13.5 nm

In der 3 umfasst jede Periode P des Schichtteilsystem SP eine sputterhemmende Zwischenschicht C, welche aus einem Material besteht, welches ausgewählt oder als Verbindung zusammengesetzt ist aus der Gruppe der Materialien: B4C, C, SiC.In the 3 Each period P of the layer subsystem SP comprises a sputter-inhibiting intermediate layer C, which consists of a material which is selected or compounded from the group of materials: B 4 C, C, SiC.

Die Dicke d einer der Perioden P ergibt sich dabei aus der 3 als Summe der Dicken der einzelnen Schichten der entsprechenden Periode, d. h. aus der Dicke der hoch brechenden Schicht H, der Dicke der niedrig brechenden Schicht L und der Dicke von einer sputterhemmenden Zwischenschicht C.The thickness d of one of the periods P results from the 3 as the sum of the thicknesses of the individual layers of the corresponding period, ie, the thickness of the high refractive index layer H, the thickness of the low refractive index layer L, and the thickness of an intermediate sputter inhibiting layer C.

Durch eine solche sputteresistente Zwischenschicht C wird die mittlere Sputterrate für eine Periode P des Schichtteilsystems SP bei Teilchenbeschuss mit Sn-Teilchen einer LPP-Lichtquelle deutlich reduziert, wie die folgende Gegenüberstellung mit dem Stand der Technik verdeutlicht:By means of such a sputter-resistant intermediate layer C, the mean sputtering rate for a period P of the layer subsystem SP in particle bombardment with Sn particles of an LPP light source is markedly reduced, as illustrated by the following comparison with the prior art:

Stand der TechnikState of the art

Mittlere Sputterraten für eine Periode P eines [Si Mo]N-Schichtteilsystems SP (mit d_Si = 4,15 nm; d_Mo = 2,8 nm und Rmax,theor.@13,5 nm = 70,2%) bei verschiedenen Energien der Sn-Teilchen: Schicht-System Sputterrate (Yield) bei verschiedenenen Teilchenenergien 100 eV 1000 eV 10000 eV [Si Mo]N 0,024 0,657 3,085 Average sputtering rates for a period P of a [Si Mo] N layer subsystem SP (with d_Si = 4.15 nm, d_Mo = 2.8 nm and R max, theor. @ 13.5 nm = 70.2%) at different energies the Sn particles: Layer System Sputtering rate (yield) at different particle energies 100 eV 1000 eV 10000 eV [Si Mo] N 0.024 0.657 3,085

Allerdings wird sich dieses angegebene ideale [Si Mo]N-Schichtteilsystem SP so in der Realität nicht ausbilden, da die Mo/Si-Schichten zur Interdiffusion neigen. Ein reales [Si Mo]N-Schichtteilsystem SP lässt sich vielmehr annähernd beschreiben als ein [Si MoSi2 Mo MoSi2]N-Schichtteilsystems SP mit folgenden Schichtdicken: d_Si = 3,35 nm; d_MoSi2_on_Si = 0.8 nm; d_Mo = 2,0 nm; d_MoSi2_on_Mo = 0,8 nm. However, this specified ideal [Si Mo] N- layer subsystem SP will not be formed in reality as the Mo / Si layers tend to interdiffuse. Rather, a real [Si Mo] N layer subsystem SP can be roughly described as a [Si MoSi 2 Mo MoSi 2 ] N layer subsystem SP having the following layer thicknesses: d_Si = 3.35 nm; d_MoSi2_on_Si = 0.8 nm; d_Mo = 2.0 nm; d_MoSi2_on_Mo = 0.8 nm.

Erstes erfindungsgemäßes Schichtteilsystem SPFirst layer subsystem SP according to the invention

Mittlere Sputterraten für eine Periode eines [Si C Mo]N-Schichtteilsystems SP (mit d_Si = 4,2 nm; d_Mo = 1,7 nm; d_C = 1,0 nm und Rmax,theor.@13,5 nm = 70,2%) bei verschiedenen Energien der Sn-Teilchen: Schicht-System Sputterrate (Yield) bei verschiedenenen Teilchenenergien 100 eV 1000 eV 10000 eV [Si C Mo]N 0,021 0,53 2,503 Average sputtering rates for one period of [Si C Mo] N layer subsystem SP (with d_Si = 4.2 nm, d_Mo = 1.7 nm, d_C = 1.0 nm and R max, theor. @ 13.5 nm = 70) , 2%) at different energies of the Sn particles: Layer System Sputtering rate (yield) at different particle energies 100 eV 1000 eV 10000 eV [Si C Mo] N 0,021 0.53 2,503

Zweites erfindungsgemäßes Schichtteilsystem SPSecond layer subsystem SP according to the invention

Mittlere Sputterraten für eine Periode eines [Si C Nb]N-Schichtteilsystems SP (mit d_Si = 4,2 nm; d_Nb = 1,7 nm; d_C = 1,0 nm und Rmax,theor.@13,5 nm = 68%) bei verschiedenen Energien der Sn-Teilchen: Schicht-System Sputterrate (Yield) bei verschiedenenen Teilchenenergien 100 eV 1000 eV 10000 eV [Si C Nb]N 0,014 0,451 2,252 Average sputtering rates for one period of [Si C Nb] N layer subsystem SP (with d_Si = 4.2 nm, d_Nb = 1.7 nm, d_C = 1.0 nm and R max, theor. @ 13.5 nm = 68 %) at different energies of the Sn particles: Layer System Sputtering rate (yield) at different particle energies 100 eV 1000 eV 10000 eV [Si C Nb] N 0,014 0,451 2,252

Anhand dieser Gegenüberstellung der erfindungsgemäßen Schichtteilsysteme gegenüber dem Stand der Technik ist zu erkennen, dass ein einfindungsgemäßes Schichtteilsystem SP mit N Perioden P bestehend aus einer 4,2 nm dicken Schicht aus Si, einer 1 nm dicken Schicht aus C und einer 1,7 nm dicken Schicht aus entweder Mo oder Nb eine um etwa 10–40% niedrigere mittlere Sputterrate für eine Periode aufweist, als ein vergleichbares Schichtteilsystem SP aus dem Stand der Technik, dessen Perioden aus einer 4,15 nm dicken Schicht aus Si und einer 2,8 nm dicken Schicht aus Mo bestehen. Die sputterhemmende Schicht aus C des erfindungsgemäßen Schichtteilsystems SP ist hierbei in Richtung vom Substrat zum Vakuum hin gesehen nachfolgend der Schicht aus Si aufgebracht.On the basis of this comparison of the layer subsystems according to the invention compared with the prior art, it can be seen that an inventive layer subsystem SP with N periods P consisting of a 4.2 nm thick layer of Si, a 1 nm thick layer of C and a 1.7 nm thick Layer of either Mo or Nb has a mean sputtering rate lower by about 10-40% for one period than a comparable layer subsystem SP of the prior art whose periods consist of a 4.15 nm thick layer of Si and a 2.8 nm thick layer of Mo exist. In this case, the sputter-inhibiting layer of C of the layer subsystem SP according to the invention is applied subsequently to the layer of Si in the direction from the substrate to the vacuum.

Die maximale theoretische Reflektivität bei senkrechtem Lichteinfall bei 13,5 nm des zweiten erfindungsgemäßen Schichtteilsystems SP mit der sputterhemmenden Zwischenschicht liegt bei 68% und ist somit nur um 2,2% niedriger als die Reflektivität des vergleichbaren Schichtteilsystems SP des Standes der Technik, welches die sputterhemmende Zwischenschicht nicht aufweist. Die maximale theoretische Reflektivität des ersten erfindungsgemäßen Schichtteilsystems SP ist hingegen sogar mit der des Standes der Technik identisch. Somit lassen sich erfindungsgemäße Spiegel realisieren, welche eine maximale theoretische Reflektivität von größer 65% aufweisen.The maximum theoretical reflectivity at normal incidence of light at 13.5 nm of the second inventive layer subsystem SP with the sputterhemmenden interlayer is 68% and is thus only 2.2% lower than the reflectivity of the comparable layer subsystem SP of the prior art, which the sputterhemmende Intermediate layer does not have. By contrast, the maximum theoretical reflectivity of the first layer subsystem SP according to the invention is even identical to that of the prior art. Thus, mirrors according to the invention can be realized which have a maximum theoretical reflectivity of greater than 65%.

Die Anzahl N der Perioden P des Schichtteilsystems SP kann bei dem erfindungsgemäßen Spiegel 1a bis zu 500 Perioden der in der 3 dargestellten Einzelperioden P umfassen. Eine solch hohe Anzahl N der Perioden P ist für einen Kollektorspiegel notwendig, da die Perioden P mit der Zeit durch die Sn-Teilchen der LPP-Lichtquelle sukzessive durch Sputtern abgetragen werden. Insofern ist die vorliegende Erfindung hilfreich, bei gegebener Anzahl N der Perioden P die Lebensdauer eines Kollektorspiegels zu erhöhen, oder umgekehrt hilfreich, bei gegebener Lebensdauer die hierfür benötigte Anzahl N der Perioden P zu begrenzen. Insbesondere kann hierdurch bei einem erfindungsgemäßen Kollektorspiegel die Anzahl N der Perioden auf weniger als 200, bevorzugt auf weniger als 100 Perioden begrenzt werden.The number N of the periods P of the layer subsystem SP can in the mirror according to the invention 1a up to 500 periods in the 3 comprise individual periods P shown. Such a high number N of periods P is necessary for a collector mirror because the periods P are successively removed by sputtering by the Sn particles of the LPP light source with time. In this respect, the present invention is helpful to increase the life of a collector mirror given a number N of periods P, or vice versa helpful to limit the required number N of periods P for a given lifetime. In particular, this can be limited to less than 200, preferably less than 100 periods in a collector mirror according to the invention, the number N of the periods.

Ferner kann zwischen den in der 3 dargestellten Schichtanordnung und dem Substrat S eine zusätzliche Zwischenschicht oder eine zusätzliche Zwischenschichtanordnung vorgesehen werden. Darüber hinaus versteht es sich, dass die niedrig brechenden Schichten nicht nur aus Mo oder Nb bestehen können, es sind auch Mischschichten mit den genannten Materialien denkbar.Furthermore, between the in the 3 layer arrangement shown and the substrate S, an additional intermediate layer or an additional interlayer arrangement can be provided. In addition, it is understood that the low-refractive layers can not only consist of Mo or Nb, there are also mixed layers with the aforementioned materials conceivable.

ZITATE ENTHALTEN IN DER BESCHREIBUNG QUOTES INCLUDE IN THE DESCRIPTION

Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.This list of the documents listed by the applicant has been generated automatically and is included solely for the better information of the reader. The list is not part of the German patent or utility model application. The DPMA assumes no liability for any errors or omissions.

Zitierte Nicht-PatentliteraturCited non-patent literature

  • U. Dinger et al. „Mirror substrates for EUV-lithography: Progress in metrology and optical fabrication technology” in Proc. SPIE Vol. 4146, 2000 [0003] U. Dinger et al. "Mirror substrates for EUV lithography: Progress in metrology and optical fabrication technology" in Proc. SPIE Vol. 4146, 2000 [0003]

Claims (12)

Spiegel (1a) für den EUV-Wellenlängenbereich bei 13,5 nm umfassend ein Substrat (S) und eine Schichtanordnung, wobei die Schichtanordnung mindestens ein Schichtteilsystemen (SP) umfasst, welches aus einer periodischen Abfolge von mindestens zwei Perioden (P) an Einzelschichten besteht, wobei die Perioden (P) zwei Einzelschichten aus unterschiedlichen Materialien für eine hoch brechende Schicht (H) und eine niedrig brechende Schicht (L) umfassen, dadurch gekennzeichnet, dass die mittlere Sputterrate für eine Periode (P) des Schichtteilsystems (SP) der Schichtanordnung bei Sn-Teilchen mit Teilchenenergien von 100 eV weniger als 0,024 und/oder mit Teilchenenergien von 1000 eV weniger als 0,65 und/oder mit Teilchenenergien von 10 keV weniger als 3 beträgt.Mirror ( 1a ) for the EUV wavelength range at 13.5 nm comprising a substrate (S) and a layer arrangement, wherein the layer arrangement comprises at least one layer subsystem (SP), which consists of a periodic sequence of at least two periods (P) of individual layers, wherein the Periods (P) comprise two individual layers of different materials for a high-refraction layer (H) and a low-refraction layer (L), characterized in that the average sputtering rate for a period (P) of the layer subsystem (SP) of the layer arrangement at Sn Particles with particle energies of 100 eV less than 0.024 and / or with particle energies of 1000 eV less than 0.65 and / or with particle energies of 10 keV less than 3. Spiegel (1a) für den EUV-Wellenlängenbereich bei 13,5 nm umfassend ein Substrat (S) und eine Schichtanordnung, wobei die Schichtanordnung mindestens ein Schichtteilsystemen (SP) umfasst, welches aus einer periodischen Abfolge von mindestens zwei Perioden (P) an Einzelschichten besteht, wobei die Perioden (P) zwei Einzelschichten aus unterschiedlichen Materialien für eine hoch brechende Schicht (H) und eine niedrig brechende Schicht (L) umfassen, dadurch gekennzeichnet, dass das Schichteilsystem (SP) mindestens eine sputterhemmende Zwischenschicht (C) enthält, deren Material und Dicke so gewählt ist, dass die mittlere Sputterrate für eine Periode (P) des Schichtteilsystems (SP) der Schichtanordnung bei Sn-Teilchen mit Teilchenenergien zwischen 100 eV und 10 keV um mindestens 10% niedriger ausfällt, als bei einer vergleichbaren Schichtanordnung deren Schichtteilsystem (SP) diese mindestens eine sputterhemmende Zwischenschicht (C) nicht aufweist.Mirror ( 1a ) for the EUV wavelength range at 13.5 nm comprising a substrate (S) and a layer arrangement, wherein the layer arrangement comprises at least one layer subsystem (SP), which consists of a periodic sequence of at least two periods (P) of individual layers, wherein the Periods (P) comprise two individual layers of different materials for a high-refractive layer (H) and a low-refractive layer (L), characterized in that the layered subsystem (SP) comprises at least one sputterhemmende intermediate layer (C) whose material and thickness so it is chosen that the mean sputtering rate for a period (P) of the layer subsystem (SP) of the layer arrangement is at least 10% lower for Sn particles having particle energies between 100 eV and 10 keV than for a comparable layer arrangement of its layer subsystem (SP) does not have at least one sputterhemmende intermediate layer (C). Spiegel (1a) für den EUV-Wellenlängenbereich bei 13,5 nm nach Anspruch 1 oder 2, wobei der Spiegel (1a) bei senkrechtem Lichteinfall eine maximale theoretische Reflektivität von mehr als 65% aufweist.Mirror ( 1a ) for the EUV wavelength range at 13.5 nm according to claim 1 or 2, wherein the mirror ( 1a ) has a maximum theoretical reflectivity of more than 65% at normal incidence of light. Spiegel (1a) für den EUV-Wellenlängenbereich bei 13,5 nm nach Anspruch 1, 2 oder 3, wobei das Schichtteilsystem (SP) mindestens eine sputterhemmende Zwischenschicht (C) umfasst, die gebildet ist oder als Verbindung zusammengesetzt ist aus einem Material der Gruppe: B4C, C, SiC.Mirror ( 1a ) for the EUV wavelength range at 13.5 nm according to claim 1, 2 or 3, wherein the layer subsystem (SP) comprises at least one sputterhemmende intermediate layer (C), which is formed or compounded from a material of the group: B 4 C, C, SiC. Spiegel (1a) für den EUV-Wellenlängenbereich bei 13,5 nm nach Anspruch 4, wobei die sputterhemmende Zwischenschicht (C) des Schichtteilsystems (SP) eine Dicke zwischen 0,4 nm und 1,5 nm, insbesondere zwischen 0,6 nm und 1,2 nm aufweist.Mirror ( 1a ) for the EUV wavelength range at 13.5 nm according to claim 4, wherein the sputterhemmende intermediate layer (C) of the layer subsystem (SP) has a thickness between 0.4 nm and 1.5 nm, in particular between 0.6 nm and 1.2 nm. Spiegel (1a) für den EUV-Wellenlängenbereich bei 13,5 nm nach einem der vorhergehenden Ansprüche, wobei jede Periode (P) des Schichtteilsystems (SP) mindestens eine sputterhemmende Zwischenschicht (C) aufweist und die sputterhemmende Zwischenschicht durch eine etwa 1 nm dicke Schicht aus C gebildet ist.Mirror ( 1a ) for the EUV wavelength range at 13.5 nm according to any one of the preceding claims, wherein each period (P) of the layer subsystem (SP) has at least one sputterhemmende intermediate layer (C) and the sputterhemmende intermediate layer formed by an about 1 nm thick layer of C. is. Spiegel (1a) für den EUV-Wellenlängenbereich bei 13,5 nm nach einem der vorhergehenden Ansprüche, wobei die niedrig brechende Schicht (L) des Schichtteilsystems (SP) aus Mo und/oder Nb mit einer Dicke zwischen 1,5 und 3 nm und die hoch brechende Schicht (H) des Schichtteilsystems (SP) aus Si mit einer Dicke zwischen 4 und 5 nm besteht.Mirror ( 1a ) for the EUV wavelength range at 13.5 nm according to any one of the preceding claims, wherein the low refractive layer (L) of the layer subsystem (SP) of Mo and / or Nb with a thickness between 1.5 and 3 nm and the high refractive index Layer (H) of the layer subsystem (SP) consists of Si with a thickness between 4 and 5 nm. Spiegel (1a) für den EUV-Wellenlängenbereich bei 13,5 nm nach einem der vorhergehenden Ansprüche, wobei das Material für das Substrat (S) ausgewählt ist aus der Gruppe: Al, Legierungen aus Al, kristallines Si oder SiSiC und der Spiegel eine Polierschicht (A) aus NiP oder amorphem Si zur Reduktion der Oberflächenrauhigkeit des Substrates aufweist.Mirror ( 1a ) for the EUV wavelength range at 13.5 nm according to any one of the preceding claims, wherein the material for the substrate (S) is selected from the group: Al, alloys of Al, crystalline Si or SiSiC and the mirror a polishing layer (A) of NiP or amorphous Si for reducing the surface roughness of the substrate. Spiegel (1a) für den EUV-Wellenlängenbereich bei 13,5 nm nach Anspruch 8, wobei der Spiegel mindestens eine Haftvermittlerschicht aus Zn und/oder mindestens eine strukturierbare Schicht (G) aus NiP oder Cu aufweist.Mirror ( 1a ) for the EUV wavelength range at 13.5 nm according to claim 8, wherein the mirror has at least one adhesion promoter layer of Zn and / or at least one structurable layer (G) of NiP or Cu. Spiegel (1a) für den EUV-Wellenlängenbereich bei 13,5 nm nach einem der vorhergehenden Ansprüche, wobei die Anzahl (N) der Perioden (P) des Schichtteilsystems (SP) weniger als 500, insbesondere weniger als 200, bevorzugt weniger als 100 Perioden beträgt.Mirror ( 1a ) for the EUV wavelength range at 13.5 nm according to any one of the preceding claims, wherein the number (N) of the periods (P) of the layer subsystem (SP) is less than 500, in particular less than 200, preferably less than 100 periods. Kollektor für eine EUV-Lichtquelle umfassend einen Spiegel (1a) für den EUV-Wellenlängenbereich bei 13,5 nm nach einem der vorhergehenden Ansprüche.Collector for an EUV light source comprising a mirror ( 1a ) for the EUV wavelength range at 13.5 nm according to any one of the preceding claims. Projektionsbelichtungsanlage für die Mikrolithographie umfassend ein Kollektor nach Anspruch 11 oder einen Spiegel nach einem der Ansprüche 1 bis 10. A microlithographic projection exposure apparatus comprising a collector according to claim 11 or a mirror according to any one of claims 1 to 10.
DE201110082043 2011-09-02 2011-09-02 Mirror for collector of extreme UV light source used in projection exposure system, has layer arrangement whose average sputtering rate with respect to particle energies of tin particle is set to specific range at specific time period Withdrawn DE102011082043A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
DE201110082043 DE102011082043A1 (en) 2011-09-02 2011-09-02 Mirror for collector of extreme UV light source used in projection exposure system, has layer arrangement whose average sputtering rate with respect to particle energies of tin particle is set to specific range at specific time period

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE201110082043 DE102011082043A1 (en) 2011-09-02 2011-09-02 Mirror for collector of extreme UV light source used in projection exposure system, has layer arrangement whose average sputtering rate with respect to particle energies of tin particle is set to specific range at specific time period

Publications (1)

Publication Number Publication Date
DE102011082043A1 true DE102011082043A1 (en) 2012-09-13

Family

ID=46705496

Family Applications (1)

Application Number Title Priority Date Filing Date
DE201110082043 Withdrawn DE102011082043A1 (en) 2011-09-02 2011-09-02 Mirror for collector of extreme UV light source used in projection exposure system, has layer arrangement whose average sputtering rate with respect to particle energies of tin particle is set to specific range at specific time period

Country Status (1)

Country Link
DE (1) DE102011082043A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102017206118A1 (en) * 2017-04-10 2018-04-19 Carl Zeiss Smt Gmbh Reflective optical element and optical system

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6396900B1 (en) * 2001-05-01 2002-05-28 The Regents Of The University Of California Multilayer films with sharp, stable interfaces for use in EUV and soft X-ray application
US20040121134A1 (en) * 2000-03-31 2004-06-24 Frederik Bijkerk Multilayer system with protecting layer system and production method
DE102009054653A1 (en) * 2009-12-15 2011-06-16 Carl Zeiss Smt Gmbh Mirror for the EUV wavelength range, substrate for such a mirror, use of a quartz layer for such a substrate, projection lens for microlithography with such a mirror or such a substrate and Projektionsichtung for microlithography with such a projection lens

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040121134A1 (en) * 2000-03-31 2004-06-24 Frederik Bijkerk Multilayer system with protecting layer system and production method
US6396900B1 (en) * 2001-05-01 2002-05-28 The Regents Of The University Of California Multilayer films with sharp, stable interfaces for use in EUV and soft X-ray application
DE102009054653A1 (en) * 2009-12-15 2011-06-16 Carl Zeiss Smt Gmbh Mirror for the EUV wavelength range, substrate for such a mirror, use of a quartz layer for such a substrate, projection lens for microlithography with such a mirror or such a substrate and Projektionsichtung for microlithography with such a projection lens

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
U. Dinger et al. "Mirror substrates for EUV-lithography: Progress in metrology and optical fabrication technology" in Proc. SPIE Vol. 4146, 2000

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102017206118A1 (en) * 2017-04-10 2018-04-19 Carl Zeiss Smt Gmbh Reflective optical element and optical system

Similar Documents

Publication Publication Date Title
DE102015112273B4 (en) Reduction of contamination of an extreme ultraviolet lithography collector
DE102009054986B4 (en) Reflective mask for EUV lithography
DE102009045170A1 (en) Reflective optical element and method for operating an EUV lithography device
DE102009054653A1 (en) Mirror for the EUV wavelength range, substrate for such a mirror, use of a quartz layer for such a substrate, projection lens for microlithography with such a mirror or such a substrate and Projektionsichtung for microlithography with such a projection lens
DE102015213273A1 (en) Mirror, in particular for a microlithographic projection exposure apparatus
DE102013219583A1 (en) Mirror, in particular for a microlithographic projection exposure apparatus
DE102017213181A1 (en) Optical arrangement for EUV radiation with a shield to protect against the corrosivity of a plasma
DE102011079933A1 (en) Optical element for UV or EUV lithography
DE102012203633A1 (en) Mirror for the EUV wavelength range, manufacturing method for such a mirror and projection exposure apparatus with such a mirror
DE102014219755A1 (en) Reflective optical element
DE102014204660A1 (en) Mirror, in particular for a microlithographic projection exposure apparatus
EP3030936B1 (en) Mirror for a microlithographic projection exposure apparatus
DE102010041502A1 (en) Mirror for use in projection lens of projection exposure plant for imaging reticule in image plane using extreme UV radiations during microlithography process, has layer arrangement with layers e.g. barrier layers, made of graphene
EP3286595B1 (en) Wavefront correction element for use in an optical system
DE102019208934A1 (en) Mirrors, in particular for a microlithographic projection exposure system
DE102016209273A1 (en) MIRROR FOR EUV WAVE LENGTH AREA
DE102011082043A1 (en) Mirror for collector of extreme UV light source used in projection exposure system, has layer arrangement whose average sputtering rate with respect to particle energies of tin particle is set to specific range at specific time period
DE102016213839A1 (en) Mirror for a microlithographic projection exposure system and method for processing a mirror
DE102016218028A1 (en) Reflective optical element
DE102020206708A1 (en) Mirrors, especially for microlithography
DE102011079450A1 (en) Optical arrangement with degradation suppression
WO2014135537A1 (en) Collector mirror for an euv-lithography device
DE102013222330A1 (en) Mirror, in particular for a microlithographic projection exposure apparatus
DE102016224111A1 (en) Reflective optical element for the extreme ultraviolet wavelength range
WO2023194355A1 (en) Reflective optical element for a wavelength in the extreme ultraviolet wavelength range

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R230 Request for early publication
R120 Application withdrawn or ip right abandoned

Effective date: 20130312