DE10103340A1 - Process for growing carbon nanotubes above an electrically contactable substrate and component - Google Patents

Process for growing carbon nanotubes above an electrically contactable substrate and component

Info

Publication number
DE10103340A1
DE10103340A1 DE10103340A DE10103340A DE10103340A1 DE 10103340 A1 DE10103340 A1 DE 10103340A1 DE 10103340 A DE10103340 A DE 10103340A DE 10103340 A DE10103340 A DE 10103340A DE 10103340 A1 DE10103340 A1 DE 10103340A1
Authority
DE
Germany
Prior art keywords
layer
carbon nanotubes
metal
pad
contacted
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
DE10103340A
Other languages
German (de)
Inventor
Franz Kreupl
Wolfgang Hoenlein
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Priority to DE10103340A priority Critical patent/DE10103340A1/en
Priority to PCT/DE2002/000194 priority patent/WO2002059392A1/en
Publication of DE10103340A1 publication Critical patent/DE10103340A1/en
Ceased legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B32/00Carbon; Compounds thereof
    • C01B32/15Nano-sized carbon materials
    • C01B32/158Carbon nanotubes
    • C01B32/16Preparation
    • C01B32/162Preparation characterised by catalysts
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32056Deposition of conductive or semi-conductive organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1094Conducting structures comprising nanotubes or nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

Die Erfindung betrifft ein Verfahren zum Wachsen von Kohlenstoff-Nanoröhren oberhalb einer elektrisch zu kontaktierenden Unterlage, DOLLAR A È bei dem zumindest ein für den Wachstum von Kohlenstoff-Nanoröhren katalytisch aktives Metall oberhalb des vorgegebenen Bereichs der zu kontaktierenden Unterlage mittels eines elektrolosen Abscheideverfahrens aufgebracht wird, und DOLLAR A È bei dem Kohlenstoff-Nanoröhren auf dem katalytisch aktiven Metall gewachsen werden.The invention relates to a method for growing carbon nanotubes above an electrically contactable substrate, DOLLAR A È, in which at least one metal which is catalytically active for the growth of carbon nanotubes is applied above the predetermined area of the substrate to be contacted by means of an electroless deposition process, and DOLLAR A È where carbon nanotubes are grown on the catalytically active metal.

Description

Die Erfindung betrifft ein Verfahren zum Wachsen von Kohlenstoff-Nanoröhren oberhalb einer elektrisch zu kontaktierenden Unterlage sowie ein Bauelement.The invention relates to a method for growing Carbon nanotubes above one electrically too contacting pad and a component.

Um die hohe elektrische Leitfähigkeit von Kohlenstoff- Nanoröhren zum Beispiel bei der Anwendung solcher Kohlenstoff- Nanoröhren als Via-Interconnect in der Mikroelektronik auszunützen, müssen auf die zu kontaktierende Unterlage eines mikroelektronischen Schaltkreises, welche in den meisten Fällen eine Leiterbahn ist, metallische Katalysatoren aufgebracht werden, so dass auf diesen mit Hilfe von beispielsweise einer Abscheidung aus der Gasphase (CVD- Verfahren) Kohlenstoff-Nanoröhren aufgewachsen werden können. Hierzu muss die zu kontaktierende Unterlage durch eine Bedeckung der Oberfläche der Unterlage mit einem Katalysatormaterial während oder vor der Strukturierung versehen werden, das geeignet ist, um das Wachstum von Kohlenstoff-Nanoröhren zu katalysieren.To ensure the high electrical conductivity of carbon Nanotubes, for example, when using such carbon Nanotubes as via interconnect in microelectronics to exploit must be based on the document to be contacted microelectronic circuit, which in most If there is a trace, metallic catalysts be applied so that with the help of for example deposition from the gas phase (CVD Process) carbon nanotubes can be grown. For this, the document to be contacted must be replaced by a Cover the surface of the underlay with a Catalyst material during or before structuring be provided, which is suitable for the growth of Catalyze carbon nanotubes.

Es gibt im Stand der Technik einige bekannte Verfahren zum Versehen der Oberfläche einer zu kontaktierenden Unterlage eines mikroelektronischen Schaltkreises mit einem Metall. Diese bekannte Verfahren sind jedoch meist mit erheblichen Nachteilen verbunden, die entweder das Aufbringen und/oder das anschließende Wachsen von Kohlenstoff-Nanoröhren erschweren.There are some known methods for Providing the surface of a surface to be contacted of a microelectronic circuit with a metal. However, these known methods are usually considerable Disadvantages associated with either the application and / or the subsequent growth of carbon nanotubes complicate.

Bei einer Damascene-Strukturierung muss zum Beispiel die Abscheidung des Materials der zu kontaktierenden Unterlage des mikroelektronischen Schaltkreises so gestoppt werden, dass nach dem Abscheiden des Katalysatormaterials sowie nach dem CMP-Strukturierungsverfahren (CMP = Chemical Mechanical Polishing) noch genügend oder ausreichend Katalysatormaterial auf der Oberfläche der zu kontaktierenden Unterlage zurückbleibt, um ein katalytisches Aufwachsen von Kohlenstoff- Nanoröhren zu bewirken.With a Damascene structuring, for example, the Deposition of the material of the pad to be contacted  microelectronic circuit can be stopped so that after the deposition of the catalyst material and after the CMP structuring method (CMP = Chemical Mechanical Polishing) sufficient or sufficient catalyst material on the surface of the pad to be contacted remains to catalytically grow carbon To effect nanotubes.

Wird die Trockenätz-Technik zum Strukturieren einer zu kontaktierenden Unterlage eines mikroelektronischen Schaltkreises verwendet, so wird beim anschließenden Veraschen des Lacks die Katalysatoroberfläche oxidiert und somit unbrauchbar gemacht.The dry etching technique is used to structure one contacting pad of a microelectronic Circuit used, so is the subsequent ashing of the paint oxidizes the catalyst surface and thus made unusable.

Weiterhin könnte durch einfaches Aufsputtern oder Aufdampfen des Katalysatormaterials dieses Katalysatormaterial auf die zu kontaktierende Unterlage des mikroelektronischen Schaltkreises abgeschieden werden. Dadurch wird jedoch die ganze Oberfläche des mikroelektronischen Schaltkreises, d. h. nicht nur die Oberfläche der zu kontaktierenden Unterlage des mikroelektronischen Schaltkreises mit Katalysatormaterial bedeckt. Des weiteren bekommt man hierdurch eine Bedeckung der Via-Seitenwände, so dass bei dem anschliessenden Aufwachsen von Kohlenstoff-Nanoröhren diese überall, d. h. nicht nur auf den als zu kontaktierende Unterlage anzusehenden Via-Boden mit seiner Leiterbahn, aufwachsen.It could also be done simply by sputtering or vapor deposition the catalyst material this catalyst material to the contacting pad of the microelectronic circuit be deposited. However, this will cover the entire surface the microelectronic circuit, d. H. not only the Surface of the pad to be contacted microelectronic circuit with catalyst material covered. Furthermore you get a covering of the Via side walls, so that when growing up of carbon nanotubes these everywhere, i.e. H. not just on the via floor to be considered as a support its track, grow up.

Wird das Katalysatormaterial auf die zu kontaktierende Unterlage des mikroelektronischen Schaltkreises galvanisch aufgebracht, so muss jede einzelne zu kontaktierende Unterlage mit einer Elektrode kontaktiert werden, um dann in einem Elektrolyten durch Stromfluß diese zu kontaktierende Unterlage mit Katalysatormaterial zu bedecken. Dieses Verfahren ist an sich Zeit- und kostenaufwendig und erschwert das Herstellungsverfahren erheblich.Will the catalyst material to be contacted Base of the microelectronic circuit galvanically applied, so each individual pad to be contacted be contacted with an electrode and then in one Electrolytes by current flow this pad to be contacted to be covered with catalyst material. This procedure is on  time and costly and makes it difficult Manufacturing process significantly.

Somit liegt der Erfindung das Problem zugrunde, ein verbessertes Verfahren zum Wachsen von Kohlenstoff-Nanoröhren auf einer zu kontaktierenden Unterlage bereitzustellen.The invention is therefore based on the problem of a improved process for growing carbon nanotubes to be provided on a document to be contacted.

Erfindungsgemäß wird dieses Problem durch Bereitstellen eines Verfahrens zum Wachsen von Kohlenstoff-Nanoröhren oberhalb eines vorgegebenen Bereichs einer zu kontaktierenden Unterlage,
bei dem zumindest ein für den Wachstum von Kohlenstoff- Nanoröhren katalytisch aktives Metall oberhalb des vorgegebenen Bereichs der zu kontaktierenden Unterlage mittels eines elektrolosen Abscheideverfahrens aufgebracht wird, und
bei dem Kohlenstoff-Nanoröhren auf dem katalytisch aktiven Metall gewachsen werden.
According to the invention, this problem is solved by providing a method for growing carbon nanotubes above a predetermined area of a substrate to be contacted,
in which at least one metal which is catalytically active for the growth of carbon nanotubes is applied above the predetermined area of the substrate to be contacted by means of an electroless deposition process, and
in which carbon nanotubes are grown on the catalytically active metal.

Das Problem wird weiterhin durch ein Bauelement gelöst, das Kohlenstoff-Nanoröhren, die gemäß dem obenstehenden erfindungsgemäßen Verfahren gewachsen sind, aufweist.The problem is still solved by a component that Carbon nanotubes according to the above methods according to the invention have grown.

Das erfindungsgemäße Verfahren bringt gegenüber dem Stand der Technik einige wichtige Vorteile mit sich.The method according to the invention brings compared to the state of the Technology with some important advantages.

Zum Beispiel kann im Gegensatz zum Damascene-Verfahren eine zu kontaktierende Unterlage eines mikroelektronischen Schaltkreises, beispielsweise eine Leiterbahn eines mikroelektronischen Schaltkreises, die am Boden eines geätzten Vias liegt, noch vor dem Aufbringen des für den Wachstum von Kohlenstoff-Nanoröhren katalytisch aktiven Metalls, fertig hergestellt werden (einschließlich CMP- Strukturierungsverfahren), ohne das Herstellungsverfahren zwischenzeitlich noch vor dem Aufbringen des katalytisch aktiven Metalls unterbrechen zu müssen.For example, in contrast to the Damascene process, one too contacting pad of a microelectronic Circuit, for example a trace of a microelectronic circuit that is etched at the bottom of a Vias lies ahead of applying for the growth of Carbon nanotubes of catalytically active metal, done manufactured (including CMP- Structuring process) without the manufacturing process  in the meantime before the catalytic application to interrupt active metal.

Weiterhin kann beim erfindungsgemäßen Verfahren von einem anschließenden Veraschungsschritt abgesehen werden, so dass das aufgebrachte, katalytisch aktive Metall nicht durch Oxidation beschädigt und unbrauchbar gemacht wird.Furthermore, in the method according to the invention, one subsequent ashing step can be disregarded, so that the applied, catalytically active metal Oxidation is damaged and made unusable.

Ein weiterer, sehr wichtiger Vorteil des erfindungsgemäßen Verfahrens gegenüber dem Stand der Technik ist darin zu sehen, dass bei ihm das katalytisch aktive Metall ausschließlich an denjenigen Stellen aufgebracht wird, auf denen es zum späteren Wachsen von Kohlenstoff-Nanoröhren erforderlich ist. Dies steht im deutlichen Gegensatz zu herkömmlichen Aufsputter- oder Aufdampfverfahren, bei dem das aufzubringende katalytische Metall nicht nur auf die zu kontaktierende Unterlage des mikroelektronischen Schaltkreises, sondern auf die gesamte Oberfläche des die zu kontaktierende Unterlage enthaltenden, mikroelektronischen Schaltkreises aufgebracht wird.Another very important advantage of the invention Process compared to the prior art can be seen in that with him the catalytically active metal exclusively the places where it will be applied later Growing carbon nanotubes is required. This stands in clear contrast to conventional sputtering or vapor deposition, in which the to be applied catalytic metal not only on the to be contacted Documentation of the microelectronic circuit, but on the entire surface of the pad to be contacted containing microelectronic circuit applied becomes.

Des weiteren sind beim erfindungsgemäßen Verfahren keine Elektroden erforderlich, da das erfindungsgemäße Verfahren auf einen inneren statt auf einen von außen eingespeisten Stromfluß beruht.Furthermore, there are none in the method according to the invention Electrodes required because the method according to the invention an internal rather than an external one Current flow is based.

Schließlich ermöglicht das chemische Abscheiden in Kombination Zusammenhang mit dem Wachsen von Kohlenstoff-Nanoröhren, dass eine sehr gleichmäßige Dicke der aufzubringenden Schicht aus katalytisch aktivem Metall erzielt werden kann. Des weiteren kann diese Dicke an sich in einfacher Weise durch Einstellen der Konzentration des katalytisch aktiven Metalls bzw. des Vorläufers des katalytisch aktiven Metalls in der Lösung und/oder durch Einstellen der Reaktionszeit den Anforderungen des Einzelfalls angepasst werden. So wird gegenüber dem Stand der Technik gewährleistet, dass das zum Wachsen von Kohlenstoff-Nanoröhren vorgesehene, katalytisch aktive Metall in einer diesem Zweck dienlichen Dicke und Beschaffenheit oberhalb des zum Wachsen von Kohlenstoff-Nanoröhren vorgesehenen Bereichs aufgebracht werden kann.Finally, chemical deposition enables a combination Connection with the growth of carbon nanotubes that a very uniform thickness of the layer to be applied catalytically active metal can be achieved. Furthermore can adjust this thickness per se in a simple manner the concentration of the catalytically active metal or Precursor of the catalytically active metal in the solution  and / or by setting the response time to the requirements of the individual case. So compared to the stand The technology ensures that the growth of Carbon nanotubes provided catalytically active metal in a thickness and quality that serves this purpose above that for growing carbon nanotubes provided area can be applied.

Gemäß einem Ausführungsbeispiel der Erfindung wird zunächst eine erste Schicht direkt auf den vorgegebenen Bereich der zu kontaktierenden Unterlage des mikroelektronischen Schaltkreises abgeschieden und anschließend eine zweite Schicht mit dem katalytisch aktiven Metall direkt auf die erste Schicht abgeschieden. Vorzugsweise weist die erste Schicht Metallatome auf, und kann für den Fall, dass die zweite Schicht mit dem katalytisch aktiven Metall schlecht auf der zu kontaktierenden Unterlage haftet, diese Haftung fördern. In diesem Fall ist es erforderlich, dass die erste Schicht, die direkt auf den vorgegebenen Bereich der zu kontaktierenden Unterlage abgeschieden wird, elektrisch leitfähig ist. Zu diesem Zweck weist diese erste Schicht vorzugsweise Metallatome auf.According to an embodiment of the invention, first a first layer directly on the specified area of the contacting pad of the microelectronic Circuit and then a second Layer with the catalytically active metal directly on the deposited first layer. Preferably, the first one Layer of metal atoms, and in case the second layer with the catalytically active metal poorly of the document to be contacted is liable promote. In this case it is necessary that the first Layer that goes directly to the given area of the contacting pad is deposited, electrically is conductive. For this purpose, this first layer has preferably metal atoms.

Erfindungsgemäß kann das Wachsen der Kohlenstoff-Nanoröhren auf dem katalytisch aktiven Metall oberhalb des vorgegebenen Bereichs der zu kontaktierenden Unterlage des mikroelektronischen Schaltkreises mittels eines Abscheideverfahrens aus der Gasphase erfolgen.According to the invention, the growth of the carbon nanotubes can on the catalytically active metal above the given one Area of the document to be contacted microelectronic circuit by means of a Separation process from the gas phase.

Wie oben bereits angedeutet kann beim erfindungsgemäßen Verfahren die zu kontaktierende Unterlage eine Leiterbahn eines mikroelektronischen Schaltkreises sein. Diese Leiterbahn kann an sich Kupfer oder Aluminium aufweisen. As already indicated above, in the case of the invention Move the pad to be contacted onto a conductor track of a microelectronic circuit. This trace can have copper or aluminum per se.  

Zum Fördern sowohl der Haftung als auch des elektrischen Kontakts zwischen der ersten Schicht, die direkt auf der zu kontaktierenden Unterlage liegt, und der zu kontaktierenden Unterlage selbst kann vor dem Aufbringen der ersten Schicht auf die zu kontaktierende Unterlage eventuell vorhandenes Metalloxid auf der Oberfläche der zu kontaktierenden Unterlage entfernt werden. Ein solches Entfernen kann erfindungsgemäß beispielsweise mit Wasserstoffplasma, d. h. reduzierend, oder mit Säure erfolgen.To promote both liability and electrical Contact between the first layer, which is directly on the to contacting document lies, and the to be contacted Underlay itself can be applied before applying the first layer possibly on the document to be contacted Metal oxide on the surface of the substrate to be contacted be removed. Such removal can be according to the invention for example with hydrogen plasma, d. H. reducing, or done with acid.

Bei einem Ausführungsbeispiel der Erfindung besteht die erste Schicht, die direkt auf den vorgegebenen Bereich der zu kontaktierenden Unterlage des mikroelektronischen Schaltkreises abgeschieden wird, aus PdCl2. Diese Schicht aus PdCl2 kann beispielsweise dadurch aufgebracht werden, indem eine wässrige Lösung mit etwa:
0,25 g/l bis etwa 12,5 g/l PdCl2,
etwa 0,25 bis etwa 12,5 Vol.-%, 36% HCl und
etwa 0 bis 20 Vol.-% Glyzerin/Ethanol
in Kontakt mit dem vorgegebenen Bereich der zu kontaktierenden Unterlage gebracht wird und anschließend mit 10 Vol.-% HCl und anschließend nochmals mit Wasser gespült wird.
In one embodiment of the invention, the first layer, which is deposited directly onto the predetermined area of the substrate of the microelectronic circuit to be contacted, consists of PdCl 2 . This layer of PdCl 2 can be applied, for example, by using an aqueous solution with approximately:
0.25 g / l to about 12.5 g / l PdCl 2 ,
about 0.25 to about 12.5% by volume, 36% HCl and
about 0 to 20 vol% glycerin / ethanol
is brought into contact with the specified area of the substrate to be contacted and then rinsed with 10% by volume HCl and then again with water.

Gemäß einem weiteren Ausführungsbeispiel der vorliegenden Erfindung kann die zweite Metallschicht, die direkt auf der ersten Schicht aufgebracht wird, aus Nickel bestehen. Nickel ist in diesem Fall das zum Wachsen von Kohlenstoff-Nanoröhren katalytisch aktive Metall. Das Nickel kann auf die erste Schicht aufgebracht werden, indem eine wässerige Lösung mit
etwa 45 g/l NiCl2,
etwa 11 g/l NaOCl,
etwa 100 g/l Natriumcitrat und
etwa 50 g/l Ammoniumchlorid
in Kontakt mit der ersten Schicht gebracht wird und anschließend mit H2O gespült wird. Hier ist das Natriumcitrat als das reduzierende Mittel anzusehen, das das NiCl2 noch in der Lösung in die Reinmetallform reduziert, so dass dieses in Reinmetallform auf die erste Schicht abscheidet.
According to a further exemplary embodiment of the present invention, the second metal layer which is applied directly on the first layer can consist of nickel. In this case, nickel is the catalytically active metal for growing carbon nanotubes. The nickel can be applied to the first layer by using an aqueous solution
about 45 g / l NiCl 2 ,
about 11 g / l NaOCl,
about 100 g / l sodium citrate and
about 50 g / l ammonium chloride
is brought into contact with the first layer and then flushed with H 2 O. Here, sodium citrate is to be regarded as the reducing agent that reduces the NiCl 2 in solution into the pure metal form, so that it deposits in pure metal form on the first layer.

Ein Ausführungsbeispiel der Erfindung ist in den Figuren dargestellt und wird im Weiteren näher erläutert.An embodiment of the invention is in the figures shown and will be explained in more detail below.

Es zeigenShow it

Fig. 1a bis 1e in schematischer Weise den Ablauf eines Ausführungsbeispiels des erfindungsgemäßen Verfahrens mit einem im Querschnitt dargestellten Ausschnitts eines mikroelektronischen Schaltkreises. FIG. 1a to 1e schematically the flow of an embodiment of the method according to the invention with a cross section shown in section of a microelectronic circuit.

Fig. 1a zeigt einen Querschnitt eines Ausschnitts eines mikroelektronischen Schaltkreises 107. Der mikroelektronische Schaltkreis 107 weist ein Substrat 100, eine Dielektrikumschicht 101 und eine als zu kontaktierende Unterlage zu verstehende Leiterbahn 102 auf. Bei dem Fertigungszustand des mikroelektronischen Schaltkreises 107 in Fig. 1a ist der Bereich des Dielektrikums 101, der oberhalb der Leiterbahn 102 liegt, beispielsweise mittels eines photolithographischen Ätzverfahrens bereits entfernt worden (dieser Schritt ist dem gezeigten Verfahrensablauf vorgeschaltet und ist an sich nicht gezeigt). Des weiteren existiert auf der Oberfläche der Leiterbahn 102 in Fig. 1a eine Metalloxidschicht 103, die aus dem Oxid des Metalls der Leiterbahn 102 gebildet ist. Fig. 1a shows a cross section of a section of a microelectronic circuit 107th The microelectronic circuit 107 has a substrate 100 , a dielectric layer 101 and a conductor track 102 to be understood as a base to be contacted. In the production state of the microelectronic circuit 107 in FIG. 1 a, the region of the dielectric 101 that lies above the conductor track 102 has already been removed, for example by means of a photolithographic etching process (this step precedes the process sequence shown and is not shown per se). Furthermore, there is a metal oxide layer 103 on the surface of the conductor track 102 in FIG. 1 a, which is formed from the oxide of the metal of the conductor track 102 .

Fig. 1b zeigt den Fertigungszustand des mikroelektronischen Schaltkreises 107, nachdem die Metalloxidschicht 103 oberhalb der Leiterbahn 102 entfernt worden ist. Dieses Entfernen kann beispielsweise unter stark reduzierenden Bedingungen erfolgen. Hierzu ist die Behandlung des mikroelektronischen Schaltkreises mit Wasserstoffplasma oder mit Säure, beispielsweise Mineralsäure, zum Entfernen der Oxidschicht 103 auf der Leiterbahn 102 geeignet. FIG. 1b shows the state of the microelectronic circuit 107, after the metal oxide layer 103 has been removed above the circuit web 102. This removal can take place, for example, under strongly reducing conditions. For this purpose, the treatment of the microelectronic circuit with hydrogen plasma or with acid, for example mineral acid, is suitable for removing the oxide layer 103 on the conductor track 102 .

Fig. 1c zeigt den Fertigungszustand des mikroelektronischen Schaltkreises 107, nachdem eine erste, als Primerschicht anzusehende Schicht 104 direkt auf der Leiterbahn. 102 aufgebracht ist. Vorzugsweise besteht diese erste Schicht 104 auf der Leiterbahn 102 in diesem Ausführungsbeispiel aus PdCl2. Die erste Schicht 104 kann durch Inkontaktbringen einer wässrigen Lösung enthaltend
etwa 0,25 g/l bis etwa 12,5 g/l PdCl2,
etwa 0,25 bis etwa 12,5 Vol.-%, 36% HCl und
etwa 0 bis etwa 20 Vol.-% Glyzerin/Ethanol
mit der zu kontaktierenden Leiterbahn 102 und durch anschließendes Spülen mit 10 Vol.-% HCl und nochmaligem Spülen mit Wasser erfolgen.
Fig. 1c shows the state of the microelectronic circuit 107 after a first, to be regarded as a primer layer layer 104 directly on the conductor. 102 is applied. This first layer 104 on the conductor track 102 in this exemplary embodiment preferably consists of PdCl 2 . The first layer 104 may contain by contacting an aqueous solution
about 0.25 g / l to about 12.5 g / l PdCl 2 ,
about 0.25 to about 12.5% by volume, 36% HCl and
about 0 to about 20 vol% glycerin / ethanol
with the conductor track 102 to be contacted and then rinsed with 10 vol.% HCl and rinsed again with water.

Fig. 1d zeigt den Fertigungszustand des mikroelektronischen Schaltkreises 107, nachdem direkt auf die erste Schicht 104 eine zweite Schicht 105 mit dem katalytisch aktiven Metall aufgebracht worden ist. Vorzugsweise besteht diese zweite Schicht 105 aus Nickel, das zum Wachsen von Kohlenstoff- Nanoröhren als katalytisch aktives Metall funktionieren kann. Gemäß diesem Ausführungsbeispiel der vorliegenden Erfindung kann die zweite Schicht 105 aus Nickel direkt auf der ersten Schicht 104 aus PdCl2 durch Inkontaktbringen einer wässrigen Lösung enthaltend
etwa 45 g/l NiCl2,
etwa 11 g/l NaOCl,
etwa 100 g/l Natriumcitrat und
etwa 50 g/l Ammoniumchlorid
mit der ersten Schicht 104 aus PdCl2 und durch anschließendes Spülen mit H2O aufgebracht werden. Das in der letztgenannten Lösung vorhandene NiCl2 wird noch in der Lösung durch das Natriumcitrat zur Reinmetallform reduziert (Ni°), und das Nickel in Reinmetallform scheidet dann auf die erste Schicht 104 PdCl2 ab.
Fig. 1d shows the state of the microelectronic circuit 107, after the first layer 104 a second layer 105 has been deposited with the catalytically active metal directly. This second layer 105 preferably consists of nickel, which can function as a catalytically active metal for growing carbon nanotubes. According to this exemplary embodiment of the present invention, the second layer 105 made of nickel can directly contain on the first layer 104 made of PdCl 2 by contacting an aqueous solution
about 45 g / l NiCl 2 ,
about 11 g / l NaOCl,
about 100 g / l sodium citrate and
about 50 g / l ammonium chloride
with the first layer 104 of PdCl 2 and then rinsed with H 2 O. The NiCl 2 present in the last-mentioned solution is still reduced in solution by the sodium citrate to the pure metal form (Ni °), and the nickel in pure metal form then deposits on the first layer 104 of PdCl 2 .

Fig. 1e zeigt den Fertigungsstand des mikroelektronischen Schaltkreises 107, bei welchem Kohlenstoff-Nanoröhren 106 auf der Oberfläche des katalytisch aktiven Metalls 105 gewachsen worden sind. Aufgrund der Tatsache, dass das katalytisch aktive Metall der zweiten Schicht 105, in diesem Ausführungsbeispiel Nickel, sich nur oberhalb der zu kontaktierenden Leiterbahn 102 befindet, wachsen nur in diesem Bereich Kohlenstoff-Nanoröhren auf. So ermöglicht dieses Ausführungsbeispiel der vorliegenden Erfindung im Endeffekt ein gezieltes Aufbringen von Kohlenstoff-Nanoröhren 106 auf einen bestimmten Bereich eines mikroelektronischen Schaltkreises 107, während alle anderen, nicht vorgegebenen Bereiche des mikroelektronischen Schaltkreises 107 von den Kohlenstoff-Nanoröhren 106 frei bleiben. Im Rahmen dieses Ausführungsbeispiels der vorliegenden Erfindung ist es bevorzugt, dass die Kohlenstoff-Nanoröhren 106 mittels eines Abscheideverfahrens aus der Gasphase (CVD-Verfahren) gewachsen werden. Fig. 1e shows the state of completion of microelectronic circuit 107, in which carbon nanotubes were grown on the surface of the catalytically active metal 105 106. Due to the fact that the catalytically active metal of the second layer 105 , in this exemplary embodiment nickel, is only above the conductor track 102 to be contacted, carbon nanotubes grow only in this area. In the end, this exemplary embodiment of the present invention enables a targeted application of carbon nanotubes 106 to a specific area of a microelectronic circuit 107 , while all other, non-predetermined areas of the microelectronic circuit 107 remain free of the carbon nanotubes 106 . In the context of this exemplary embodiment of the present invention, it is preferred that the carbon nanotubes 106 are grown by means of a deposition process from the gas phase (CVD process).

In einem weiteren, den Schritt in Fig. 1e anschließenden Schritt ist es dann möglich, die Kohlenstoff-Nanoröhren 106 mit einem weiteren leitenden Körper in Kontakt zu bringen, um diesen weiteren leitenden Körper mit der Leiterbahn 102 über die Kohlenstoff-Nanoröhren 106, die zweite Schicht 105 aus Nickel und die erste Schicht 104 aus PdCl2 elektrisch zu kontaktieren.In a further step following the step in FIG. 1e, it is then possible to bring the carbon nanotubes 106 into contact with a further conductive body in order to connect this further conductive body to the conductor track 102 via the carbon nanotubes 106 , the second Electrically contact layer 105 made of nickel and the first layer 104 made of PdCl 2 .

Es ist anzumerken, dass für die Beschaffenheit der zweiten Schicht mit katalytisch aktivem Metall alle Metalle möglich sind, die im Stande sind, den Wachstum von Kohlenstoff- Nanoröhren zu katalysieren und die sich mittels eines elektrolosen Abscheideverfahrens abscheiden lassen. It should be noted that the nature of the second Layer with catalytically active metal all metals possible who are able to grow carbon To catalyze nanotubes and which can be achieved by means of a have the electroless separation process deposited.  

BezugszeichenlisteLIST OF REFERENCE NUMBERS

100100

Substrat
substratum

101101

Dielektrikumschicht
dielectric

102102

Leiterbahn aus Metall Metal trace

11

(zu kontaktierende Unterlage eines mikroelektronischen Schaltkreises)
(pad of a microelectronic circuit to be contacted)

103103

Oxid des Metalls Oxide of metal

11

104104

Primerschicht
primer layer

105105

katalytisches Metall catalytic metal

22

106106

Kohlenstoff-Nanoröhren, gewachsen auf dem katalytischen Metall Carbon nanotubes grown on the catalytic metal

22

107107

Ausschnitt eines mikroelektronischen Schaltkreises
Section of a microelectronic circuit

Claims (13)

1. Verfahren zum Wachsen von Kohlenstoff-Nanoröhren oberhalb einer elektrisch zu kontaktierenden Unterlage
bei dem zumindest ein für den Wachstum von Kohlenstoff- Nanoröhren katalytisch aktives Metall oberhalb der elektrisch zu kontaktierenden Unterlage mittels eines elektrolosen Abscheideverfahrens aufgebracht wird, und
bei dem Kohlenstoff-Nanoröhren auf dem katalytisch aktiven Metall gewachsen werden.
1. Process for growing carbon nanotubes above an electrically contactable substrate
in which at least one metal which is catalytically active for the growth of carbon nanotubes is applied above the substrate to be contacted electrically by means of an electroless deposition process, and
in which carbon nanotubes are grown on the catalytically active metal.
2. Verfahren gemäß Anspruch 1,
bei dem eine erste Schicht direkt auf die elektrisch zu kontaktierende Unterlage abgeschieden wird und
bei dem eine zweite Schicht mit dem katalytisch aktiven Metall direkt auf die erste Schicht abgeschieden wird.
2. The method according to claim 1,
in which a first layer is deposited directly onto the substrate to be contacted electrically and
in which a second layer with the catalytically active metal is deposited directly onto the first layer.
3. Verfahren gemäß Anspruch 2, bei dem die erste Schicht Metallatome aufweist.3. The method according to claim 2, in which the first layer has metal atoms. 4. Verfahren gemäß einem der Ansprüche 1 bis 3, bei dem das Wachsen der Kohlenstoff-Nanoröhren mittels eines Abscheideverfahrens aus der Gasphase erfolgt.4. The method according to any one of claims 1 to 3, in which the growth of the carbon nanotubes by means of a Separation process from the gas phase takes place. 5. Verfahren gemäß einem der Ansprüche 1 bis 4, bei dem die elektrisch zu kontaktierende Unterlage eine Leiterbahn eines mikroelektronischen Schaltkreises ist.5. The method according to any one of claims 1 to 4, in which the pad to be contacted electrically The trace of a microelectronic circuit is. 6. Verfahren gemäß Anspruch 5, bei dem die Leiterbahn Kupfer oder Aluminium aufweist.6. The method according to claim 5, in which the conductor track has copper or aluminum. 7. Verfahren gemäß einem der Ansprüche 2 bis 6, bei dem vor dem Aufbringen der ersten Schicht direkt auf die elektrisch zu kontaktierende Unterlage eventuell vorhandenes Metalloxid auf der Oberfläche der elektrisch zu kontaktierenden Unterlage entfernt wird.7. The method according to any one of claims 2 to 6,  where before applying the first layer directly on the any pad to be contacted electrically Metal oxide on the surface of the electrical too contacting pad is removed. 8. Verfahren gemäß Anspruch 7, bei dem das Entfernen des Metalloxids durch Behandeln der Oberfläche der elektrisch zu kontaktierenden Unterlage mit Wasserstoffplasma oder mit Säure erfolgt.8. The method according to claim 7, removing the metal oxide by treating the Surface of the pad to be contacted electrically Hydrogen plasma or acid. 9. Verfahren gemäß einem der Ansprüche 2 bis 8, bei dem die erste Schicht aus PdCl2 besteht.9. The method according to any one of claims 2 to 8, wherein the first layer consists of PdCl 2 . 10. Verfahren gemäß Anspruch 9, bei dem die erste Schicht PdCl2 aufgebracht wird, indem
eine wässrige Lösung mit
etwa 0,25 g/l bis etwa 12,5 g/l PdCl2,
etwa 0,25 bis etwa 12,5 vol.% 36% HCl und
etwa 0-20 vol.% Glyzerin/EtOH
in Kontakt mit der elektrisch zu kontaktierenden Unterlage gebracht wird und
anschließend mit 10 vol.% HCl gespült wird.
10. The method according to claim 9, wherein the first layer of PdCl 2 is applied by
an aqueous solution with
about 0.25 g / l to about 12.5 g / l PdCl 2 ,
about 0.25 to about 12.5 vol.% 36% HCl and
about 0-20 vol% glycerin / EtOH
is brought into contact with the pad to be contacted electrically and
then rinsed with 10 vol.% HCl.
11. Verfahren gemäß einem der Ansprüche 2 oder 10, bei dem die zweite Metallschicht aus Nickel besteht.11. The method according to any one of claims 2 or 10, where the second metal layer is made of nickel. 12. Verfahren gemäß Anspruch 11,
bei dem die zweite Metallschicht aus Nickel aufgebracht wird, indem
eine wäßrige Lösung mit
etwa 45 g/l NiCl2,
etwa 11 g/l NaOCl,
etwa 100 g/l Natriumzitrat und
etwa 50 g/l Ammoniumchlorid
in Kontakt mit der ersten Schicht gebracht wird und
anschließend mit H2O gespült wird.
12. The method according to claim 11,
in which the second metal layer made of nickel is applied by
with an aqueous solution
about 45 g / l NiCl 2 ,
about 11 g / l NaOCl,
about 100 g / l sodium citrate and
about 50 g / l ammonium chloride
is brought into contact with the first layer and
is then rinsed with H 2 O.
13. Bauelement, das Kohlenstoff-Nanoröhren aufweist, die gemäß einem Verfahren gemäß einem der Ansprüche 1 bis 12 gewachsen wurden.13. Component that has carbon nanotubes that according to a method according to any one of claims 1 to 12 were grown.
DE10103340A 2001-01-25 2001-01-25 Process for growing carbon nanotubes above an electrically contactable substrate and component Ceased DE10103340A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE10103340A DE10103340A1 (en) 2001-01-25 2001-01-25 Process for growing carbon nanotubes above an electrically contactable substrate and component
PCT/DE2002/000194 WO2002059392A1 (en) 2001-01-25 2002-01-22 Method for growing carbon nanotubes above a base that is to be electrically contacted and a component

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE10103340A DE10103340A1 (en) 2001-01-25 2001-01-25 Process for growing carbon nanotubes above an electrically contactable substrate and component

Publications (1)

Publication Number Publication Date
DE10103340A1 true DE10103340A1 (en) 2002-08-22

Family

ID=7671730

Family Applications (1)

Application Number Title Priority Date Filing Date
DE10103340A Ceased DE10103340A1 (en) 2001-01-25 2001-01-25 Process for growing carbon nanotubes above an electrically contactable substrate and component

Country Status (2)

Country Link
DE (1) DE10103340A1 (en)
WO (1) WO2002059392A1 (en)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10248644A1 (en) * 2002-10-18 2004-05-06 Semikron Elektronik Gmbh The power semiconductor module
DE10307815B3 (en) * 2003-02-24 2004-11-11 Infineon Technologies Ag Integrated electronic component with specifically created nanotubes in vertical structures and its manufacturing process
DE10331528A1 (en) * 2003-07-11 2005-02-03 Infineon Technologies Ag DRAM semiconductor memory cell and method for its production
DE10351230B3 (en) * 2003-11-03 2005-03-10 Infineon Technologies Ag Selective and surface deposition of catalyst on strip conductor in integrated circuit comprises preparing acidic or alkaline aqueous solution of catalyst, applying on conductor, and removing excess solution
WO2005031860A2 (en) * 2003-07-07 2005-04-07 Gelcore Llc Electronic devices and methods for making same using nanotube regions to assist in thermal heat-sinking
DE102007039904A1 (en) 2007-08-23 2008-08-28 Siemens Ag Heat-conductive material layer manufacturing method, involves inserting fibers in field area and transporting towards carrier layer, where fibers have large heat-conductivity toward fiber longitudinal direction than other direction
DE102007039905A1 (en) 2007-08-23 2008-08-28 Siemens Ag Heat conducting material layer production method for use in solar technology, involves elongation of multiple nodular fibers in longitudinal direction having heat conductivity more than in another direction
EP2405480A2 (en) 2010-07-08 2012-01-11 Converteam GmbH Cooling element with an electric component
DE102020216315A1 (en) 2020-12-18 2022-06-23 Zf Friedrichshafen Ag Power module for operating an electric vehicle drive with optimized cooling and contacting

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004033370A1 (en) * 2002-10-11 2004-04-22 Massachusetts Institute Of Technology Nanopellets and method of making nanopellets
JP4272875B2 (en) * 2002-11-28 2009-06-03 シナノケンシ株式会社 Electrical contact member
DE10345393B4 (en) * 2003-09-30 2007-07-19 Infineon Technologies Ag A method of depositing a conductive material on a substrate and semiconductor contact device
FR2872826B1 (en) * 2004-07-07 2006-09-15 Commissariat Energie Atomique LOW-TEMPERATURE GROWTH OF CARBON NANOTUBES ORIENTED
KR100978571B1 (en) * 2008-10-27 2010-08-27 삼성엘이디 주식회사 LED package
US9494615B2 (en) 2008-11-24 2016-11-15 Massachusetts Institute Of Technology Method of making and assembling capsulated nanostructures
US20120231290A1 (en) * 2009-07-03 2012-09-13 National Tsing Hua University Metal nanostructure and preparation thereof
CN105226006B (en) * 2014-06-12 2019-01-22 中芯国际集成电路制造(上海)有限公司 The forming method of interconnection structure

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5726524A (en) * 1996-05-31 1998-03-10 Minnesota Mining And Manufacturing Company Field emission device having nanostructured emitters
US5973444A (en) * 1995-12-20 1999-10-26 Advanced Technology Materials, Inc. Carbon fiber-based field emission devices
US6062931A (en) * 1999-09-01 2000-05-16 Industrial Technology Research Institute Carbon nanotube emitter with triode structure
EP1061544A1 (en) * 1999-06-15 2000-12-20 Iljin Nanotech Co., Ltd. Field emitter having carbon nanotube film, method of fabricating the same, and field emission display device using the field emitter.
EP1061041A1 (en) * 1999-06-18 2000-12-20 Iljin Nanotech Co., Ltd. Low-temperature thermal chemical vapor deposition apparatus and method of synthesizing carbon nanotube using the same

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6129901A (en) * 1997-11-18 2000-10-10 Martin Moskovits Controlled synthesis and metal-filling of aligned carbon nanotubes
US6146227A (en) * 1998-09-28 2000-11-14 Xidex Corporation Method for manufacturing carbon nanotubes as functional elements of MEMS devices

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5973444A (en) * 1995-12-20 1999-10-26 Advanced Technology Materials, Inc. Carbon fiber-based field emission devices
US5726524A (en) * 1996-05-31 1998-03-10 Minnesota Mining And Manufacturing Company Field emission device having nanostructured emitters
EP1061544A1 (en) * 1999-06-15 2000-12-20 Iljin Nanotech Co., Ltd. Field emitter having carbon nanotube film, method of fabricating the same, and field emission display device using the field emitter.
EP1061041A1 (en) * 1999-06-18 2000-12-20 Iljin Nanotech Co., Ltd. Low-temperature thermal chemical vapor deposition apparatus and method of synthesizing carbon nanotube using the same
US6062931A (en) * 1999-09-01 2000-05-16 Industrial Technology Research Institute Carbon nanotube emitter with triode structure

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6831359B2 (en) 2002-10-18 2004-12-14 Semikron Elektronik Gmbh Power semiconductor module
DE10248644A1 (en) * 2002-10-18 2004-05-06 Semikron Elektronik Gmbh The power semiconductor module
DE10248644B4 (en) * 2002-10-18 2008-07-03 Semikron Elektronik Gmbh & Co. Kg The power semiconductor module
DE10307815B3 (en) * 2003-02-24 2004-11-11 Infineon Technologies Ag Integrated electronic component with specifically created nanotubes in vertical structures and its manufacturing process
WO2005031860A3 (en) * 2003-07-07 2005-12-01 Gelcore Llc Electronic devices and methods for making same using nanotube regions to assist in thermal heat-sinking
WO2005031860A2 (en) * 2003-07-07 2005-04-07 Gelcore Llc Electronic devices and methods for making same using nanotube regions to assist in thermal heat-sinking
DE10331528A1 (en) * 2003-07-11 2005-02-03 Infineon Technologies Ag DRAM semiconductor memory cell and method for its production
DE10351230B3 (en) * 2003-11-03 2005-03-10 Infineon Technologies Ag Selective and surface deposition of catalyst on strip conductor in integrated circuit comprises preparing acidic or alkaline aqueous solution of catalyst, applying on conductor, and removing excess solution
DE102007039904A1 (en) 2007-08-23 2008-08-28 Siemens Ag Heat-conductive material layer manufacturing method, involves inserting fibers in field area and transporting towards carrier layer, where fibers have large heat-conductivity toward fiber longitudinal direction than other direction
DE102007039905A1 (en) 2007-08-23 2008-08-28 Siemens Ag Heat conducting material layer production method for use in solar technology, involves elongation of multiple nodular fibers in longitudinal direction having heat conductivity more than in another direction
EP2405480A2 (en) 2010-07-08 2012-01-11 Converteam GmbH Cooling element with an electric component
DE102010026529A1 (en) 2010-07-08 2012-01-26 Converteam Gmbh Heat sink with an electrical component
DE102020216315A1 (en) 2020-12-18 2022-06-23 Zf Friedrichshafen Ag Power module for operating an electric vehicle drive with optimized cooling and contacting

Also Published As

Publication number Publication date
WO2002059392A1 (en) 2002-08-01

Similar Documents

Publication Publication Date Title
DE10103340A1 (en) Process for growing carbon nanotubes above an electrically contactable substrate and component
DE2729030C2 (en) Method for producing a multilayer conductor pattern for monolithically integrated semiconductor circuits
DE10006964C2 (en) Electronic component with a conductive connection between two conductive layers and method for producing an electronic component
DE112006001397B4 (en) Carbon nanotube wiring contacts and methods of making same
DE2926614A1 (en) METHOD FOR PRODUCING A FINE DISTRIBUTED ALLOY FROM PRECIOUS METAL AND VANADIUM, CATALYST MADE THEREOF AND THE CATODE MADE THEREOF
DE2036139A1 (en) Thin-film metallization process for microcircuits
WO2009006988A1 (en) Contact structure for a semiconductor component and a method for production thereof
DE69936281T2 (en) Process for improving the electrical conductivity of metals, metal alloys and metal oxides
DE2033532B2 (en) Semiconductor arrangement with a passivation layer made of silicon dioxide
DE2132034A1 (en) Process for the production of interconnections for electrical assemblies on solid bodies
DE10252607B4 (en) Method for producing a nanoelement arrangement and nanoelement arrangement
EP1597760B1 (en) Integrated electronic component having specifically produced nanotubes in vertical structures
EP0177845A1 (en) Integrated circuit with multilayer wiring and method for manufacturing it
WO2010028885A1 (en) Electronic component and method for the production thereof
DE2613759C3 (en) Method for producing a multilayer metal connection contact for a semiconductor component
EP1502299B1 (en) Contacting of nanotubes
EP1482073A2 (en) Process for fabrication of ultra-thin homogeneous metal layers
EP2128899A1 (en) Method for electrodepositing a metal, especially copper, use of said method and integrated circuit
EP1323183A1 (en) Method for producing a microelectronic circuit and a microelectronic circuit
DE102021105129A1 (en) Coating of nanowires
DE2104804A1 (en) Process for etching a passivable metal
DE102004012855B4 (en) Manufacturing method for a trench capacitor with insulation collar
DE2128360A1 (en) Process for the formation of ohmic contacts on metal insulator semiconductor components
DE102022203941A1 (en) Bipolar element, in particular bipolar plate, and manufacturing process
DE10255427B4 (en) Method for producing an antifuse in a substrate and antifuse structure for integration in a substrate

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8131 Rejection