CN203859727U - 检测微弱信号的装置 - Google Patents

检测微弱信号的装置 Download PDF

Info

Publication number
CN203859727U
CN203859727U CN201320762787.6U CN201320762787U CN203859727U CN 203859727 U CN203859727 U CN 203859727U CN 201320762787 U CN201320762787 U CN 201320762787U CN 203859727 U CN203859727 U CN 203859727U
Authority
CN
China
Prior art keywords
resistance
operational amplifier
capacitor
phase
output
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN201320762787.6U
Other languages
English (en)
Inventor
杨奕
李山
周川云
杨川
孙林
王凯立
王正旭
夏宇
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Chongqing University of Technology
Original Assignee
Chongqing University of Technology
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Chongqing University of Technology filed Critical Chongqing University of Technology
Priority to CN201320762787.6U priority Critical patent/CN203859727U/zh
Application granted granted Critical
Publication of CN203859727U publication Critical patent/CN203859727U/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Amplifiers (AREA)

Abstract

本实用新型公开了一种检测微弱信号的装置,该装置由差分放大电路、带通滤波电路、波形整形电路、移相电路、模拟乘法器、低通滤波电路、AD转换器和显示器组成;差分放大电路包括第一运算放大器、第二运算放大器、第三运算放大器和电阻;带通滤波电路包括第四运算放大器、第五运算放大器、电阻和电容;波形整形电路包括第六运算放大器、电阻和电容;移相电路包括第七运算放大器、第八运算放大器、电阻和电容;低通滤波电路包括第九运算放大器、电阻和电容,第九运算放大器的输出端与AD转换器连接,AD转换器与显示器连接。该装置将微弱的小信号提取出并检测其幅值,整个装置功耗低、检测精度高、成本低;电路结构简单,利于在便携中使用。

Description

检测微弱信号的装置
技术领域
本实用新型涉及一种信号检测技术,尤其涉及一种检测微弱信号的装置。 
背景技术
在现代一些常见的自然现象以及一些具有规律变化的科学研究和工程实践中,常常会遇到需要检测非常小的毫微伏量级信号的问题。因此,在这个基础上就有了微弱信号检测这一门新兴的分支科学技术,同时在各个领域中也得到广泛的应用,它利用电子学、信息论和物理学等常用的方法,分析噪声产生的原因和规律,研究被测微弱信号的特征和相关性,检测出并恢复被噪声掩盖的微弱信号。 
微弱信号检测是测量技术中的一门新兴的综合技术,它发展很迅速也非常受重视,就是因为它能够检测到传统观念认为检测不到的微弱量。 
对于强噪声背景下的微弱特征信号检测一直是各个工程应用领域的一个难题,现在弱信号检测最主要研究的方法有基于线性理论的时域、频域、时频域、以及基于非线性理论的微弱信号检测方法。并且,最近几年,随着信号检测与处理中引入基于非线性动力学***的数学理论,如混沌理论、随机共振原理等,在微弱信号检测研究领域中,利用非线性动力学***特有的性质来检测微弱信号的方法逐渐显现出优势。因此,随着信号检测与处理中非线性理论和方法的广泛应用,非线性的分析方法也会是未来微弱信号检测研究的主要方向之一。 
因为微弱信号、噪声的种类较多,如微弱周期信号和非周期信号、微弱脉冲信号、冲击信号,噪声有如高斯噪声、限带噪声、窄带噪声等,不同的信号和噪声的混合造成所检测的信号较为复杂。在实际检测中,结合多种检测方法检测微弱信号已经逐渐成为一种趋势。 
目前现有的微信号检测方法有多种,但各种方法各有优缺点,各自有各自应用领域。时域检测法中主要分析相关检测、取样积分与数字式平均;频域检测法中分析最为常用的频谱分析法;时频分析法中主要分析应用范围最广的短时Fourier 和小波变换;基于非线性理论的检测法中重点分析随机共振。在实际检测中,结合多种检测方法检测微弱信号已经逐渐成为一种趋势。 
检测微弱信号不仅需要在强噪声中检测出目标信号,而且某些检测信号频率较高,还要求检测***必须有足够快的检测速度。目前众多检测方法中,仍存在许多局限性。 
实用新型内容
为了克服微弱信号检测难度大,精确度低,本实用新型提供了一种实现强噪声环境下微弱信号的准确、快速、方便的检测微弱信号的装置。 
为了解决上述技术问题,本实用新型采用了如下技术方案: 
检测微弱信号的装置,该装置由差分放大电路、带通滤波电路、波形整形电路、移相电路、模拟乘法器、低通滤波电路、AD转换器和显示器组成;
所述差分放大电路包括第一运算放大器、第二运算放大器、第三运算放大器、电阻R2、电阻R4、电阻R5、电阻R7、可调电阻R12、电阻R13、电阻R15、电阻R18和电阻R19;所述第一运算放大器的同相端与电阻R2的一端连接,所述第一运算放大器的反相端与电阻R7的一端连接,所述可调电阻R12的一端也与电阻R7的一端连接,电阻R7的另一端与第一运算放大器的输出端连接;所述可调电阻R12的另一端与第二运算放大器的反相端连接,所述第二运算放大器的反相端通过电阻R13与第二运算放大器的输出端连接,所述第二运算放大器的同相端通过电阻R18接地,所述第二运算放大器的输出端与电阻R15的一端连接,所述电阻R15的另一端与第三运算放大器的同相端连接,所述电阻R15的另一端还与电阻R19的一端连接,所述电阻R19的另一端接地,所述第一运算放大器的输出端通过电阻R4与第三运算放大器的反相端连接,所述第三运算放大器的反相端通过电阻R5与第三运算放大器的输出端连接;
所述带通滤波电路包括第四运算放大器、第五运算放大器、电阻R6、电阻R3、电阻R10、电阻R11、电阻R14、电阻R21、电阻R22、电阻R23、电容C4、电容C5、电容C16和电容C17;所述第四运算放大器的同相端与电阻R3的一端连接,电阻R3的另一端与电阻R6的一端连接,电阻R6的另一端与第三运算放大器的输出端连接,所述电阻R3的一端与电容C5的一端连接,电容C5的另一端接地,所述第四运算放大器的反相端通过电阻R10接地,所述第四运算放大器的反相端还通过电阻R11与电容C16的一端连接,所述电容C16的另一端与电容C17的一端连接,电容C17的另一端与第五运算放大器的同相端连接,所述第五运算放大器的同相端通过电阻R21接地,所述第五运算放大器的反相端通过电阻R22接地,第五运算放大器的反相端通过电阻R23与第五运算放大器的输出端连接,所述第五运算放大器的输出端通过电阻R14与电容C16的另一端连接;
所述波形整形电路包括第六运算放大器、电阻R24、电阻R25和电容C21,所述第六运算放大器的同相端与电阻R25的一端连接,电阻R25的另一端与第五运算放大器的输出端连接,所述第六运算放大器的反相端接地,所述第六运算放大器的输出端通过电阻R24与电容C21的一端连接,所述电容C21的另一端接地;所述第六运算放大器的输出端与模拟乘法器的输入端连接;
所述移相电路包括第七运算放大器、第八运算放大器、电阻R1、可调电阻R8、电阻R9、可调电阻R16、电阻R17、电阻R20、电容C6和电容C15;所述第七运算放大器同相端与电容C6的一端连接,所述电容C6的另一端与第五运算放大器的输出端连接,所述第七运算放大器同相端还通过电阻R1接地,所述第七运算放大器的反相端与可调电阻R8的一端连接,所述可调电阻R8的另一端与第五运算放大器的输出端连接,第七运算放大器的反相端还与电阻R9的一端连接,电阻R9的另一端与第七运算放大器的输出端连接;所述电阻R9的另一端与可调电阻R16的一端连接,电阻R9的另一端还与电阻R17的一端连接,所述可调电阻R16的另一端与第八运算放大器的同相端连接,所述电阻R17的另一端与第八运算放大器的同相端连接,所述第八运算放大器的同相端还通过电容C15接地,所述第八运算放大器的反相端通过电阻R20与第八运算放大器的输出端连接;所述第八运算放大器的输出端与模拟乘法器的输入端连接;
所述低通滤波电路包括第九运算放大器、电阻R27、电阻R28、电阻R29、电阻R30、电容C23和电容C25,所述第九运算放大器的同相端与电阻R28的一端连接,电阻R28的另一端与电阻R27的一端连接,所述电阻R27的另一端与模拟乘法器的输出端连接,所述第九运算放大器的同相端通过电容C25接地,所述第九运算放大器的反相端通过电阻R29接地,所述第九运算放大器的反相端通过电阻R30与第九运算放大器的输出端连接,所述第九运算放大器的输出端通过电容C23与电阻R28的另一端连接;所述第九运算放大器的输出端与AD转换器连接,所述AD转换器与显示器连接。
与现有技术相比,本实用新型具有如下优点: 
1、检测微弱信号的装置将微弱的小信号提取出并检测其幅值,整个装置功耗低、检测精度高、成本低;电路结构简单,利于在便携中使用。
2、该检测微弱信号的装置依据锁相环检测原理,能够准确、快速、方便的检测微弱信号。 
3、该检测微弱信号的装置采用锁相环检测原理,对微弱信号进行检测提取,计算检测信号有效值,并通过单片机处理后显示出来。 
附图说明
图1为差分放大电路图; 
图2为带通滤波电路图;
图3为波形整形电路图;
图4为移相电路图;
图5为模拟乘法器的电路图;
图6为低通滤波电路图。
具体实施方式
下面结合附图和具体实施方式对本实用新型作进一步说明。 
检测微弱信号的装置,该装置由差分放大电路、带通滤波电路、波形整形电路、移相电路、模拟乘法器、低通滤波电路、AD转换器和显示器组成。 
其中,差分放大电路如图1所示,差分放大电路包括第一运算放大器、第二运算放大器、第三运算放大器、电阻R2、电阻R4、电阻R5、电阻R7、可调电阻R12、电阻R13、电阻R15、电阻R18和电阻R19。第一运算放大器的同相端与电阻R2的一端连接,第一运算放大器的反相端与电阻R7的一端连接,可调电阻R12的一端也与电阻R7的一端连接,电阻R7的另一端与第一运算放大器的输出端连接。可调电阻R12的另一端与第二运算放大器的反相端连接,第二运算放大器的反相端通过电阻R13与第二运算放大器的输出端连接,第二运算放大器的同相端通过电阻R18接地,第二运算放大器的输出端与电阻R15的一端连接,电阻R15的另一端与第三运算放大器的同相端连接,电阻R15的另一端还与电阻R19的一端连接,电阻R19的另一端接地,第一运算放大器的输出端通过电阻R4与第三运算放大器的反相端连接,第三运算放大器的反相端通过电阻R5与第三运算放大器的输出端连接。 
带通滤波电路如图2所示,带通滤波电路包括第四运算放大器、第五运算放大器、电阻R6、电阻R3、电阻R10、电阻R11、电阻R14、电阻R21、电阻R22、电阻R23、电容C4、电容C5、电容C16和电容C17。第四运算放大器的同相端与电阻R3的一端连接,电阻R3的另一端与电阻R6的一端连接,电阻R6的另一端与第三运算放大器的输出端连接,电阻R3的一端与电容C5的一端连接,电容C5的另一端接地,第四运算放大器的反相端通过电阻R10接地,第四运算放大器的反相端还通过电阻R11与电容C16的一端连接,电容C16的另一端与电容C17的一端连接,电容C17的另一端与第五运算放大器的同相端连接,第五运算放大器的同相端通过电阻R21接地,第五运算放大器的反相端通过电阻R22接地,第五运算放大器的反相端通过电阻R23与第五运算放大器的输出端连接,第五运算放大器的输出端通过电阻R14与电容C16的另一端连接。 
波形整形电路如图3所示,波形整形电路包括第六运算放大器、电阻R24、电阻R25和电容C21。第六运算放大器的同相端与电阻R25的一端连接,电阻R25的另一端与第五运算放大器的输出端连接,第六运算放大器的反相端接地,第六运算放大器的输出端通过电阻R24与电容C21的一端连接,电容C21的另一端接地;第六运算放大器的输出端与模拟乘法器的输入端连接,模拟乘法器的电路如图5所示。 
移相电路如图4所示,移相电路包括第七运算放大器、第八运算放大器、电阻R1、可调电阻R8、电阻R9、可调电阻R16、电阻R17、电阻R20、电容C6和电容C15。第七运算放大器同相端与电容C6的一端连接,电容C6的另一端与第五运算放大器的输出端连接,第七运算放大器同相端还通过电阻R1接地,第七运算放大器的反相端与可调电阻R8的一端连接,可调电阻R8的另一端与第五运算放大器的输出端连接,第七运算放大器的反相端还与电阻R9的一端连接,电阻R9的另一端与第七运算放大器的输出端连接。电阻R9的另一端与可调电阻R16的一端连接,电阻R9的另一端还与电阻R17的一端连接,可调电阻R16的另一端与第八运算放大器的同相端连接,电阻R17的另一端与第八运算放大器的同相端连接,第八运算放大器的同相端还通过电容C15接地,第八运算放大器的反相端通过电阻R20与第八运算放大器的输出端连接。第八运算放大器的输出端与模拟乘法器的输入端连接。 
低通滤波电路如图6所示,低通滤波电路包括第九运算放大器、电阻R27、电阻R28、电阻R29、电阻R30、电容C23和电容C25。第九运算放大器的同相端与电阻R28的一端连接,电阻R28的另一端与电阻R27的一端连接,电阻R27的另一端与模拟乘法器的输出端连接,第九运算放大器的同相端通过电容C25接地,第九运算放大器的反相端通过电阻R29接地,第九运算放大器的反相端通过电阻R30与第九运算放大器的输出端连接,第九运算放大器的输出端通过电容C23与电阻R28的另一端连接。第九运算放大器的输出端与AD转换器连接,AD转换器与显示器连接。 
待检测信号通过电阻R2输入第一运算放大器,待检测信号经过差分放大电路,对信号进行初步放大处理,抑制共模信号,放大差模信号。放大后的信号进入带通滤波电路,带通滤波电路的通带为检测信号的频率范围,滤除绝大部分通带外的噪声,获得滤波信号;滤波信号经过整形处理和移相电路处理,分别得到频率、相位一致的方波(乘法信号)和正弦波(被乘信号);将它们作为检波信号输入由模拟乘法器构成的锁相环放大电路处理,即得到锁相放大信号;使用低通滤波电路对该信号进行处理获得该信号的有效值;并通过AD转换,将该有效值转换为数字信号;单片机通过对该数字信号进行处理,就得到了原始信号的幅值,并通过显示器显示出来。 
最后说明的是,以上实施例仅用以说明本实用新型的技术方案而非限制,尽管参照较佳实施例对本实用新型进行了详细说明,本领域的普通技术人员应当理解,可以对本实用新型的技术方案进行修改或者等同替换,而不脱离本实用新型技术方案的宗旨和范围,其均应涵盖在本实用新型的权利要求范围当中。 

Claims (1)

1.检测微弱信号的装置,其特征在于:该装置由差分放大电路、带通滤波电路、波形整形电路、移相电路、模拟乘法器、低通滤波电路、AD转换器和显示器组成;
所述差分放大电路包括第一运算放大器、第二运算放大器、第三运算放大器、电阻R2、电阻R4、电阻R5、电阻R7、可调电阻R12、电阻R13、电阻R15、电阻R18和电阻R19;所述第一运算放大器的同相端与电阻R2的一端连接,所述第一运算放大器的反相端与电阻R7的一端连接,所述可调电阻R12的一端也与电阻R7的一端连接,电阻R7的另一端与第一运算放大器的输出端连接;所述可调电阻R12的另一端与第二运算放大器的反相端连接,所述第二运算放大器的反相端通过电阻R13与第二运算放大器的输出端连接,所述第二运算放大器的同相端通过电阻R18接地,所述第二运算放大器的输出端与电阻R15的一端连接,所述电阻R15的另一端与第三运算放大器的同相端连接,所述电阻R15的另一端还与电阻R19的一端连接,所述电阻R19的另一端接地,所述第一运算放大器的输出端通过电阻R4与第三运算放大器的反相端连接,所述第三运算放大器的反相端通过电阻R5与第三运算放大器的输出端连接;
所述带通滤波电路包括第四运算放大器、第五运算放大器、电阻R6、电阻R3、电阻R10、电阻R11、电阻R14、电阻R21、电阻R22、电阻R23、电容C4、电容C5、电容C16和电容C17;所述第四运算放大器的同相端与电阻R3的一端连接,电阻R3的另一端与电阻R6的一端连接,电阻R6的另一端与第三运算放大器的输出端连接,所述电阻R3的一端与电容C5的一端连接,电容C5的另一端接地,所述第四运算放大器的反相端通过电阻R10接地,所述第四运算放大器的反相端还通过电阻R11与电容C16的一端连接,所述电容C16的另一端与电容C17的一端连接,电容C17的另一端与第五运算放大器的同相端连接,所述第五运算放大器的同相端通过电阻R21接地,所述第五运算放大器的反相端通过电阻R22接地,第五运算放大器的反相端通过电阻R23与第五运算放大器的输出端连接,所述第五运算放大器的输出端通过电阻R14与电容C16的另一端连接;
所述波形整形电路包括第六运算放大器、电阻R24、电阻R25和电容C21,所述第六运算放大器的同相端与电阻R25的一端连接,电阻R25的另一端与第五运算放大器的输出端连接,所述第六运算放大器的反相端接地,所述第六运算放大器的输出端通过电阻R24与电容C21的一端连接,所述电容C21的另一端接地;所述第六运算放大器的输出端与模拟乘法器的输入端连接;
所述移相电路包括第七运算放大器、第八运算放大器、电阻R1、可调电阻R8、电阻R9、可调电阻R16、电阻R17、电阻R20、电容C6和电容C15;所述第七运算放大器同相端与电容C6的一端连接,所述电容C6的另一端与第五运算放大器的输出端连接,所述第七运算放大器同相端还通过电阻R1接地,所述第七运算放大器的反相端与可调电阻R8的一端连接,所述可调电阻R8的另一端与第五运算放大器的输出端连接,第七运算放大器的反相端还与电阻R9的一端连接,电阻R9的另一端与第七运算放大器的输出端连接;所述电阻R9的另一端与可调电阻R16的一端连接,电阻R9的另一端还与电阻R17的一端连接,所述可调电阻R16的另一端与第八运算放大器的同相端连接,所述电阻R17的另一端与第八运算放大器的同相端连接,所述第八运算放大器的同相端还通过电容C15接地,所述第八运算放大器的反相端通过电阻R20与第八运算放大器的输出端连接;所述第八运算放大器的输出端与模拟乘法器的输入端连接;
所述低通滤波电路包括第九运算放大器、电阻R27、电阻R28、电阻R29、电阻R30、电容C23和电容C25,所述第九运算放大器的同相端与电阻R28的一端连接,电阻R28的另一端与电阻R27的一端连接,所述电阻R27的另一端与模拟乘法器的输出端连接,所述第九运算放大器的同相端通过电容C25接地,所述第九运算放大器的反相端通过电阻R29接地,所述第九运算放大器的反相端通过电阻R30与第九运算放大器的输出端连接,所述第九运算放大器的输出端通过电容C23与电阻R28的另一端连接;所述第九运算放大器的输出端与AD转换器连接,所述AD转换器与显示器连接。
CN201320762787.6U 2013-11-28 2013-11-28 检测微弱信号的装置 Expired - Fee Related CN203859727U (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201320762787.6U CN203859727U (zh) 2013-11-28 2013-11-28 检测微弱信号的装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201320762787.6U CN203859727U (zh) 2013-11-28 2013-11-28 检测微弱信号的装置

Publications (1)

Publication Number Publication Date
CN203859727U true CN203859727U (zh) 2014-10-01

Family

ID=51609572

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201320762787.6U Expired - Fee Related CN203859727U (zh) 2013-11-28 2013-11-28 检测微弱信号的装置

Country Status (1)

Country Link
CN (1) CN203859727U (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105763163A (zh) * 2016-02-02 2016-07-13 合肥工业大学智能制造技术研究院 一种新型的超高频微弱信号组合放大电路
CN106840470A (zh) * 2017-01-19 2017-06-13 北京机械设备研究所 一种电桥式压力传感器输出信号采集装置及方法
CN109425366A (zh) * 2017-09-04 2019-03-05 南京理工大学 一种用于主动光学微位移传感器的模拟信号处理电路
CN112798100A (zh) * 2021-02-04 2021-05-14 中国大唐集团科学技术研究院有限公司西北电力试验研究院 一种发电机定子绕组端部振动在线监测装置及其监测方法

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105763163A (zh) * 2016-02-02 2016-07-13 合肥工业大学智能制造技术研究院 一种新型的超高频微弱信号组合放大电路
CN105763163B (zh) * 2016-02-02 2018-07-03 合肥工业大学智能制造技术研究院 一种超高频微弱信号组合放大电路
CN106840470A (zh) * 2017-01-19 2017-06-13 北京机械设备研究所 一种电桥式压力传感器输出信号采集装置及方法
CN106840470B (zh) * 2017-01-19 2019-06-07 北京机械设备研究所 一种电桥式压力传感器输出信号采集装置及方法
CN109425366A (zh) * 2017-09-04 2019-03-05 南京理工大学 一种用于主动光学微位移传感器的模拟信号处理电路
CN112798100A (zh) * 2021-02-04 2021-05-14 中国大唐集团科学技术研究院有限公司西北电力试验研究院 一种发电机定子绕组端部振动在线监测装置及其监测方法

Similar Documents

Publication Publication Date Title
CN203859727U (zh) 检测微弱信号的装置
CN102499678B (zh) 一种便携式电阻抗成像***的电阻抗测量装置及测量方法
CN103163380A (zh) 基于LabVIEW开发平台的微欧级电阻测量***
CN104035012B (zh) 一种局部放电特高频信号检测的调理电路
CN104006962A (zh) 一种齿轮故障特征提取方法及***
CN108693486A (zh) 一种基于amr传感器的微弱低频磁信号检测方法和***
CN105676008A (zh) 一种数字式电场传感器
CN104122444A (zh) 全数字中频频谱分析仪及频谱分析方法
CN106845334A (zh) 一种基于数学形态学的新型噪声提取方法
CN106353788A (zh) 模拟数字混合式脉冲幅度分析器及其分析技术
CN105067048A (zh) 一种抗振动干扰的数字化涡街流量计的测量方法
CN102749561A (zh) 基于云端服务的局部放电暂态地电波云检测的方法及装置
CN103575981A (zh) 一种交流电频率的精确测量方法
CN204272046U (zh) 一种生物微弱信号检测与处理电路
CN104485914A (zh) 一种生物微弱信号检测与处理电路
CN204633728U (zh) 微弱信号检测装置
CN204536516U (zh) 一种精密的高频弱磁场信号测量电路
CN201917612U (zh) 一种相位差测量装置
CN204334510U (zh) 一种抗混叠滤波器模拟电路
CN105629059A (zh) 一种信号检测方法
CN106817129A (zh) 一种基于c8051f020的微弱信号检测装置
CN106303879A (zh) 一种基于时域分析的检测装置及检测方法
CN203643489U (zh) 一种信号发生器
CN204269727U (zh) 一种高频、宽带宽、高精度快速频率测量电路
CN204008813U (zh) 一种磁调制式电流互感器

Legal Events

Date Code Title Description
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20141001

Termination date: 20151128