CN201607731U - Equipment used for circuit design of sequential units - Google Patents

Equipment used for circuit design of sequential units Download PDF

Info

Publication number
CN201607731U
CN201607731U CN2009201742747U CN200920174274U CN201607731U CN 201607731 U CN201607731 U CN 201607731U CN 2009201742747 U CN2009201742747 U CN 2009201742747U CN 200920174274 U CN200920174274 U CN 200920174274U CN 201607731 U CN201607731 U CN 201607731U
Authority
CN
China
Prior art keywords
preface
unit
group
layout
pressing close
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CN2009201742747U
Other languages
Chinese (zh)
Inventor
侯文亭
P-H·霍
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Synopsys Inc
Original Assignee
Synopsys Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Synopsys Inc filed Critical Synopsys Inc
Priority to CN2009201742747U priority Critical patent/CN201607731U/en
Application granted granted Critical
Publication of CN201607731U publication Critical patent/CN201607731U/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

The utility model discloses equipment which is used for the circuit design of at least one sequential unit that comprises a trigger and a flip-latch. Concretely, the equipment comprises a receiving device and a recognizing device, wherein the receiving device is configured as an initial layout of the sequential unit of the receiving circuit design net list so as to form the initial setting; the initial layout at least bases on the time sequence and routability of the sequential unit; the recognizing device bases on the initial setting, recognizes the close setting of the line and row formed in the subsequent layout and obtains the sequential unit group with improved power consumption and improved time sequence change in the initial setting, wherein the close setting of the sequential unit of the group is different from the initial setting of the sequential unit of the group.

Description

Be used for the equipment of preface to the circuit design of unit
Technical field
Present technique relates to synthetic integrated circuit and preface to unit (sequential cell), and purpose is to improve power/timing performance.More specifically, the utility model relates to and is used for comprising that at least one preface of trigger and latch is to the equipment of the circuit design of unit.
Background technology
The integrated circuit (IC) design flow process will be gone through the following stage usually: product thought, eda software, flow test manufacture (tapeout), manufacturing equipment, encapsulation/assembling and chip.The eda software stage comprises the shown step of following table:
The EDA step What has taken place
System design -function realize-suppose (what-if) planning-hardware/software framework division described
Logical design and functional verification Does the VHDL/Verilog of module in the-one-writing system-at the degree of accuracy inspection design of function, design produce correct output?
Synthetic and design at test -convert VHDL/Verilog to net table-chip to allow to have checked at object technology optimization net table-design and enforcement test
Design planning -make up the whole floor planning-analysis said process that is used for chip, at high level wiring carrying out sequential inspection
The checking of net table Check the net table so that meet sequential restriction and VHDL/Verilog
Physics realization -layout (positioning circuit element) and wiring (connecting circuit element)
Analyze and extract The circuit function of-checking transistor level is considered the hypothesis refining
Physics realization -layout (positioning circuit element) and wiring (connecting circuit element)
Physical verification (DRC, LRC, LVS) -various audit functions: make, electricity, photoetching, circuit correctness
Resolution strengthens (OPC, PSM, auxiliary) -geometric manipulations is to improve manufacturability
The mask data preparation The flow test manufacture of data is so that production is used for the chip that the mask production of photolithography use is finished
In typical circuit design process, human designer moves EDA (electric design automation) instrument, and this instrument comes circuit design is carried out layout according to computer implemented algorithm, comprises the layout of the preface of circuit design to the unit.After computer implemented layout, human designer follow manual examination (check) and identify cause bad result (for example poor sequential or difference routability) preface to cell library (bank).This artificial repetition test is slow and expensive.In addition,, and reach and exceed millions of, be more prone to make mistakes so such labour intensive is handled to become because the total number of element approaches 1,000,000 elements in the circuit design.The solution of robotization also has deficiency, because be used for the parameter that the solution of the robotization of place and route has been optimized routability for example or sequential, but does not further consider for example low-power.The solution of revising robotization causes sub-optimal result in the major requirement of for example routability or sequential to increase this type of consideration.Therefore, the design that the solution that common designer will depend on robotization produces parameters optimization (for example routability or sequential), and then manual these results that revise are although there is labour intensive in this type of processing and easily makes mistakes.
The various ad hoc approach that do not satisfy expection will further be discussed below.
Preface is carried out artificial selection and encapsulates to have the defective that discuss the front to the unit group.To be artificial preface be dull, consuming time and unlikely minimize preface to the influence of unit Cheng Ku to sequential and routability to unit Cheng Ku (banking) process major defect.
Another kind method is carried out iteration between layout and clock trees are synthetic.The preface that is driven by clock trees element (impact damper or ICG) is arranged to the Manhattan circle that the center is the clock trees element to the unit.Manhattan Cheng Yuan does not save with preface and becomes the power of storehouse as much to the unit, so the net electric capacity of Manhattan circle surpasses the preface that is used to drive equal number usually to the preface of the unit net electric capacity to cell library.
In another method, the line of minimal amount is added to clock trees reducing the time tree susceptibility to changing, and does not need to pay the whole Power penalties that use the clock grid.Yet using quick SPICE to analyze non-clock trees topology may complicated design cycle, because the great majority design need be at the quick SPICE of clock trees topology so that do not analyze clock trees.
The utility model content
The various aspects of present technique are used for the method and the computer instruction that be used to carry out this method of preface to the circuit design of unit at being used for the equipment of preface to the circuit design of unit.Preface is defined as referring to trigger and/or latch to the unit.According to an aspect of the present utility model, provide a kind of and be used for comprising that at least one preface of trigger and latch is to the equipment of the circuit design of unit, it comprises: receiving trap, to form preliminary setting, described preliminary placement is at least based on sequential and the routability of described preface to the unit to the preliminary placement of unit for its preface that is configured to the receiving circuit design netlist; And recognition device, it is configured to based on described preliminary setting, be identified in to its carry out follow-up layout with form row and column press close to be provided with after, preface in obtaining that power consumption is improved and timing variations is improved and tentatively being provided with is to the unit group, and wherein said group preface is different from described group preface to the preliminary setting of unit to the setting of pressing close to of unit.In one embodiment, this equipment further comprises: actuating unit, its be configured to carry out described group follow-up layout with form row and column press close to be provided with.In one embodiment, this equipment further comprises: actuating unit, its be configured to carry out described group follow-up layout with form row and column press close to be provided with; And dismission device, it is configured to the failure in response to the setting of connecting up, dismiss the group of pressing close to be provided with, the group of described dismission is being carried out follow-up layout is different from described row and column with formation the described preface that press close to be provided with after follow-up setting of unit, the described group of pressing close to be provided with can obtain routability and improve.In one embodiment, preface belongs to single pipeline stages to the unit group.In one embodiment, preface constitutes single register transfer language vector to the unit group.In one embodiment, preface constitutes the single register transfer language vector of at least 16 prefaces to the unit to the unit group.In one embodiment, preface provides clock to the unit group by public door controling clock signal.In one embodiment, preface provides clock to the unit group by public door controling clock signal, and wherein said preface constitutes the single register transfer language vector of no more than 128 prefaces to the unit to the unit group.In one embodiment, first ratio surpasses second ratio, described first ratio is i) described preface is ii) surrounded the area of described preface to the minimum rectangle of unit group on the total area ratio of unit group, and described second ratio is i) described circuit design net table in order on the total area ratio of unit ii) total die area of circuit design net table deduct the total area of the hard macro block of circuit design net table.According to another aspect of the present utility model, provide a kind of and be used to comprise that at least one preface of trigger and latch is to the equipment of the circuit design of unit, it comprises: receiving trap, it is configured to the sign of the preface of receiving circuit design netlist to the unit group, described group sign is based on coming from the preliminary setting of described preface to the preliminary placement of unit, and described preliminary placement is at least based on sequential and the routability of described preface to the unit; And actuating unit, its be configured to carry out described group press close to layout with form row and column press close to be provided with, described in pressing close to be provided with described group has with respect to described described group of power consumption in tentatively being provided with and improves and the timing variations improvement.In one embodiment, this equipment further comprises: dismiss device, it is configured in response to the described failure of pressing close to be provided with of wiring, dismiss the group of pressing close to be provided with, the group of described dismission is being carried out follow-up layout is different from described row and column with formation the described preface that press close to be provided with after follow-up setting of unit, the described group of pressing close to be provided with can obtain routability and improve.In one embodiment, actuating unit comprises definite device, the number that it is configured to determine the described row and column of pressing close to be provided with makes described first height to width ratio of pressing close to be provided be similar to and surrounds preface described in the described preliminary placement to second height to width ratio of the minimum rectangle of unit group.In one embodiment, actuating unit comprises definite device, and it is configured to based on the relative position of the described preface in described group in tentatively being provided with of unit, and determines that preface in described group is to the relative position of pressing close to be provided with of unit.In one embodiment, actuating unit comprises definite device, and it is configured to based on the relative horizontal coordinate position of the preface in described group in unit described tentatively is provided with, determine in described group preface to the unit press close to be provided with in relative horizontal coordinate position.In one embodiment, actuating unit comprises definite device, and it is configured to based on the relative vertical coordinate position of the preface in described group in tentatively being provided with of unit, determine in described group preface to the unit press close to be provided with in relative vertical coordinate position.In one embodiment, actuating unit comprises placement device, and it is configured to integrated clock door control unit layout in the described centre position of pressing close to be provided with.According to another aspect of the present utility model, provide a kind of and be used to comprise that at least one preface of trigger and latch is to the equipment of the circuit design of unit, it comprises: receiving trap, and its preface that is configured to the receiving circuit design netlist presses close to be provided with to the row and column of unit group; Dismiss device, it is configured in response to the described failure of pressing close to be provided with of wiring, dismiss the group of pressing close to be provided with, the group of dismissing is being carried out described follow-up layout is different from described row and column with formation the described preface of pressing close to be provided with after follow-up setting of unit, the described group of pressing close to be provided with can obtain routability and improve.In one embodiment, this equipment further comprises actuating unit, and its preface of group that is configured to carry out described dismission is to the follow-up layout of unit.In one embodiment, this equipment further comprises definite device, and it is configured to the failure in response to the setting of connecting up, and determines that the described netting index of pressing close to be provided with exceeds the wiring capacity.
An embodiment has such method step, and the preface of receiving circuit design netlist is to the preliminary placement of unit; And based on the preliminary setting of this preliminary placement, identification to its carry out obtain that power consumption is improved after the follow-up layout and the improved preface of timing variations to the unit group.Preliminary placement is at least based on sequential and the routability of preface to the unit.The preface of identification is improved and the improved chance of timing variations is the preface of identification is become row and column by the result who presses close to (proximate) setting from follow-up layout to the unit group to the power consumption of unit group.The preface of this group is different from the preliminary setting of the preface of group to the unit to the setting of pressing close to of unit.
Some embodiments further comprise the follow-up layout of execution group, to form the setting of pressing close to of row and column.Yet although there is power consumption to improve and the improved chance of timing variations, follow-up layout may be failed, wherein power consumption improve and the improved chance of timing variations derive from will identification preface to of the press close to setting of group follow-up layout in unit with the formation row and column.For example, because the setting of can not connecting up, so follow-up layout may be failed.In response to such failure, the group of identification is disbanded, in this case, because after the follow-up layout of carrying out the group of dismissing, the routability that the group of identification is improved.Follow-up layout causes the follow-up setting of the follow-up unit of the group of dismissing, this be different from row and column press close to be provided with.
In various embodiments, the preface of identification satisfies various criterions to the unit group, for example preface belongs to single pipeline stages to the unit group, preface (for example constitutes single register transfer language vector to the unit group, at least 16 prefaces to unit and/or no more than 128 prefaces to the unit), and/or preface provides clock to the unit group by public door controling clock signal.The preface of identification is that first ratio exceeds second ratio to another more formulistic criterion that the unit group satisfies.First ratio is: i) preface is ii) surrounded the area of preface to the minimum rectangle of unit group to the total area ratio of unit group.Second ratio is: i) circuit design net table in order to the total area ratio of unit ii) total die area of circuit design net table deduct the total area of the hard macro block of circuit design net table.
Another kind of embodiment has such method step: the preface of receiving circuit design netlist is to the sign of unit group; And carry out with this preface to the unit group press close to layout with form row and column press close to be provided with.The sign of this group is based on the preliminary setting that comes author's preface to the preliminary placement of unit.Preliminary placement is at least based on sequential and the routability of preface to the unit.With respect to the group in tentatively being provided with, the group in pressing close to be provided with has improved power consumption and improved timing variations.
Yet although the middle preface of identification that exists of pressing close to be provided with of row and column is improved and the improved chance of timing variations to the power consumption of unit group, this is pressed close to layout and may fail.For example, because the setting of can not connecting up may be failed so press close to layout.In response to such failure, the group of identification is disbanded, in this case, because after the follow-up layout of carrying out the group of dismissing, the group of identification obtains routability and improves.Follow-up layout causes the follow-up setting of the follow-up unit of the group of dismissing, this be different from row and column press close to be provided with.
In some embodiments, carry out layout and comprise line number and the columns pressing close to be provided with of definite preface to the unit group, in an example, line number and columns be determined to be make setting first highly to width than approach to surround preface in the preliminary placement to the minimum rectangle of unit group second highly to the width ratio.
In some embodiments, carrying out layout comprises: determine that preface is to the relative position of pressing close to be provided with of unit in the group.For example, this type of relative position in pressing close to be provided with based on preface in the group to the relative position of the preliminary setting of unit.In another example, based on preface in the group in the relative horizontal coordinate position in tentatively being provided with of unit is determined to organize preface to the unit press close to be provided with in relative horizontal coordinate position.In another example, based on preface in the group in the relative vertical coordinate position in tentatively being provided with of unit is determined to organize preface to the unit press close to be provided with in relative vertical coordinate position.Some embodiments further comprise integrated clock door control unit layout in the centre position of pressing close to be provided with, for example at centre row (or going in the middle of approaching) or middle column (or approaching middle column) or other zone lines.
Another embodiment has such method step, and the preface that comprises the receiving circuit design netlist presses close to be provided with to the row and column of unit; And dismiss the group of pressing close to be provided with.This type of dismisses the failure of pressing close to be provided with in response to row and column.For example, because the setting of can not connecting up may be failed so press close to layout, for example, determine that the netting index of pressing close to setting exceeds the wiring capacity.After the follow-up layout of carrying out the dismission group, the group of dismission obtains routability and improves, then.Follow-up layout causes the follow-up setting of the follow-up unit of dismission group, and this is different from the setting of pressing close to of row and column.
Some embodiments further comprise the follow-up layout of the preface of the group of carrying out dismission to the unit.
Other embodiment is the computer-readable medium with computer-readable instruction, is used to carry out any means described here.
Description of drawings
Fig. 1 is the simplified block diagram of the process of the design of integrated circuit and manufacturing;
Fig. 2 is the simplified flow chart of the preface of integrated circuit to the instantiation procedure of the improvement layout of the storehouse of unit or group;
Fig. 3 is the preface of integrated circuit another simplified block diagram to the instantiation procedure of the improvement layout of unit group;
Fig. 4 A, 4B and 4C are the simplified block diagram of the preface of integrated circuit to the various instantiation procedures of the improvement layout of unit group;
Fig. 5 carries out the simplified block diagram of preface to the process of the follow-up layout of unit group, and uses from the information of preliminary placement and press close to layout with execution;
Fig. 6 is the simplified block diagram of computer system of realizing the many aspects of present technique;
Fig. 7 illustrates and is used for the simplified block diagram of preface to the equipment of the circuit design of unit according to an embodiment of the present utility model;
Fig. 8 illustrates and is used for the simplified block diagram of preface to the equipment of the circuit design of unit according to another embodiment of the present utility model; And
Fig. 9 illustrates and is used for the simplified block diagram of preface to the equipment of the circuit design of unit according to another embodiment of the present utility model.
Embodiment
Treatment scheme
Fig. 1 illustrates the simplification diagrammatic sketch of illustrative Design of Digital Integrated Circuit and testing process.Utilize all flow processs here, will appreciate that the many steps among Fig. 1 can make up, carry out concurrently or carry out and can not influence the function that is realized with different orders.In some cases, only when also making other changes, resetting of step will obtain identical result; And in other situations, only when some condition also was met, resetting of step will obtain identical result.The possibility of resetting like this is tangible for the reader.
At the high level place, the process of Fig. 1 begins (step 100) with product thought and is implemented in EDA (electric design automation) software design procedure (step 110).When design was finished, manufacturing process (step 150) and encapsulation and assembling process (step 160) took place, and finally obtain the integrated circuit (IC) chip of finishing (result 170).On the tester machine, use the some or all of of predetermined test vector and the next chip that test is finished in step 180 of intended response.
Eda software design process (step 110) in fact comprises a plurality of step 112-130, illustrates in order to simplify with linear mode.In actual integrated circuit (IC) design process, concrete design is had to turn back to a plurality of steps possibly and is passed through up to some test.Similarly, in any practical design process, these steps may take place with different orders and combination.Therefore, by context and common explanation and the design cycle that is used for specific integrated circuit nonspecific or suggestion provides this instructions.
The Short Description of the composition step of eda software design process (step 110) will be provided now.
System design (step 112): the designer describes functional that they want to realize, they can carry out hypothesis planning with refining function, check cost etc.Hardware-software architecture is divided can occur in this stage.Can use in this in stage, comprise Model Architect, Saber, System Studio and Design from the example eda software product of Synopsys Product.
Logical design and functional verification (step 114):, write the VHDL of the module that is used for this system or Verilog code and check design at the accuracy on the function in this stage.More specifically, check that design is to guarantee that it produces correct output in response to specific input stimulus.Can comprise VCS, VERA, Design at the example eda software product that this step is used from Synopsys
Figure G2009201742747D00092
Magellan, Formality, ESP and LEDA product.Although some design in this stage may comprise some feature at Test Design, for example scan chain and relevant sweep compression or decompression circuit, these are not included in employed here term " logical design " and " circuit design ".
Synthetic and test is used and designed (DFT) (step 116): here, VHDL/Verilog is converted into the net table.Can optimize the net table at object technology.Additionally, the realization of test structure occurs in this step, with the chip that allows to have checked.Can use example eda software product to comprise Design from Synopsys company in this step Physical Compiler, Test Compiler, Power Compiler, FPGA Compiler, TetraMAX and Design
Figure G2009201742747D00094
Product.The current production that be used to realize test structure, has a setting of aforesaid less user's customized configuration is DFT MAX.DFT MAX is synthetic in the compression of the DFT of Synopsys MAX adaptive scanning, describes in the databook (2007), and it is incorporated in this by reference.
Net table checking (step 118): at this step place, at the consistance of sequential restriction and at checking the net table with the correspondence of VHDL/Verilog source code.Can comprise Formality, PrimeTime and VCS product at the example eda software product that this step place uses from Synopsys company.
Design planning (step 120): here, structure is used for the whole floor planning of chip and analyzes at sequential and top layer wiring.Can comprise Astro and IC Compiler product at the example eda software product that this step place uses from Synopsys company.
Physics realization (step 122): in this step generation layout (location of circuit component) and wiring (being connected of circuit component).Can comprise Astro and IC Compiler product at the example eda software product that this step place uses from Synopsys company.
Analyze and extraction (step 124): at this step place, come the proof scheme function at transistor level, this then allows hypothesis refining.Can comprise AstroRail, PrimeRail, Primetime and Star RC/XT product at the example eda software product that this step place uses from Synopsys company.
Physical verification (step 126):, carry out various audit functions to guarantee problem, the problem of photoetching and the correctness of circuit for manufacturing, electricity at this step place.Can comprise the Hercules product at the example eda software product that this step place uses from Synopsys company.
Flow test manufacture (step 127): this step is provided for producing " flow test manufacture " data of the mask that is used for the photoetching use, so that produce the chip of finishing.Can comprise CATS (R) family product at the example eda software product that this step place uses from Synopsys company.
Resolution strengthens (step 128): this step relates to the geometric manipulations of Butut so that improve the processibility of design.Can comprise Proteus, ProteusAF and PSMGen product at the example eda software product that this step place uses from Synopsys company.
Mask preparation (step 130): this step comprises writing of mask data preparation and mask itself.
Introduce
Move and wired high performance system low-power and be very big challenge and differentiation for the IC design of today to the hyposensitivity that changes for wireless.The IC power consumption can be classified into dynamically and leakage power.Clock trees is the main consumer of dynamic power, because they switch continually and propagate on chip.Clock trees also is the main consumer of leakage power, because they comprise many impact dampers to drive all wired and prefaces to unit (trigger and latch) and balanced deflection.Clock trees can consume 40% of general power that IC consumes.
Clock trees also is the main cause of IC to the susceptibility of variation.Suppose clock path to the initiation in sequential path upset (launching flop) 100ps that slowed down, and clock path overturns owing to OCV (changing on the sheet) quickens 100ps to catching of identical timing path.Then OCV will be 200ps to the influence of timing path at least, be the twice of OCV to the influence of wall scroll clock path.
Traditional clock trees synthetic method is attempted synthetic low-power and low offset clocks tree, supposes any arbitrary placement of preface to unit and ICG (integrated clock door control unit), and this is difficulty and difficult day by day.
Present technique is by coming in the synthetic mode of supporting low-power and variation being had a clock trees of littler susceptibility preface to unit and ICG layout, thereby solved the importance of clock trees to the quality (for example, low-power and low skew) of IC design.
Power-aware (power-aware) topology is carried out layout to the unit and is had the net of high switching frequency to minimize the net power switched so that shorten.Clock Netcom often has the highest switching frequency, thereby the power-aware layout is moved preface to more approach to drive them leaf-size class clock trees unit (impact damper or ICG) to the unit, and this is called preface to unit grumeleuse (clumping).The net electric capacity of about 80% clock trees is online between the unit of leaf-size class clock trees unit and preface, thereby preface can reduce in the net electric capacity of the clock trees of leaf-size class effectively and therefore saved clock trees power to the unit grumeleuse.
Robotization preface described here is to cell layout's technical support synthetic at the low power clock of low-power IC tree.In 7 industrial designs, relatively in (1) commercial underflow (commercial base flow) and (2) power-aware topology, technology on average goes up and reduces clock trees power 19.0% and 14.9% respectively, 15.3% and 5.2% of general power, and reduce WNS when on sheet, changing (± 10%) and reach 1.8% and 1.5%.
Generate and preface is carried out layout to cell library by the congestion information according to layout, sequential and design, robotization sequential and routability driven algorithm minimize the influence to design sequential and routability.More specifically, algorithm automatically (1) is discerned preface to the unit group based on the preliminary placement of design, (2) based on the preliminary placement of preface to the unit, become the rectangle preface to cell library to cell layout the preface of each group, (3) avoid forming based on time series analysis the preface that may influence the design sequential to cell library and (4) based on the congested figure according to layout avoid forming may influence routability preface to cell library.
The preface of robotization becomes storehouse algorithm and power-aware topology to be implemented on the IC Compiler of commercial physics synthetics, Synopsys of prior art to the unit.Yet various commerce and non-commercial physics synthetics can utilize this technology.A kind of being implemented in the whole physics synthesis flow that comprises the synthetic and wiring of layout, clock trees, with the physics synthesis flow of acquiescence, power-aware layout flow process and automatically preface become the storehouse flow process to be applied in 7 industrial designs to the unit.Design has 14K to 259K unit in 90nm and 65nm technology.In the sequential device of business tool, design is modeled as OCV and has CRPR that (clock can gather 10% degradation that pessimism removes (Clock Reconvergence Pessimism Removal) (delay of each circuit or unit can change ± 10%) again.Design is measured routability by the number that the wiring DRC (DRC) behind the detailed routing violates.In modern industrial design flow process, the wiring DRC after detailed automatically wiring violates and uses graphical user interface to fix usually by the design engineer.
Be compared to the flow process and the power-aware layout flow process of acquiescence, automatically preface becomes the storehouse algorithm on average to reduce clock trees power 19.0% and 14.9%, total chip power 15.3% and 5.2% respectively to the unit, skew 2.5% and 0.6% under the OCV, and the WNS under the OCV (the poorest time is violated (Worst Negative Slack)) 1.8% and 1.5%.Aspect routability, preface becomes the storehouse algorithm to obtain the improvement that exceeds power-aware layout flow process 30.0% to the unit automatically.Be compared to the acquiescence flow process, preface becomes the storehouse algorithm that the influence of routability is limited to 5.0% to the unit automatically.
Lower part: introduce sequential and become storehouse algorithm and preface how to be adapted to the typical physical synthesis flow to the unit to unit Cheng Ku with routability driving preface; How to describe in detail preface to cell layout's ordering to cell library; Experimental result and analysis are provided; And other features and conclusion are discussed.
Sequential and congested driving preface are to unit Cheng Ku
How the automatic preface that following discussion shows sequential and routability driving is adapted to complete physics resultant current and describes preface become the storehouse algorithm to the unit to unit Cheng Ku.
The physics synthesis flow
How Fig. 2 can be integrated into the typical physical synthesis flow to unit Cheng Ku if illustrating preface.At first, the preliminary placement of the net table of execution graphization in step 202.In preliminary placement, preface to the unit together with the design remainder by layout, so that optimize sequential and routability by layout person.If layout person decides at sequential and routability preface is become away from each other (sparsely) to cell layout, the layout ordering that then they contacted with each other side by side may cause bigger negative effect to sequential and routability to cell library, supposes that layout person is reasonably working.In other words, suppose that layout person is " clever ", be carried out to the storehouse by the preface that will place away from each other to the unit so, layout person's algorithm target is by too many violation.In step 202, after the net table preliminary placement of figureization, in step 204, carry out preface and generate to cell library (being also referred to as group) based on the layout of design.
Then in step 206, carry out incremental placement and be included in the design of the preface that forms in the step 204 so that physically optimize to cell library based on the logic optimization of layout.In step 208, some preface is decomposed (being also referred to as dismission) to minimize preface to the influence of unit Cheng Ku to sequential and routability to cell library.In step 210, carry out another incremental placement and based on the logic optimization of layout so as the preface of reorientating decomposition to the unit, thereby minimize sequential and wiring is congested.Finally, in step 212, carry out the synthetic and optimization of clock trees, and in step 214, carry out wiring and physics optimization.
During the overall situation that may betide whole physics synthesis flow and concrete layout, preface is regarded as the hard macro block of picture storer or hard IP to cell library.Unit discussed herein needs not be the standard block in the standard cell lib." hard macro block " is to have the fixedly unit of the place and route of Butut." soft macro block " is by the description of net table and has the unit that can revise Butut.
Relate to preface overlapping to cell library if concrete layout person can not remove, then concrete layout person is resolved into independent preface to unit and ICG with preface to cell library, and attempts to ratify once more layout.In step 206 and 210, during physics was optimized, the preface of preface in cell library can be arranged size to unit and ICG.The remainder and the concrete wiring in step 214 of the physics synthetic operation of the picture CTS (clock trees is synthetic) in step 212 are considered as having the fixedly individual unit of layout with the preface of preface in the unit group to unit and ICG.
Fig. 3, Fig. 4 A, Fig. 4 B and Fig. 4 C are respectively the modified flow figure at the whole aspect of technology, and the technology described in Fig. 2 various more specifically aspect.
In Fig. 3, process flow diagram comprises following step.In step 320, receive preliminary placement.In step 322,, be identified in subsequently and obtain power improvement and/or the improved preface of sequential after the layout to the unit group based on the preliminary placement of step 320.In step 324, the preface of identification is carried out layout to the unit group.In step 326, if press close to layout failure, then preface is disbanded and carries out the preface of group of dismission to the layout of unit to the unit group.
In Fig. 4 A, process flow diagram comprises following step.In step 430, receive preliminary placement.In step 432,, be identified in subsequently and obtain power improvement and/or the improved preface of sequential after the layout to the unit group based on preliminary placement.
In Fig. 4 B, process flow diagram comprises following step.In step 440, be received in and obtain power improvement and/or the improved preface of sequential sign after the follow-up layout to the unit group.In step 442, carry out the press close to layout of the preface of identification to the unit group.
In Fig. 4 C, process flow diagram comprises following step.In step 450, receive the press close to setting of preface to the unit group.In step 452, if press close to layout failure, then preface is disbanded and carries out the preface of group of dismission to the layout of unit to the unit group.
Layout drives preface and generates to cell library
This part will be described in the step 204 of flow process shown in figure 2, and identification will be included in the preface of preface in cell library to the unit.
If one group of preface has formed IC to the unit and designed single pipeline stages in macroscopical framework, then preface should ground close to each other layout to the unit, so that the sequential of miniaturized design and congested.In some embodiments, " single pipeline stages " is to comprise the processing unit of preface to the unit, and wherein one piece of data is handled by the sequence of this class pipeline stages, to increase for example performance of handling capacity.Single pipeline stages can handled first, behind the previous data segment, and then handle second, the data segment followed.For example, before handling old input fully, the multiplier of pipelining and totalizer can begin to handle new input.In other embodiment, a plurality of pipeline stages by layout to preface in cell library, this preface shows power improvement and/or sequential to cell library and improves.
In other words, by carefully with one group of preface to the unit package ordering to cell library, as described below, aspect sequential and routability, preface is may not can too far away from their desired layout to the unit.Therefore, it is too many that preface should be unable to influence design sequential or routability to cell library, reduces clock trees power and skew simultaneously.
It is that the preface of the single pipeline stages part in macroscopical framework of design is to the unit that three kinds of exemplary criteria are employed to discern exploratoryly.
1: one group of preface of criterion is directly driven by single ICG (integrated clock door control unit) to the unit.
2: one groups of prefaces of criterion demonstrate the single vector that constitutes RTL level place to the unit according to their title, and
Criterion 3: in preliminary placement, one group of preface to the unit not by " too sparsely " layout (step 202 of Fig. 2).
In some embodiments, at satisfying criterion 1 and criterion 3 simultaneously, or any one group of preface that satisfies criterion 2 and criterion 3 simultaneously generates preface to cell library to the unit.In other words, to the unit, criterion 3 is as final filtrator at the one group of preface that satisfies criterion 1 or criterion 2 simultaneously.Criterion 3 intuition behind is if in preliminary placement, and one group of preface, is then forced their to form preface and will be caused the too much increase of line length and/or the degradation in the sequential to cell library on chip to arrangements of cells.In other embodiments, the requirement that generates to cell library at preface, for example independent criterion 1, criterion 2 or criterion 3 are satisfied in other combinations of criterion; Criterion 2 and criterion 3; Or the expression preface is to certain other criterion/standard of the single pipelining-stage of unit.
Be discussed in more detail each above-mentioned criterion now.90nm or more the majority design of small-feature-size use Clock gating to save clock trees power.Usually by using ICG (integrated clock door control unit) to realize Clock gating.ICG has two input signals (pin), clock and enabling, and an output signal (pin), gated clock.If enable signal is closed, then door controling clock signal is also closed.Otherwise clock signal will be propagated on whole door controling clock signal.
If drive one group of preface to the unit by identical ICG, then this group preface will be caught new input data to the unit under the identical condition that enables.This usually this group preface of indication be the part of the single pipeline stages in macroscopical framework of designing of IC to the unit.If this group preface also satisfies criterion 3 to the unit, the preface that then should group preface constitutes minimal amount to the unit group is to cell library, make each preface to cell library comprise 128 or still less preface to the unit.Generation has 128 prefaces to be avoided to cell library in some embodiments to the preface of unit because like this preface of size to cell library often (1) relate to overlapping and (2) that concrete layout person cann't be solved and cause the routability problem.The preface that obtains can have ICG to reduce clock skew at the centre row to cell library.
If criterion 2 proposes one group of at least 16 preface to the unit demonstrates identical vector in the RTL level according to their title in, then they most possibly are the parts of single pipelining-stage.If this group preface also satisfies criterion 3 to the unit, then this group preface can form preface from minimal amount to the unit to cell library, make each preface to cell library comprise 128 or still less preface to the unit.
If criterion 3 proposes and should the group preface netted in the preliminary placement of table by " too sparsely " layout to the unit,, also will can not form preface to the unit to cell library for this group preface even then this group preface satisfies criterion 1 or criterion 2 to the unit.If should the group preface to the total area of unit and this group preface to the ratio of the area of the bounding box (bounding box) of unit less than the institute of design in order to the ratio of " the standard block area " of the total area of unit and tube core, then be somebody's turn to do organize preface will be to the unit by " too sparsely " layout." the standard block area " of tube core is the total area that total die area deducts the hard macro block in the tube core.
Sequential and routability drive preface and remove to cell library
Here be described in how to decompose in the step 208 of Fig. 2 preface to cell library so that minimize preface to the influence of unit Cheng Ku to sequential or routability.
Be included in preface as infructescence in cell library to the unit, then layout person will be no longer can mobile individually preface each preface in cell library to the unit; Layout person must move whole storehouse.This carries out reorientation concerning preface to the unit for the physics synthetics and relates to preface and will become more difficult to the wiring of unit congested so that optimize timing path or minimize.
In order to minimize preface to the influence of unit Cheng Ku to the design sequential, after sequential in step 206 and the congested driving layout, as infructescence to the pin of unit still have design WNS (the poorest time violate) 20% in the time violation, then in the step 208 of the flow process of Fig. 2, decompose preface to cell library.
By decomposing preface, allow layout person will (preface of decomposition to cell library) each preface freely to be in the layout of any position in the chip to the unit to cell library.No longer force preface to be positioned over preface abreast in cell library to the unit.This is the change in the layout restrictions during physics realization, rather than to the change of RTL code of design.During physics realization at sequential and routability, layout person can be freely with the preface of RTL vector to cell layout in chip.
In order to minimize influence, make up congested figure based on current layout to routability.Congested figure is the grid that design is divided into the unit.If the netting index order of the estimation at the edge by the unit has exceeded the wiring capacity at the edge of unit, then the unit of congested figure will overflow.As the overflow cells overlap of infructescence, then in the step 208 of the flow process of Fig. 2, decompose preface to cell library to cell library and congested figure.
Attention in step 210, follow-up sequential and congested driving layout and based on the logic optimization of layout can each decomposition of reorientation preface to the unit to minimize sequential.
The preface of preface in cell library is to cell layout
This part describe how to determine preface to the size of cell library and how with preface to cell layout in preface in cell library.
Preface is to the cell library size
In order to determine the size of preface, at first, in preliminary placement, measure the height to width ratio of one group of preface to the bounding box of unit to cell library.Then determine line number and the columns of preface, make preface will approach the height to width ratio of bounding box to the height to width ratio of cell library to cell library.This method intuition behind is that this has bigger chance and minimizes from this group preface to the preliminary placement of unit to their displacement of layout of preface in cell library.
The preface of preface in cell library is to cell layout
Calculate the relative position of the preface of preface in cell library based on the relative position of the preface in the preliminary placement, to minimize total displacement to the unit to the unit.Suppose that one group of preface is become m * n (the capable and n row of m) preface to cell library to the unit by layout.At first, preface is classified and become m capable to unit packet preface to the unit, make each row comprise n preface, except last column can comprise n or preface still less to the unit to the unit according to their y coordinate.Then come they are classified so that determine their relative positions in each row to the x of unit coordinate according to preface.Drive by ICG to cell library as infructescence, during then ICG is gone in the middle of additional by layout.For example, in Fig. 5,6, preface to the unit by layout in 3 * 2 prefaces in cell library.At first, 6 prefaces are classified into the sequence 1,2,3,4,5 and 6 of ordering according to their y coordinate to the unit.According to the sequence of this ordering, preface is grouped into 3 row to the unit: 1,2}, 3,4} and 5,6}.In each row, according to their x coordinate, preface is classified and the final relatively layout of preface to the unit is defined in each row to the unit, as 2,1}, 3,4} and 6, among the 5}.Finally, middle row be inserted into preface in cell library with layout ICG.The preface of layout shown in Figure 5 is to cell library.
Experimental result
Experimental result is discussed and analyzed to this part
Experiment is set up
The robotization preface becomes storehouse algorithm and power-aware topology to be implemented on the commercial physics synthetics to the unit.Commercial physics synthetics has built-in sequential and power analysis engine, and it is provided for the sequential and the quantity of power of our experiment.The time series analysis engine uses (1) degradation, and wherein the delay of each circuit or the unit approach and (2) CRDR (clock can gather pessimism again and remove) that can change certain user's prescribed percentage comes OCV (changing on the sheet) is carried out modeling.
In 90nm and 65nm technology, carry out experiment to scope 7 industrial designs of a unit from 14K to 259K.In table 1, sum up the statistic of design.
The design code title Number of unit (K) Feature dimension (nm)
D1 ?14 90
D2 ?91 90
D3 ?137 90
D4 ?160 65
D5 ?168 65
D6 ?250 90
D7 ?259 65
Table 1 statistics of industrial design
Acquiescence physics synthesis flow, power-aware layout flow process and automatic preface become the storehouse flow process to be applied in these designs to the unit.The acquiescence flow process is process step 202, the 210k, 212 and 214 among Fig. 2.Sequential in the power-aware layout flow performing acquiescence flow process and the power-aware layout (step 210 of Fig. 2) during the congested driving layout.All experimental results are measured in concrete wiring back.
The comparison of preface between unit Cheng Ku and other flow processs automatically
In table 2, summed up preface and become storehouse flow process and the comparison of giving tacit consent to flow process and power-aware layout flow process to the unit.In table 2, first has enumerated designing quality tolerance, and we come three flow processs of comparison based on it.The the 2nd and the 3rd row demonstrate respectively and are compared to acquiescence and become the average improvement number percent of storehouse flow process to the unit with the author's preface of power-aware layout flow process.Be compared to other flow processs, negative (just) number percent indicates author's preface to become the improvement (deterioration) of storehouse flow process to the unit.Ordinal number when measuring with 10% degradation (delay of each circuit or unit can change ± 10%) and CRPR.
Preface is to unit Cheng Ku ratio acquiescence Preface becomes storehouse specific power perception layout to the unit
Clock power -19.03% -14.94%
General power -15.26% -5.20%
Clock skew -2.53% -0.60%
?WNS -1.76% -1.52%
Concrete wiring DRC 5.01% -30.05%
Working time 1.66X 1.15X
Table 2 is that preface is to unit Cheng Ku ratio acquiescence and power-aware layout flow process (negative %=improves).
WNS number percent number at time cycle normalization clock.If design has a plurality of clocks, then WNS number percent number is all WNS number percent numbers average of its all clocks.It is that the DRC that is compared to acquiescence flow process or power-aware layout flow process violates number that the wiring DRC (DRC) of flow process violates number.
Table 2 illustrates and is compared to acquiescence and power-aware layout flow process respectively, preface becomes the storehouse flow process on average to reduce skew 2.53% and 0.60% under clock trees power 19.03% and 14.94%, general power 15.26% and 5.20%, the OCV to the unit, and the WNS under the OCV (the poorest time violates) 1.76% and 1.52%.Can reach a conclusion from these results, be compared to acquiescence and power-aware layout flow process, preface is influenced down by OCV, is being effective aspect saving clock trees power and the improvement design sequential to unit Cheng Ku.
After concrete wiring, measure routability by the number that wiring DRC (DRC) violates.Attention after specifically connecting up automatically, is used graphical user interface to fix wiring DRC by the design engineer and is violated in the industrial design flow process of today.Be compared to power-aware layout flow process, preface become the storehouse flow process to connect up to the unit number that DRC violates reduces 30.05%.Be compared to the acquiescence flow process, preface become the storehouse flow process to connect up to the unit total number that DRC violates increases by 5.01%.The power-aware layout and automatically preface all influence routability to unit Cheng Ku, but being compared to the power-aware layout based on the preface of congested figure to the cell library decomposition method and having reduced influence effectively described in the chapters and sections 2.3 to routability.
Being used for automatic preface will be compared to the acquiescence flow process working time to the code of unit Cheng Ku and increase 1.66X and be compared to power-aware layout flow process and increased 1.15X.Can estimate further to improve to reduce expense working time in future.
Table 3 is illustrated in the get off experimental data of three flow processs of self-operating of test case.First row illustrate the name of code of 7 designs.Secondary series illustrates 3 flow processs, and acquiescence (def), power-aware layout (pwr-p) become storehouse flow process (rg.bk) with preface to the unit.The the 3rd to the 7th row illustrate the implementation data of 5 designing quality tolerance aspects, and 5 designing quality tolerance are numbers that clock power, general power, time migration, WNS and DRC after concrete wiring violate.
Figure G2009201742747D00201
Figure G2009201742747D00211
Table 3 experimental data comes from acquiescence, power-aware layout and preface and becomes the storehouse flow process to the unit.
Become the skew that the storehouse realizes and the analysis of power reduction by automatic preface to the unit
Why hereinafter discuss under OCV, preface has reduced skew to unit Cheng Ku.To cell library, concrete wiring produces the net of similar fish-bone usually for the preface of compact package, and this is good for skew.Further, the herring-bone form net of preface in cell library greatly reduced the net electric capacity of the leaf-size class of clock trees, and this supports clock trees to use still less and littler impact damper comes the drive clock net.The result is, is minimized from the clock delay that receives the clock path of (sink) then of clock tree root, and this has reduced the influence of OCV.
As mentioned above, preface supports clock trees to use still less and littler impact damper comes the drive clock net to cell library.The result is, reduced total buffer areas, and this has then reduced the power of clock trees cell leakage and inside (short circuit).Therefore, preface has not only reduced the net power switched to unit Cheng Ku automatically, has also reduced the clock trees unit and has revealed and internal power.
Component above table 4 is supported.The the 3rd to the 6th row of table 4 illustrate the experimental data of time tree buffer areas, leakage power, unit internal power and net power switched aspect.Bottom line illustrates by the decreased average of automatic preface in the above-mentioned tolerance that unit Cheng Ku (negative number percent indication improves) is obtained.Notice 7.61% decreased average in the clock trees buffer areas will cause 7.20% in the clock trees leakage power on average to reduce and clock trees unit internal power in 7.89% decreased average.
Figure G2009201742747D00221
Table 4 preface has reduced clock buffer zone, leakage, inside and dynamic power to unit Cheng Ku.
Conclusion and other embodiment
Low-power and for the hyposensitivity that changes be designer today design use wireless move and wired high performance system in the big challenge of tool that faced during employed IC.Because clock trees is a power consumption and may out of order place for the main of the susceptibility that changes, has low-power and be first-selected necessary condition for low-power with to changing that sane IC designs the clock trees that changes hyposensitivity.
The automatic preface that is proposed becomes storehouse technical support low-power and synthesizing the more sane clock trees of variation to the unit.Preface becomes the storehouse technology to be implemented on the commercial physics synthetics to the unit.Experimental result shows that this technology is effective reducing on clock trees power, general power and the sheet aspect the WNS under the variation.
Has 14K on 7 industrial 90nm and 65nm design of 259K unit, after complete physics synthesis flow, be compared to acquiescence and power-aware layout flow process respectively, automatically preface becomes the storehouse technology on average to reduce clock trees power 19.0% and 14.9% to the unit, reduce general power 15.3% and 5.2%, and the WNS under the OCV (± 10%) 1.8% and 1.5%.
Other embodiment made up preface to unit Cheng Ku and power-aware layout to realize more reducing general power, further reduce preface to the influence of unit Cheng Ku to routability, and/or be compared to the acquiescence flow process reduce the operation expense of automatic preface to unit Cheng Ku.
Fig. 6 is the simplified block diagram of computer system 610 that can be used to realize to comprise the software of many aspects of the present utility model.Although process flow diagram and other arthmetic statements here a series of step, will appreciate that each step of process flow diagram or algorithm can realize by for example making 610 computer system move in the mode of appointment.
Computer system 610 generally includes processor subsystem 614, and it communicates via bus sub 612 and a plurality of peripherals.Processor subsystem 614 can comprise one or more processors.Processor subsystem 614 is provided for the path of computer system 610 to receive and to send information described here, is included in the processor subsystem 614, for example has multinuclear, multiprocessor and/or virtual machine and realizes.Peripherals can comprise storage subsystem 624, comprises memory sub-system 626 and file storage subsystem 628, user interface input equipment 622, user interface output device 620 and network interface subsystem 616.Input and output device permission user and computer system 610 are mutual.Network interface subsystem 616 is provided to the interface of external network, is included in the interface of communication network 618, and is coupled to the corresponding interface equipment in other computer systems via communication network 618.Communication network 618 can comprise many interconnected computer systems and communication link.These communication links for example are wire link, optical link, Radio Link or any other mechanism that is used to the information that transmits.Although in one embodiment, communication network 618 is the Internets, and in other embodiments, communication network 618 can be any suitable computer network.Communication network 618 is provided for the path of computer system 610 to receive and to send information described here.
The physical hardware components of network interface is sometimes referred to as network interface unit (NIC), although they need not be the form of card: for example they can adopt integrated circuit (IC) and be suitable for being directly connected to the form of the connector on the motherboard of computer system, or take to process the macroelement form on the single integrated circuit chip of other assemblies with computer system.
User interface input equipment 622 can comprise keyboard, sensing equipment for example mouse, trace ball, touch pad or figure board, scanner, the touch-screen that is incorporated into display, audio input device, for example input equipment of speech recognition system, microphone and other types.Usually, the use of term " input equipment " be intended to comprise might type equipment and information imported computer system 610 into or is sent to the mode of computer network 618.
User interface output device 620 can comprise display subsystem, printer, duplicating machine or non-visual displays, for example audio output apparatus.Display subsystem can comprise the flat-panel devices of cathode ray tube (CRT), for example LCD (LCD), projector, or is used to create some other mechanism of visual pattern.Display subsystem also can provide non-visual displays via audio output apparatus.Usually, use term " output device " to be intended to comprise from computer system 610 to the user or to the equipment and the mode of the possible type of institute of another machine or computer system output information.
Storage subsystem 624 storages provide the functional basic programming and the data structure of some embodiment of the present utility model.For example, the functional various modules of realization some embodiment of the present utility model can be stored in the storage subsystem 624.These software modules are carried out by processor subsystem 614 usually.
Memory sub-system 626 generally includes a plurality of storeies, comprises being used for storage instruction and the main random-access memory (ram) 630 of data and the ROM (read-only memory) (ROM) 632 of storage fixed instruction term of execution of program.File storage subsystem 628 is provided for the permanent storage of program and data files, and floppy disk (being illustrated as the computer-readable medium 640 of memory circuit design 680), CD ROM driver, CD-ROM driver or the removable medium box that can comprise hard disk drive, have relevant removable medium.The functional database and the module that realize some embodiment of the present utility model can be provided on the computer-readable medium, for example one or more CD-ROM and can being stored by file storage subsystem 628.Mainframe memory 626 can comprise in addition by processor subsystem 614 performed computer instructions operates or carries out function described here to cause computer system.As used herein, described here run in " main frame " or " computing machine " or on processing and computer instruction and the data and carry out on processor subsystem 614 of software responses in mainframe memory subsystem 626, this mainframe memory subsystem 626 comprises any other Local or Remote storer that is used for this type of instruction and data.
Bus sub 612 provides the subsystem that makes various assemblies and computer system 610 mechanism that communicates by letter as desired each other.Although bus sub 612 is shown schematically as single bus, the optional embodiment of bus sub can use a plurality of buses.
Computer system 610 itself has the type of variation, comprises personal computer, portable computer, workstation, terminal, network computer, TV, mainframe, parallel processing system (PPS), more than the network of a computing machine or other data handling systems or subscriber equipment arbitrarily.Because the continuous change to attributes of computing machine and network, the description of the computer system 610 drawn is intended to be used to illustrate the specific examples of preferred implementation of the present utility model in Fig. 6.Many other configurations of computer system 610 can have than the computer system of drawing among Fig. 6 assembly more or less.
As used herein, if previous input has influence on given activity, then given activity " in response to " previous input.If exist to interfere processing unit, step or time period, then given activity can be still " in response to " previous input.If processing unit of interfering or step combination more than one input, activity be considered to " in response to " each input." dependence " according to the given activity of one or more inputs defined similarly.Fig. 7 illustrate according to an aspect of the present utility model be used for comprise that at least one preface of trigger and latch is to the equipment 700 of the circuit design of unit, it comprises receiving trap 701, to form preliminary setting, described preliminary placement is at least based on sequential and the routability of described preface to the unit to the preliminary placement of unit for the preface that is configured to the receiving circuit design netlist; And recognition device 702, be configured to based on described preliminary setting, be identified in to its carry out follow-up layout with form row and column press close to be provided with after, preface in obtaining that power consumption is improved and timing variations is improved and tentatively being provided with is to the unit group, and wherein said group preface is different from described group preface to the preliminary setting of unit to the setting of pressing close to of unit.In one embodiment, this equipment 700 further comprises: actuating unit, its be configured to carry out described group follow-up layout with form row and column press close to be provided with.In one embodiment, this equipment 700 further comprises: actuating unit, its be configured to carry out described group follow-up layout with form row and column press close to be provided with; And dismission device, it is configured to the failure in response to the setting of connecting up, dismiss the group of pressing close to be provided with, the group of described dismission is being carried out follow-up layout is different from described row and column with formation the described preface that press close to be provided with after follow-up setting of unit, the described group of pressing close to be provided with can obtain routability and improve.In one embodiment, preface belongs to single pipeline stages to the unit group.In one embodiment, preface constitutes single register transfer language vector to the unit group.In one embodiment, preface constitutes the single register transfer language vector of at least 16 prefaces to the unit to the unit group.In one embodiment, preface provides clock to the unit group by public door controling clock signal.In one embodiment, preface provides clock to the unit group by public door controling clock signal, and wherein said preface constitutes the single register transfer language vector of no more than 128 prefaces to the unit to the unit group.In one embodiment, first ratio surpasses second ratio, described first ratio is i) described preface is ii) surrounded the area of described preface to the minimum rectangle of unit group on the total area ratio of unit group, and described second ratio is i) described circuit design net table in order on the total area ratio of unit ii) total die area of circuit design net table deduct the total area of the hard macro block of circuit design net table.Fig. 8 illustrate according to another aspect of the present utility model be used to comprise that at least one preface of trigger and latch is to the equipment 800 of the circuit design of unit, it comprises: receiving trap 801, it is configured to the sign of the preface of receiving circuit design netlist to the unit group, described group sign is based on coming from the preliminary setting of described preface to the preliminary placement of unit, and described preliminary placement is at least based on sequential and the routability of described preface to the unit; And actuating unit 802, its be configured to carry out described group press close to layout with form row and column press close to be provided with, described in pressing close to be provided with described group has with respect to described described group of power consumption in tentatively being provided with and improves and the timing variations improvement.In one embodiment, this equipment 800 further comprises: dismiss device, it is configured in response to the described failure of pressing close to be provided with of wiring, dismiss the group of pressing close to be provided with, the group of described dismission is being carried out follow-up layout is different from described row and column with formation the described preface that press close to be provided with after follow-up setting of unit, the described group of pressing close to be provided with can obtain routability and improve.In one embodiment, actuating unit 802 comprises definite device, the number that it is configured to determine the described row and column of pressing close to be provided with makes described first height to width ratio of pressing close to be provided be similar to and surrounds preface described in the described preliminary placement to second height to width ratio of the minimum rectangle of unit group.In one embodiment, actuating unit 802 comprises definite device, and it is configured to based on the relative position of the described preface in described group in tentatively being provided with of unit, and determines that preface in described group is to the relative position of pressing close to be provided with of unit.In one embodiment, actuating unit 802 comprises definite device, it is configured to based on the relative horizontal coordinate position of the preface in described group in unit described tentatively is provided with, determine in described group preface to the unit press close to be provided with in relative horizontal coordinate position.In one embodiment, actuating unit 802 comprises definite device, and it is configured to based on the relative vertical coordinate position of the preface in described group in tentatively being provided with of unit, determine in described group preface to the unit press close to be provided with in relative vertical coordinate position.In one embodiment, actuating unit 802 comprises placement device, and it is configured to integrated clock door control unit layout in the described centre position of pressing close to be provided with.Fig. 9 illustrate according to another aspect of the present utility model be used to comprise that at least one preface of trigger and latch is to the equipment 900 of the circuit design of unit, it comprises: receiving trap 901, and its preface that is configured to the receiving circuit design netlist presses close to be provided with to the row and column of unit group; And dismission device 902, it is configured in response to the described failure of pressing close to be provided with of wiring, dismiss the group of pressing close to be provided with, the group of dismissing is being carried out described follow-up layout is different from described row and column with formation the described preface of pressing close to be provided with after follow-up setting of unit, the described group of pressing close to be provided with can obtain routability and improve.In one embodiment, this equipment 900 further comprises actuating unit, and its preface of group that is configured to carry out described dismission is to the follow-up layout of unit.In one embodiment, this equipment 900 further comprises definite device, and it is configured to the failure in response to the setting of connecting up, and determines that the described netting index of pressing close to be provided with exceeds the wiring capacity.
The foregoing description that preferred implementation of the present utility model is provided is to be used for the purpose of illustration and description.Be not intended to exhaustive the utility model or the utility model is limited to precise forms disclosed herein.For the practitioner of this area, many modifications and variations will be tangible.Selection and description embodiment are so that explain principle of the present utility model best and its practical application, and those skilled in the art can understand the utility model that is used for various embodiments and have the various modifications that are suitable for estimating concrete use thus.Therefore scope of the present utility model is intended to be defined by following claims and their equivalent.

Claims (15)

1. one kind is used for comprising that at least one preface of trigger and latch to the equipment of the circuit design of unit, is characterized in that comprising:
Receiving trap, to form preliminary setting, described preliminary placement is at least based on sequential and the routability of described preface to the unit to the preliminary placement of unit for the preface that is arranged to the receiving circuit design netlist; And
Recognition device, be arranged to based on described preliminary setting, be identified in to its carry out follow-up layout with form row and column press close to be provided with after, preface in obtaining that power consumption is improved and timing variations is improved and tentatively being provided with is to the unit group, and wherein said group preface is different from described group preface to the preliminary setting of unit to the setting of pressing close to of unit.
2. equipment according to claim 1 is characterized in that further comprising:
Actuating unit, the follow-up layout that is arranged to described group of execution is to form the setting of pressing close to of row and column.
3. equipment according to claim 1 is characterized in that further comprising:
Actuating unit, the follow-up layout that is arranged to described group of execution is to form the setting of pressing close to of row and column; And
Dismiss device, be arranged to failure in response to the setting of connecting up, dismiss the group of pressing close to be provided with, the group of described dismission is being carried out follow-up layout is different from described row and column with formation the described preface that press close to be provided with after follow-up setting of unit, the described group of pressing close to be provided with can obtain routability and improve.
4. equipment according to claim 1 is characterized in that described preface belongs to single pipeline stages to the unit group.
5. equipment according to claim 1 is characterized in that described preface provides clock to the unit group by public door controling clock signal.
6. at least one a preface that is used to comprise trigger and latch is characterized in that comprising to the equipment of the circuit design of unit:
Receiving trap is arranged to the sign of the preface of receiving circuit design netlist to the unit group, and described group sign is based on coming from the preliminary setting of described preface to the preliminary placement of unit, and described preliminary placement is at least based on sequential and the routability of described preface to the unit; And
Actuating unit, be arranged to carry out described group press close to layout with form row and column press close to be provided with, described in pressing close to be provided with described group has with respect to described described group of power consumption in tentatively being provided with and improves and the timing variations improvement.
7. equipment according to claim 6 is characterized in that further comprising:
Dismiss device, be arranged in response to the described failure of pressing close to be provided with of wiring, dismiss the group of pressing close to be provided with, the group of described dismission is being carried out follow-up layout is different from described row and column with formation the described preface that press close to be provided with after follow-up setting of unit, the described group of pressing close to be provided with can obtain routability and improve.
8. equipment according to claim 6 is characterized in that, described actuating unit comprises:
Determine device, be arranged to the number of determining the described row and column of pressing close to be provided with, make described first height to width ratio of pressing close to be provided be similar to and surround preface described in the described preliminary placement to second height to width ratio of the minimum rectangle of unit group.
9. equipment according to claim 6 is characterized in that, described actuating unit comprises:
Determine device, be arranged to, determine that preface in described group is to the relative position of pressing close to be provided with of unit based on the relative position of the described preface in described group in tentatively being provided with of unit.
10. equipment according to claim 6 is characterized in that, described actuating unit comprises:
Determine device, be arranged to based on the relative horizontal coordinate position of the preface in described group in unit described tentatively is provided with, determine in described group preface to the unit press close to be provided with in relative horizontal coordinate position.
11. equipment according to claim 6 is characterized in that, described actuating unit comprises:
Determine device, be arranged to based on the relative vertical coordinate position of the preface in described group in tentatively being provided with of unit, determine in described group preface to the unit press close to be provided with in relative vertical coordinate position.
12. equipment according to claim 6 is characterized in that, described actuating unit comprises:
Placement device is arranged to integrated clock door control unit layout in the described centre position of pressing close to be provided with.
13. at least one a preface that is used to comprise trigger and latch is characterized in that comprising to the equipment of the circuit design of unit:
Receiving trap, the preface that is arranged to the receiving circuit design netlist presses close to be provided with to the row and column of unit group;
Dismiss device, be arranged in response to the described failure of pressing close to be provided with of wiring, dismiss the group of pressing close to be provided with, the group of dismissing is being carried out described follow-up layout is different from described row and column with formation the described preface of pressing close to be provided with after follow-up setting of unit, the described group of pressing close to be provided with can obtain routability and improve.
14. equipment according to claim 13 is characterized in that further comprising:
Actuating unit is arranged to the follow-up layout of the preface of the group of carrying out described dismission to the unit.
15. equipment according to claim 13 is characterized in that further comprising:
Determine device, be arranged to failure, determine that the described netting index of pressing close to be provided with exceeds the wiring capacity in response to the setting of connecting up.
CN2009201742747U 2009-09-15 2009-09-15 Equipment used for circuit design of sequential units Expired - Lifetime CN201607731U (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN2009201742747U CN201607731U (en) 2009-09-15 2009-09-15 Equipment used for circuit design of sequential units

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN2009201742747U CN201607731U (en) 2009-09-15 2009-09-15 Equipment used for circuit design of sequential units

Publications (1)

Publication Number Publication Date
CN201607731U true CN201607731U (en) 2010-10-13

Family

ID=42952435

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009201742747U Expired - Lifetime CN201607731U (en) 2009-09-15 2009-09-15 Equipment used for circuit design of sequential units

Country Status (1)

Country Link
CN (1) CN201607731U (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109976707A (en) * 2019-03-21 2019-07-05 西南交通大学 A kind of variable bit width multiplier automatic generating method

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109976707A (en) * 2019-03-21 2019-07-05 西南交通大学 A kind of variable bit width multiplier automatic generating method

Similar Documents

Publication Publication Date Title
CN101796520B (en) Method and apparatus for proximate placement of sequential cells
US6499131B1 (en) Method for verification of crosstalk noise in a CMOS design
US7552409B2 (en) Engineering change order process optimization
US6363516B1 (en) Method for hierarchical parasitic extraction of a CMOS design
US8806406B2 (en) Active net and parasitic net based approach for circuit simulation and characterization
US6378109B1 (en) Method of simulation for gate oxide integrity check on an entire IC
US7685549B2 (en) Method of constrained aggressor set selection for crosstalk induced noise
Zhuo et al. From layout to system: Early stage power delivery and architecture co-exploration
US9305134B2 (en) Semiconductor device design method, system and computer program product
CN101539958B (en) Method and device for designing standard cell library and integrated circuit
US20070276645A1 (en) Power modelling in circuit designs
US20100235799A1 (en) Method and apparatus for generating a floorplan using a reduced netlist
US10423742B2 (en) Method to perform full accuracy hierarchical block level timing analysis with parameterized chip level contexts
US9443050B2 (en) Low-voltage swing circuit modifications
US7882483B2 (en) Method for checking constraints equivalence of an integrated circuit design
US8706467B2 (en) Compact circuit-simulation output
US11593543B2 (en) Glitch power analysis with register transfer level vectors
US9672317B2 (en) Quality of results system
CN201607731U (en) Equipment used for circuit design of sequential units
US20050278664A1 (en) Predicting power consumption for a chip
US20110185335A1 (en) Determining an order for visiting circuit blocks in a circuit design for fixing design requirement violations
US11210448B1 (en) Mitigating timing yield loss due to high-sigma rare-event process variation
Huang et al. Routability-driven Power/Ground Network Optimization Based on Machine Learning
US20120131532A1 (en) Substrate Noise Assessment Flow In Mixed-Signal And SOC Designs
Lee Implementation of VLSI design flow for MIPS-based SOC

Legal Events

Date Code Title Description
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term

Granted publication date: 20101013

CX01 Expiry of patent term