CN1896869A - Substrate, lithographic multiple exposure method, machine readable medium - Google Patents

Substrate, lithographic multiple exposure method, machine readable medium Download PDF

Info

Publication number
CN1896869A
CN1896869A CNA2006101063431A CN200610106343A CN1896869A CN 1896869 A CN1896869 A CN 1896869A CN A2006101063431 A CNA2006101063431 A CN A2006101063431A CN 200610106343 A CN200610106343 A CN 200610106343A CN 1896869 A CN1896869 A CN 1896869A
Authority
CN
China
Prior art keywords
hard mask
layer
mask layer
etching
pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2006101063431A
Other languages
Chinese (zh)
Inventor
陈继恒
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN1896869A publication Critical patent/CN1896869A/en
Pending legal-status Critical Current

Links

Images

Landscapes

  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

A method for imaging using a lithographic system includes decomposing a desired pattern to be printed on the substrate into at least two constituent sub-patterns that are capable of being optically resolved by the lithographic system, coating a substrate a substrate with a stack of two sacrificial hard masks on top of a target layer which is to be patterned with the desired dense line pattern. To provide suitable etch stop layers, the material of the sacrificial mask layers and the target layer is chosen such that for each etching step, the etching between two exposures and the etching of the target layer have alternating selectivities.

Description

Substrate, lithographic multiple exposure method and machine readable medium
Technical field
The correlation technique and the device that the present invention relates generally to photolithography and be used for the exposure semiconductor substrate.
Background technology
Photoetching exposure device can be used for for example manufacturing of integrated circuit (IC).In this case, patterning device can produce the circuit pattern corresponding to the individual course of IC.In photolithography, by making radiation laser beam cross patterning device it is carried out composition, the optical projection system of using lithographic equipment then projects to it in target portion of substrate (silicon wafer) of resist (the being photoresist) material layer that is coated with photoactivation (for example comprising one or more tube core), thus in resist to the desired pattern imaging.Usually, single wafer will comprise the once whole grid of the adjacent target portion of radiation in succession of the system of being projected.
In semi-conductor industry, the lasting demand that obtains littler pattern and feature to littler semiconductor devices, on wafer substrates has promoted to use the limit of the optical resolution that photoetching exposure device can reach.Usually, the minimum dimension of the repeated characteristic of the pattern that exposes on wafer substrates (for example " half-section distance ") can optically be resolved by photoetching exposure device, and it depends on the attribute of optical projection system and (patterned) projection radiation light beam.Especially, the optical resolution of the characteristic dimension of half-section distance can use the reduced form of Rayleigh resolution equation to derive:
p 0.5=k 1·λ/NA,k 1≥0.25 (1)
Wherein: p 0.5But the repeated characteristic size of expression nm level (for example " half-section distance ");
NA represents the numerical aperture of optical projection system;
λ represents the wavelength of projected light beam; And
k 1It is the factor of expression accessible optical resolution limit for the characteristic dimension of half-section distance.
As noted above, for k 1The half-section of theoretical optics resolution is 0.25 apart from lower limit.In order to surpass k 1=0.25 restriction has spent suitable effort and has studied exposure technique, and these exposure techniques can adopt shorter wavelength and/or bigger numerical aperture, thereby can form littler feature, and can not violate k 1〉=0.25 restriction.
In order to print contact hole or raceway groove, be known that by using the double-exposure photoetching treatment to surpass k 1=0.25 restriction is possible, and thus desired pattern to be printed being resolved into can be by two sub-pattern of forming of etching system optics parsing.Use positivity (positive tone) resist that first Etching mask can be provided on hard mask layer, its first sub-pattern with contact hole is consistent, and the etching target layer of (using first Etching mask) subsequently is to be delivered to first sub-pattern on the hard mask.Then, remove first Etching mask from destination layer, and second Etching mask is provided on destination layer, its second sub-pattern with contact hole is consistent, second etching target layer of (using second Etching mask) subsequently.Therefore, first and second sub-patterns just combine and form the pattern of expectation on destination layers.This processing is suitable to printing contact hole and raceway groove, and wherein imaging processing has best in quality when the bright feature (contact hole and/or raceway groove) of imaging under dark background, but this processing can not be used for printing and is lower than k 1The line of=0.25 restriction.In order to print this line, imaging processing has best in quality when imaging concealed wire under bright background, and requires to use negative resist (also having omitted the middle etch step between double exposure).Yet the imaging characteristic of negative resist is poorer than the imaging characteristic of positive resist.
Summary of the invention
Such as here embodiment and broadly described, a kind of imaging resolution that enhancing can be provided in etching system according to the method for principle of the present invention.One substrate is provided, described substrate comprises the destination layer that is constructed and arranged to carry out with desired pattern photoetching composition, piling up to the hard mask layer of small part coverage goal layer also is provided, it comprises to first hard mask layer of small part coverage goal layer and covers second mask layer of first hard mask layer to small part, wherein first hard mask layer and second hard mask layer have the etch-resistance of mutual repulsion, wherein comprise oxide one of in first hard mask layer and second hard mask layer, and another hard mask layer comprises nitride.
According to an aspect of the present invention, a kind of lithographic multiple exposure method is provided, comprise: exposure has destination layer and to the photoetching substrate of piling up of the hard mask layer of small part coverage goal layer, described piling up comprises to first hard mask layer of small part coverage goal layer and covers second mask layer of first hard mask layer to small part, described method comprises by first photoetching treatment first pattern is delivered to second hard mask layer, described first photoetching treatment comprises that described second hard mask layer of etching is to provide from outstanding corresponding first characteristic pattern of first hard mask layer, and described method also comprises the intervening portion that second pattern is delivered to relative first characteristic pattern on first hard mask layer by second photoetching treatment, described second photoetching treatment comprises described first hard mask layer of etching providing from the first further outstanding characteristic pattern of the feature of destination layer, and provides from outstanding second characteristic pattern consistent with second pattern of destination layer.
According to an aspect of the present invention, a kind of lithographic multiple exposure method is provided, may further comprise the steps: exposure has destination layer and to the photoetching substrate of piling up of the hard mask layer of small part coverage goal layer, described piling up comprises to first hard mask layer of small part coverage goal layer and covers second mask layer of first hard mask layer to small part, described method comprises by first photoetching treatment first pattern is delivered to second hard mask layer, described first photoetching treatment comprises that described second hard mask layer of etching is to provide from outstanding corresponding first characteristic pattern of first hard mask layer, and described method also comprises the intervening portion that second pattern is delivered to relative first characteristic pattern on first hard mask layer by second photoetching treatment, described second photoetching treatment comprises described first hard mask layer of etching providing from the first further outstanding characteristic pattern of the feature of destination layer, and provides from the second outstanding characteristic pattern of destination layer according to second pattern.
In one embodiment of the invention, first and second etchings have selectivity, the particularly selectivity of the relative oxide of nitride alternately, that repel substantially mutually.
According to another invention of the present invention, provide according to machine-executable instruction the machine readable medium to encode of a kind of method use the substrate composition, described method comprises:
The etch-resistance of destination layer in the identification substrate, discern to the etch-resistance of first hard mask layer of small part coverage goal layer and discern the etch-resistance that covers second hard mask layer of first hard mask layer to small part, wherein first hard mask layer and second hard mask layer have the etch-resistance of mutual repulsion
By first photoetching treatment first pattern is delivered to second hard mask layer, described first photoetching treatment comprises that the resist layer to being located on the second hard mask carries out the exposure first time,
According to the etch-resistance of described identification, be identified for the gaseous mixture of dry ecthing second hard mask layer, use first hard mask layer as etching stopping layer simultaneously,
Use described definite gaseous mixture that described second hard mask layer is applied described dry ecthing,
By second photoetching treatment second pattern that relative first pattern is positioned at intervening portion (registry) is delivered to first hard mask layer, described second photoetching treatment comprises that the resist layer to being located on the first hard mask carries out the exposure second time,
According to the etch-resistance of described identification, be identified for second gaseous mixture of dry ecthing first hard mask layer, use destination layer as etching stopping layer simultaneously,
Use the described second definite gaseous mixture that described first hard mask layer is applied described dry ecthing.
Here, relative first pattern of second pattern exposes in staggered position (registry), thereby provides according to the etching mask of desired pattern to the destination layer composition.
According to another invention of the present invention, a kind of lithographic equipment group (cluster) is provided, comprise photoetching exposure device, can be in substrate the etching chamber of etching mask layer, with the control device that is used to control photoetching exposure device, wherein control device is arranged to the method according to this invention and carries out described first etching and second etching.
Description of drawings
Only by the mode of example, describe each embodiment of the present invention with reference to the synoptic diagram of enclosing now, corresponding reference marker is represented corresponding parts in the accompanying drawing, wherein:
Fig. 1 shows the substrate with destination layer and two sacrificial mask layers of preparing to be used for the inventive method;
Fig. 2 shows the intensive line pattern that will surpass photoetching resolution resolve into two and half intensive line patterns, and each half intensive line pattern is all in the photoetching resolution scope;
Fig. 3 shows the process flow diagram according to double-exposure method of the present invention;
Fig. 4 shows according to the present invention the result of etching step among Fig. 3;
Fig. 5 shows lithographic equipment group according to an embodiment of the invention; And
Fig. 6 shows lithographic equipment according to an embodiment of the invention.
Embodiment
As mentioned above, always exist to obtain the needs of littler optical resolution, and for using the positive corrosion-resisting agent track, its theoretical value is that 0.25 half-section is apart from lower limit k 1Very big benefit will be provided.If there is not this possibility, in order to obtain to be lower than the resolution of this limit, common effort is the research of being absorbed in expensive technique, and this technology adopts shorter wavelength and/or bigger numerical aperture.
Describe in detail more as following, the multiple exposure technique of the preselected combination by implementing to have a plurality of hard mask layers, the present invention can obtain to be lower than half-section, and (half-section is apart from p apart from the resolution of lower limit 0.5=k 1λ/NA is k wherein 1〉=0.25), surpasses k thus 1=0.25 restriction, thus hard mask layer is stacked according to the functional alternating sequence of etching stopping layer.Especially, the present invention can peculiarly use positive corrosion-resisting agent to replace negative tone resist to print those features, k thus 1<0.25.The example of this feature is intensive, half line intensive and that isolate, k thus 1<0.25.
In the manufacturing of integrated circuit (IC), can use photoetching exposure device, in this case patterning device for example mask (" reticle ") can produce circuit pattern corresponding to the individual course of IC.In photolithography, by making light beam cross reticle radiation laser beam is carried out composition, and use the optical projection system of lithographic equipment that it is projected in the target portion (for example comprising one or more tube cores) of substrate W such as silicon wafer, described substrate is coated with resist layer (for example photoresist) material of photoactivation, thus the pattern that imaging is expected in resist.Usually, single wafer W will comprise the once whole grid of the adjacent target portion of radiation in succession of the system of being projected.
According to the present invention, as shown in Figure 1, provide a substrate W, a plurality of IC layers that it was handled before having, described layer provides in the following order: destination layer TL, the first sacrificial hardmask layers S1 and the second sacrificial hardmask layers S2.The piling up of hard mask layer is called S2-S1-TL and piles up.
Be schematically shown as Fig. 2, desired pattern to be printed comprises one group of intensive line DL that arranges with pitch P/2.This group DL is resolved into the sub-pattern SDL1 and the SDL2 of two and half intensive lines, and each arranges with pitch P, and they can use etching system to carry out optics to resolve.Should be appreciated that feature is not limited to line or line part as shown in Figure 2.Desired character among the destination layer TL for example can be the array from the destination layer part of the outstanding arbitrary shape in the surface of substrate W, therefore the feature of pattern DL is arranged as the array element of arranging with pitch P/2, and wherein feature SDL1 and SDL2 arrange as each array element of arranging with pitch P.In one embodiment of the invention, the destination layer material partly comprises the feature of sub-pattern SDL1 and SDL2, and it is arranged among the pattern DL of expectation in staggered mode.
Fig. 3 and Fig. 4 illustrate in greater detail the different step of described method.Use positive corrosion-resisting agent, for example be suitable for and be that the DUV radiation that the ArF excimer laser of 193nm produces is used together by wavelength, referring to Fig. 4 A, the first Etching mask RM1 is provided on the second sacrificial hard layer of mask material S2, its first sub-pattern SDL1 with half intensive line is consistent, carry out first etching then, i.e. the hard mask S2 of etch sacrificial.This is by step 40 among Fig. 3 and 41 expressions.The first etched result is shown in Fig. 4 A, and wherein the part PS2 of layer of mask material S2 (and with pitch P layout) is outstanding from mask layer S1, and the part between the part PS2 of removal mask layer S2.
Then, as the step 42 among Fig. 3, remove first Etching mask, basad then second positive corrosion-resisting agent (it can be identical with the positive corrosion-resisting agent that uses before) that applies, as the step 43 among Fig. 3, the second sub-pattern SDL2 (developing by exposure and resist) that is used for according to half intensive line provides the second Etching mask RM2 on the first sacrificial hard mask S1 in the zone between the part PS2.Described step comprises that the location has the mask of the pattern consistent with sub-pattern SDL2, and the pattern that is provided at relatively on the second sacrificial hardmask layers S2 of the image of the mask pattern of projection is staggered thus.Remove first Etching mask and apply the result of the second Etching mask RM2 shown in Fig. 4 B.Subsequently, step 44 comprises and applies second etching, i.e. the etching first sacrificial hard mask S1 (be about to the feature PS2 among the second sacrificial hard mask S2 and the second Etching mask RM2 that applies as etching mask).Shown in Fig. 4 C, the part PS1 of the first mask layer S1 material is outstanding from destination layer TL, and on the destination layer consistent, arrange with pitch P with sub-pattern SDL2, by the etch-resistance of the relative etching first mask layer S1 of part PS2 that obtains before, can obtain the part PS1 ' of the similar first mask layer S1.According to one embodiment of present invention, provide a kind of hard mask as the second etched result according to desired pattern DL composition, comprise and staggered sub-pattern SDL1 and the consistent feature that is staggered and prominent of SDL2, the wall that wherein limits two spaces between the adjacent feature is formed by a side of the adjacent prominent feature of side of the prominent feature of sub-pattern SDL1 and pattern SDL2, described prominent feature comprises the mask layer part PS1 ' and the PS2 that pile up with common side-wall CS, and described adjacent prominent feature comprises mask layer part PS2.Should be appreciated that, one embodiment of the present of invention be characterised in that the processing stage sub-pattern of two staggered identical " tones ", i.e. two patterns that sub-patterns are outstanding material characteristics are provided.The removal of the second Etching mask RM2 is corresponding to the step 45 among Fig. 3 subsequently.
The result, can realize the transmission of the first and second sub-pattern images, thereby the hard mask of feature is provided, and described hard mask is outstanding from the upper surface of destination layer TL in Fig. 4 C, and corresponding to the combination pattern DL of the expectation of the first sacrificial hardmask layers S1 (with on destination layer TL).
Referring to the step 46 among Fig. 3, final pattern transmission can be in integrated etching chamber before the part transmitted of pattern, it can be that etching target layer TL obtains by applying the 3rd etching, wherein the prominent feature among Fig. 4 C is as etching mask.Behind the residual fraction of removing layer of hard mask material S1 and S2, can obtain in destination layer pattern DL from the outstanding feature FTL of substrate W.Fig. 4 D shows this result-feature FTL and arranges with the pitch of P/2 according to desired pattern DL.
Can reference be in each photolithographic exposure is handled, to provide Etching mask, the described Etching mask that provides is included in after the photic resist layer coated substrates, by on the reticle concordant with the sub-pattern of corresponding selection to the radiation laser beam composition with make this radiation laser beam imaging, with the target area exposure that makes substrate W one of among sub-pattern SDL1 and the SDL2, thereby etching system produces corresponding sub-pattern image on the photoresist layer.Be that the resist of exposure is developed after this step of exposure, so that produce and the consistent corresponding Etching mask of selecting of sub-pattern.Provide Etching mask can also be included in before the resist layer exposure and/or various processing afterwards.For example, pre-exposure is handled and is comprised cleaning, bottoming and soft baking processing.After exposure, wafer substrates is handled, for example post exposure bake (PEB) and curing firmly through different post-exposure.Mention " Etching mask is provided " in the application and claim, except carrying out exposure, described providing comprises suitable photoetching pre-exposure or the post-exposure processes step of execution one or many.In addition, any photoresist layer can comprise the bottom antireflective coating bottom antireflective coating that maybe can develop, so that reduce the backreflection of exposing radiation.
One aspect of the present invention is a kind of method intensive and half intensive line that is suitable for printing, because this method comprises the use positive corrosion-resisting agent, it is used for pattern SDL1 and SDL2 are delivered to destination layer TL.The imaging processing that is used for track has best in quality when imaging concealed wire under bright background.Use this best in quality of the present invention to use positive resist to obtain by utilizing photoetching treatment, described positive resist is used to print the line feature of Etching mask RM1 and RM2, and it is not dissolved that unexposed thus positive resist keeps during the development of resist.One aspect of the present invention is a track, rather than printing space.Alignment error between the double exposure can not influence the printing live width that obtains, and still common space less than critical space for example can influence characteristics of transistor.Positioning error between sub-pattern SDL1 and the SDL2 is the printing CD that the overlapping consistance between the double exposure handled of double-exposure can not influence the line that obtains.This positioning error can be the order of magnitude of the positioning error that takes place during mask writes processing.
The photoetching exposure device that is used for track generally includes and is configured to the base station (for example wafer station) that supports the supporting construction of patterning device (for example mask) and be configured to keep substrate.Photoetching exposure device can be such one type, and it has two (two platforms) or a plurality of base station (and/or two or more mask platform).In this " many " device, can walk abreast and use these additional stations, perhaps can on one or more platform, carry out preparation process, and one or more other be used for exposure.Can monitor residual positioning error during the electron beam recording of the mask of pattern SDL1 and SDL2, and in two platform lithographic projection system, when using with a kind of operator scheme, two stage apparatus can compensate these residual errors, scanister " remembers " to be used for the chuck of exposure for the first time thus, and is exclusively used in same chuck for exposure for the second time.This operator scheme is called the sucker dedicated mode hereinafter.As a result, in the sucker dedicated mode, it will be identical for exposing with the second time for the first time that wafer stepping grid clamps the distortion marking that causes with wafer station.In addition, between two masks the pattern positioning error of low level electron beam mask register for example linear deflection and fault in enlargement can be by using alignment compensation to compensate in scanister to wafer station and reticle.In the sucker dedicated mode, the overlapping characteristic of using this double-exposure to handle can be comparable to or be even better than the bearing accuracy of mask writer.Another aspect of the present invention is the positive resist by the line that is used for printed patterns SDL1 and SDL2 in photoetching treatment, and the error of any aliasing error of the relative pattern that forms on substrate W before of pattern SDL1 and SDL2 and the pattern DL that therefore obtains is identical with the error of using single exposure processing acquisition.The alignment precision of the object of reference on the relative substrate W of pattern DL that in other words, obtains in destination layer TL is directly corresponding to the alignment precision that obtains in single exposure is handled.
Because the photoresist layer is to developing and/or the response of exposure any non-linear, the spatial Fourier transform of Etching mask (corresponding to sub-pattern SDL1 and SDL2 and as obtained by the development of resist) comprises the higher spatial frequency of spatial Fourier transform than the intensity pattern of the image of sub-pattern SDL1 and SDL2.Etching mask and etching step can be fixed on the sub-pattern image in each hard mask layer, thereby prevent to crosstalk or corresponding to the combination of two sub-pattern images of pattern SDL1 and SDL2.Therefore, when being delivered to destination layer the spatial Fourier transform of combination pattern DL also comprise than corresponding to half-section apart from p 0.5=k 1λ/NA (k 1〉=0.25) spatial frequency that inverse is higher, it can surpass k 1=0.25 restriction.
For the first time and for the second time between the exposure and after the exposure second time in the double-exposure photoetching treatment of routine the etching and the cleaning of required destination layer be necessary in the lithographic equipment group, to provide one or more etching chambers.Here the lithographic equipment group is one group and comprises etching chamber, lithographic equipment and the coating/developing apparatus that is connected with lithographic equipment usually.For big geometry, use wet etching equipment usually, but be critical geometry, the suitable reactive ion etching that is to use for the order of magnitude of the spatial resolution limit of photoetching treatment.Reactive ion etching is also referred to as RIE, and it comprises that pressure limit for example is the low pressure processing of 100 millitorrs-10 millitorr.The advantage of RIE is that it is an etch processes anisotropic, direct selection, and wet etching is isotropic etch processes (causing feature to be sunk).
According to an aspect of the present invention, make S2-S1-TL pile up twice etching of process (at least), can use the RIE technology thus at every turn.Etch sequence is described below.
Hereinafter, the material of the first sacrificial mask layer S1 can be called the S1-material, and similarly, the material of the second sacrificial mask layer S2 and destination layer TL can be called S2-material and TL-material.
In first etching, etching S2-S1-TL piles up, and makes:
Optionally remove the S2-material (as directly etching away) of the second sacrificial hard mask around the result of the S2-material of the first Etching mask RM1
On neutralizing the first sacrificial mask layer S1, the second sacrificial mask layer S1 form first hard mask pattern consistent with the SDL1 pattern.The S1-material of the first sacrificial mask layer is as etching stopping layer.
The feature of first hard mask pattern is made of the S2 material after removing the first Etching mask RM1.
The hard mask layer that piles up in the zone that first etching is stopped by the S1 material is called S1-TL and piles up.
In second etching, etching S1-TL piles up, and makes:
Optionally remove the S1-material (as directly etching away the result who centers on second Etching mask and center on the S1-material of first hard mask pattern) of the first sacrificial mask layer
In the first sacrificial mask layer S1 with on the destination layer TL, form second hard mask pattern consistent with combination pattern DL.The S2-material of the second sacrificial mask layer and TL material are as etching stopping layer.
Remove after second Etching mask, the feature of second hard mask pattern comprises:
The feature of forming by the S2-material that piles up consistent and S1-material (as etching away) around the result of the S1 material of the feature of first hard mask pattern with the SDL1 pattern and
The feature of forming by the S1 material consistent (as the result who directly etches away around the S1 material of the second Etching mask RM2) with the SDL2 pattern.
In the present embodiment, first and second etchings have mutual repulsion (perhaps repelling mutually at least substantially) selectivity: etch sequence is:
Etching S2-material optionally, simultaneously the S1-material as etching stopping layer (first etching) and
Etching S1-material optionally, the S2-material is as etching stopping layer (second etching) simultaneously.
According to an aspect of the present invention, the material of destination layer TL can be identical with the material of the second sacrificial mask layer S2.Therefore, during the 3rd etching, destination layer can be etched into feasible:
Optionally remove the S2-material (as directly etching away) of destination layer TL around the result of the S2-material of second hard mask pattern,
In destination layer TL with on the substrate W, form the final hard mask pattern consistent with intensive line pattern DL.The surfacing of substrate W is as etching stopping layer.
The feature of final hard mask pattern is made up of the S2-material.
Therefore, in this embodiment, etch sequence is:
Etching S2-material optionally, the S1-material is as etching stopping layer (first etching) simultaneously,
Etching S1-material optionally, the S2-material is as etching stopping layer (second etching) simultaneously, at last etching S material (final etch) once more optionally.
Therefore, required etching selectivity be to the selectivity of S1 material and between the selectivity of S2 material alternately.
Among any one embodiment, the S2-material for example is a nitride in the above, and the S1-material for example is an oxide.For example the S2-material can be chemical vapour deposition (PECVD) silicon nitride (Si that plasma strengthens 3N 4), the S1-material can be PECVD silicon dioxide (SiO 2).Yet, for the sacrificial hard mask, the invention is not restricted to use these materials.Replacedly, among any one embodiment, S1-and S2-material can exchange mutually in the above: the S2-material is PECVD silicon dioxide (SiO 2), and the S1-material is PECVD silicon nitride (Si 3N 4).
According to another aspect of the present invention, destination layer TL can be IC layer (a for example doping polysilicon layer).
According to an aspect of the present invention, can use three times or multiexposure, multiple exposure is combined into the final pattern that offers destination layer with three or more corresponding sub-pattern, it is similar to above-described double-exposure method.Provide the method for multiple exposures with multiple of described second hard mask layer also to comprise as mentioned above thus one or more additional hard mask layers are provided, has the additional etching of one or many of the respective sequence of described one or more additional hardmask layers before described thus first etching, and the one or more additional sub-pattern that this method can be used in first sub-pattern, second sub-pattern and respective sets is delivered to destination layer, thereby all sub-patterns all are positioned at staggered position to form the combination pattern of expectation.For example, when the desired pattern that is delivered to destination layer is divided into first, second and the 3rd sub-pattern, the second hard mask (as describing among the double-exposure embodiment in the above) also has the 3rd hard mask.By exposure for the first time, the 3rd hard mask has first Etching mask consistent with first sub-pattern, and with after-applied first etching, i.e. etching the 3rd sacrificial hard mask (using first Etching mask) is so that be delivered to the 3rd hard mask with first sub-pattern.Then, remove first Etching mask from the 3rd hard mask, basadly apply positive corrosion-resisting agent and make its exposure (for the second time exposure), be used on the second sacrificial hard mask, providing second Etching mask consistent then with second sub-pattern, with after-applied second etching, i.e. the etching second sacrificial hard mask (using second Etching mask).At last, remove second Etching mask from the second hard mask, the basad positive corrosion-resisting agent that applies, expose for the third time so that three Etching mask consistent with the 3rd sub-pattern to be provided on the first sacrificial hard mask by applying then, with after-applied the 3rd etching, i.e. the etching first sacrificial hard mask (using the 3rd Etching mask).Be similar to double-exposure embodiment, the first sacrificial hard mask can transmit the combination pattern of expectation.
Described in the above among the embodiment of double-exposure method, term " first etching " is used to describe the etching of the second hard mask, and " second etching " is used to describe the etching of the first hard mask.In the claim of enclosing, keep this term.For the embodiment of three exposures, in order to keep this term, the etch table of the 3rd hard mask is shown in " first etching " etching before of the second hard mask.
In addition, be similar to the embodiment of double-exposure, etch sequence is optionally etching the 3rd a sacrificial hard mask, the material of the second sacrificial hard mask is as etching stopping layer simultaneously, the etching second hard mask optionally subsequently, simultaneously the material of the first hard mask is as etching stopping layer, the etching first hard mask optionally at last, and the material of while destination layer is used as etching stopping layer.
According to an aspect of the present invention, described one or more additional etching and described first and second etchings have selectivity alternately, that repel mutually.
As mentioned above, be suitable for handling the lithographic equipment group of using together and generally include a plurality of etching chambers with conventional double-exposure.According to an aspect of the present invention, as shown in Figure 5, lithographic equipment group 60 is except comprising photoetching exposure device 61, also comprising can etch sacrificial mask layer S1 and the etching chamber 62 of S2, and the control device 63 that is used to control photoetching exposure device and etching chamber, wherein control device is arranged to carry out first and second etchings (referring to the treatment step among Fig. 3 41 and 44) according to above-described embodiment in single etching chamber.Use single etching chamber 63 to alleviate for these treatment steps and be necessary for the problem that each independent etching step provided and controlled a plurality of etching chambers.Etching chamber can be the part of wafer coating developing apparatus 64, and control device can be the part of photoetching exposure device 61 or wafer coating developing apparatus 64.Etching chamber is connected with photoetching exposure device in the present embodiment with the wafer coating developing apparatus, and control device and photoetching exposure device and etching chamber link, shown in four-headed arrow among Fig. 5.
Can be implemented in the optionally etching continuously that has mutual repulsion in the single etching chamber by the use dry etching chamber, etching chamber for example is arranged to be used to carry out reactive ion etching.Use dielectric plasma to handle and to obtain high etch-selectivity alternately (for example replacing) to the selectivity of oxide with between to the selectivity of nitride.According to an aspect of the present invention, the high density plasma reactors of single induction coupling can be used for etch hard mask for example mask layer S1 and S2 and/or destination layer TL.By the gas composition in the etching chamber is changed, the plasma reactor of a type can obtain the variation of etching selectivity after utilizing.According to an aspect of the present invention, the lithographic equipment group comprises a plurality of dry etching chambers, and each dry etching chamber can switch etching selectivity in this way, thereby the substrate of processing of enough output is provided.
Fig. 6 schematically shows photoetching exposure device according to an embodiment of the invention.This device comprises:
Illuminator (luminaire) IL, it is configured to regulate radiation laser beam B and (for example produces UV radiation or DUV radiation by the excimer laser in 193nm or 157nm operation, or by 13, the EUV radiation that the laser discharge plasma source of 6nm operation produces.
Supporting construction (for example mask platform) MT, it is configured to support patterning device (for example mask) MA, and is connected with the first locating device PM that is configured to accurately to locate according to some parameter patterning device; With
Base station (for example wafer station) WT, it is configured to keep substrate (for example applying the wafer of resist) W, and is connected with the second locating device PW that is configured to accurately to locate according to some parameter substrate; And
Optical projection system (for example refraction projection lens combination) PS, it is configured to and will utilizes patterning device MA to give graphic pattern projection to radiation laser beam B to the C of target portion (for example comprising one or more tube cores) of substrate W.
Illuminator can comprise various types of opticses, for example comprise be used to guide, the optics of refractive optical components, reflection optics, magneto-optical parts, electromagnetism optics, electrostatic optics parts or other type of shaping or control radiation, perhaps its combination in any.
Supporting construction can be framework or worktable, for example described structure can be as required fix or movably.Supporting construction can guarantee that patterning device for example is positioned at the position of expectation with respect to optical projection system.The use of any here term " reticle " or " mask " can be thought and more common term " patterning device " synonym.
Term used herein " patterning device " thus should broadly be interpreted as giving radiation laser beam give any device that forms pattern in the target portion of pattern in substrate in its cross section.Should be noted that give to the pattern of radiation laser beam can be not with base target portion in the desired pattern inregister, if for example this pattern comprises phase shift feature or so-called supplemental characteristic.Usually, give to the pattern of radiation laser beam corresponding with the specific function layer of device that in target portion, forms such as integrated circuit.Patterning device can be transmission or the reflection.
Term used herein " optical projection system " should broadly be interpreted as comprising various types of optical projection systems, comprise dioptric system, reflective optics, catadioptric optical systern, or its any combination, as be suitable for used exposing radiation, perhaps be suitable for other aspects, as the use of immersion liquid or the use of vacuum.The use of any here term " projection lens " can be thought and more common term " optical projection system " synonym.
Go out as referred to here, this device can be transmission-type (for example adopting transmission mask).Perhaps, this device is reflection-type (for example adopting reflection mask).
Lithographic equipment can have two (two platforms) or a plurality of base station (and/or two or more mask platform).In this " how desk-top " device, can walk abreast and use these additional stations, perhaps can on one or more platform, carry out preparation process, and one or more other be used for exposure.
Lithographic equipment can also be such one type, and wherein at least a portion substrate is covered by liquid with high relatively refractive index such as water, thereby fills the space between optical projection system and the substrate.Immersion liquid also can be applied to other spaces in the lithographic equipment, for example is applied between mask and the optical projection system.Immersion technique is known in the art, is used to increase the numerical aperture of optical projection system.Term used herein " submergence " does not represent that structure such as substrate must be immersed in the liquid, but express liquid between exposure period between optical projection system and substrate.
With reference to figure 6, luminaire IL receives the radiation laser beam from radiation source S O.Radiation source and lithographic equipment can be mechanisms independently, for example when radiation source is excimer laser.In this case, do not think that radiation source has constituted the part of lithographic equipment, radiation laser beam is transferred to luminaire IL by means of beam delivery system BD from source SO, and described beam delivery system comprises for example suitable directional mirror and/or beam expander.In other cases, radiation source can be the ingredient of lithographic equipment, for example when the source is mercury lamp.Source SO and luminaire IL can be called radiating system together with beam delivery system BD if desired.
Luminaire IL can comprise regulating device AD, is used to regulate the angle intensity distributions of radiation laser beam.Usually, the outer and/or interior radially scope that can be adjusted in intensity distributions on the luminaire pupil plane at least (is called σ-outer and σ-Nei) usually.In addition, luminaire IL can comprise various other parts, as integrator IN and condenser CO.Luminaire can be used to regulate radiation laser beam, thereby makes this light beam have the uniformity coefficient and the intensity distributions of expectation on its xsect.
Radiation laser beam B incides on the patterning device (as mask MA) that remains on the supporting construction (as mask table MT), and carries out composition by patterning device.After being horizontally through mask MA, radiation laser beam B is by optical projection system PS, and this optical projection system focuses on light beam on the C of target portion of substrate W.The second locating device PW and position transducer IF (for example interferometric measuring means, linear encoder or capacitive transducer) auxiliary down, can accurately mobile base station WT, thus the different C of target portion in location in the light path of radiation laser beam B for example.Similarly, for example after machinery takes out mask MA from the mask storehouse or in scan period, can use the first locating device PM and another position transducer IF (in Fig. 6, clearly not illustrating) that mask MA is accurately located with respect to the light path of radiation laser beam B.Usually, by means of long stroke module (coarse localization) and short stroke module (accurately location), can realize moving of mask table MT, wherein long stroke module and short stroke module constitute the part of the first locating device PM.Similarly, utilize long stroke module and short stroke module also can realize moving of base station WT, wherein long stroke module and short stroke module constitute the part of the second locating device PW.Under the situation of ledex (relative with scanister), mask table MT can only be connected with the short stroke actuating device, and is perhaps fixing.Can use mask alignment mark M1, M2 and substrate alignment mark P1, P2 alignment mask MA and substrate W.Although the substrate alignment mark that goes out has as shown occupied the target portion of appointment, they also can be arranged in the space between each target portion (these marks are known line alignment marks).Similarly, providing on the mask MA, the mask alignment mark can be set between each tube core therein above under the situation of a tube core.
Shown device can be according at least a use in the following surface model:
1. in step mode, mask table MT and base station WT keep motionless substantially, and the whole pattern of giving radiation laser beam is once projected to the C of target portion and goes up (being single static exposure).Move base station WT along X and/or Y direction then, the feasible C of target portion that can expose different.In step mode, the full-size of exposure field has limited the size of the C of target portion of imaging in single static exposure.
2. in scan pattern, when the pattern of giving radiation laser beam is projected to the C of target portion, synchronous scanning mask table MT and base station WT (being single dynamic exposure).Base station WT determines by amplification (dwindling) and the image inversion characteristic of optical projection system PS with respect to the speed and the direction of mask table MT.In scan pattern, the full-size of exposure field has limited at the hit width (along non-direction of scanning) of portion of single dynamic exposure, and the length of scanning motion has been determined the height (along the direction of scanning) of target portion.
3. in other patterns, mask table MT keeps fixedly supporting patterning device able to programme substantially, when the pattern of giving radiation laser beam is projected on the C of target portion, moves simultaneously or scanning base station WT.In this pattern, generally adopt impulse radiation source, and after each mobile base station WT, perhaps between scan period two pulses of radiation in succession, upgrade patterning device able to programme as required.This operator scheme can easily be applied to adopt in the maskless lithography of patterning device able to programme, and described patterning device able to programme for example is an array of programmable mirrors type above-mentioned.
Can also adopt the combination and/or the variation of above-mentioned use pattern, perhaps adopt diverse use pattern.
According to an aspect of the present invention, the lithographic equipment group as photoetching exposure device comprises the photoetching interference device.In this device, resist layer is exposed to the candy strip that obtains in the multiple-beam interference instrument apparatus.For example, the UV of two calibrations or DUV radiation laser beam be each other with an angle of intersection, thereby form linear interference fringe.Wafer orientation with photoresist layer is on worktable movably.Worktable is arranged to two dimension rotation and translation respectively.Provide by any suitable known source two substantially the coherent light beams of calibration from the normal vector of wafer towards forming a variable angle each other and towards resist layer, thereby on photographic layer, form interference figure.The interference radiation laser beam of coherent radiation for example can use beam splitting element to be produced by the ArF excimer laser, and can any suitable known way providing makes them from identical source, with and intensity on wafer substantially the same, can guarantee the exposure of high contrast like this.
The interference figure that forms on one or more layers photoresist layer can be by for example making the wafer rotation and/or the wafer translation being changed.
Control device according to the present invention comprises the memory of data that stores about sub-pattern such as sub-pattern SDL1 and SDL2, described data are used for controlling photoetching exposure device (the relevant position of platform MT and WT for example is set, and/or relevant light illumination mode is set) being used for producing between each exposure period of combination pattern DL.Same storer can be used to store the data (for example pressure, gaseous mixture composition and temperature) about the setting of each etching chamber, and described etching chamber is used for the hard mask layer S1 and the S2 of etch sacrificial.Computing machine can be used as the part of control device, and it can programme and be arranged to carry out according to any one method step of the present invention, for example the step 40-46 among Fig. 3 according to the data that are stored in the storer.The execution of step is included on the described computing machine and moves computer program, described computer program comprise one or more sequences description as the sequence of machine-readable instructions of top disclosed method.Computing machine comprises the machine readable medium (for example semiconductor memory, disk or CD) that wherein stores this computer program.
According to an aspect of the present invention, using machine-executable instruction that machine readable medium is encoded is used for according to following steps the substrate composition.First and second hard mask layer S1 and the S2 that substrate has destination layer TL and piles up provide this substrate to the lithographic equipment group, and described lithographic equipment group comprises the substrate handler of substrate feed to photoetching exposure device.First hard mask layer and second hard mask layer have the etch-resistance of mutual repulsion.Then discern the etch-resistance of second and first hard mask layer and the etch-resistance of destination layer, for example by reading the relevant data for the treatment of exposed substrate that the user provides.Subsequently, the lithographic equipment group is controlled to by the composition of first photoetching treatment execution to second hard mask layer, described first photoetching treatment comprises that the resist layer to being provided on second hard mask layer carries out the exposure first time with first sub-pattern, and according to the etch-resistance of described identification, determine and provide gaseous mixture to etching chamber, described gaseous mixture is suitable for being used for second hard mask layer is carried out dry ecthing, uses first hard mask layer as etching stopping layer simultaneously.Determine the selection of gaseous mixture, for example by from the gaseous mixture of given group of priori, selecting.Then use described definite gaseous mixture that described second hard mask layer is applied described dry ecthing, second hard mask layer is carried out composition.
The order of repeating said steps, so that first hard mask layer is carried out composition by second photoetching treatment, described second photoetching treatment comprises carries out the exposure second time to establishing for the resist layer on first hard mask layer with second sub-pattern, determine to be used for first hard mask layer is carried out second gaseous mixture of dry ecthing according to the resist of described identification, use destination layer as etching stopping layer simultaneously, use the described second definite gaseous mixture that described first hard mask layer is applied described dry ecthing (step 706 among Fig. 7) then.Between second time exposure period, relative first sub-pattern of second sub-pattern (i.e. the image of first sub-pattern) is in position exposure arranged side by side, so that the hard mask of composition is provided according to the desired pattern shown in Fig. 4 C.
Although in this application can be specifically with reference to using this lithographic equipment to make IC, but should be appreciated that lithographic equipment described herein may have other application, for example, it can be used for the guiding of making integrated optics system, being used for magnetic domain memory and check pattern, flat-panel monitor, LCD (LCD), thin-film head or the like.It will be apparent to one skilled in the art that in this interchangeable purposes scope, the use of any here term " wafer " or " tube core " should think respectively can with more common term " substrate " or " target portion " synonym.Before or after exposure, can in for example being coated with developing apparatus (a kind of instrument that the common resist that resist layer is acted on substrate and will expose develops), metering outfit and/or the instruments of inspection, handle the substrate of mentioning here.In applicable place, disclosure herein can be applicable to this and other base treatment instruments.In addition, for example, can repeatedly handle, so the terminology used here substrate also can refer to comprise the substrate of a plurality of layers of having handled substrate in order to form multilayer IC.
Term used herein " radiation " and " light beam " comprise all types of electromagnetic radiation, comprise that ultraviolet (UV) radiation (for example has about 365,355,248,193,157 or the wavelength of 126nm) and extreme ultraviolet (EUV) radiation (for example having the wavelength in the 5-20nm scope), and the particle beams, for example ion beam or electron beam.
Any one various types of optics or its combination can be represented in term " lens " in this application, comprises refractive optical components, reflection optics, magneto-optical parts, electromagnetism optics and electrostatic optics parts.
Although specific embodiments of the invention described above should be appreciated that to be different from described enforcement the present invention.
Above description be in order to illustrate, rather than restriction.Therefore, it will be apparent to those skilled in the art that under the condition of the scope that does not break away from the claims that describe below, can carry out various modifications described invention.

Claims (23)

1. substrate comprises:
Be constructed and arranged to carry out the destination layer of photoetching composition with desired pattern; With
Hard mask layer to small part coverage goal layer piles up, described piling up comprises to first hard mask layer of small part coverage goal layer and covers second mask layer of first hard mask layer to small part, wherein first hard mask layer and second hard mask layer have the etch-resistance of mutual repulsion, wherein comprise oxide one of in first hard mask layer and second hard mask layer, and another hard mask layer comprises nitride.
2. substrate as claimed in claim 1, wherein the composition of the composition separately of first and second hard mask layers and destination layer is comprising oxide and is comprising between the nitride alternately.
3. the method for an exposure photo-etching substrate, described substrate has destination layer and piles up to the hard mask layer of small part coverage goal layer, described piling up comprises to first hard mask layer of small part coverage goal layer with to small part and covers second mask layer of first hard mask layer that described method comprises:
By first photoetching treatment first pattern is delivered to second hard mask layer, described first photoetching treatment comprises that described second hard mask layer of etching is to provide from outstanding corresponding first characteristic pattern of first hard mask layer; And
By second photoetching treatment second pattern is delivered on first hard mask layer intervening portion with first characteristic pattern, described second photoetching treatment comprises described first hard mask layer of etching providing from the first further outstanding characteristic pattern of the feature of destination layer, and provides from outstanding second characteristic pattern consistent with second pattern of destination layer.
4. method as claimed in claim 3, wherein the etching of first and second hard mask layers has the selectivity of mutual repulsion.
5. method as claimed in claim 4, wherein one of selectivity of repelling mutually is the nitride selectivity, another selectivity of repelling mutually is an oxide selectivity.
6. method as claimed in claim 3, wherein second hard mask layer is a silicon nitride layer, first hard mask layer is a silicon dioxide layer.
7. method as claimed in claim 3, wherein first hard mask layer is a silicon nitride layer, second hard mask layer is a silicon dioxide layer.
8. method as claimed in claim 3, wherein first and second photoetching treatment also comprise the basad positive corrosion-resisting agent that applies.
9. method as claimed in claim 3, wherein desired pattern comprises first sub-pattern and second sub-pattern, wherein
Transmission by first photoetching treatment comprise the image that makes resist be exposed to first sub-pattern and
Transmission by second photoetching treatment comprises the image that makes resist be exposed to second sub-pattern, thereby forms desired pattern in substrate, the position that the image of described second sub-pattern is interlocking with respect to the image layout of described first sub-pattern.
10. method as claimed in claim 9, wherein the transmission by first photoetching treatment comprises and makes positive corrosion-resisting agent be exposed to the first line pattern image, transmission by second photoetching treatment comprises makes positive corrosion-resisting agent be exposed to the second line pattern image, the described second line pattern image with respect to the described first line pattern image layout at suprabasil intervening portion.
11. method as claimed in claim 3, wherein the etching of first and second hard mask layers comprises the dielectric plasma processing.
12. method as claimed in claim 3 also comprises the etching of described destination layer, wherein first and second patterns of feature are substantially as etching stopping layer.
13. method as claimed in claim 12, wherein the etching of first and second hard mask layers and destination layer has selectivity alternately, that repel mutually.
14. device as claimed in claim 13, the selectivity that wherein replaces, repel mutually replaces between nitride selectivity and oxide selectivity.
15. method as claimed in claim 3, wherein second hard mask layer also comprises one or more additional hard mask layers, one or more additional being etched in before described first etching of the corresponding sequence of wherein said one or more additional hardmask layers.
16. method as claimed in claim 15, described one or more additional etchings and described first and second etchings have selectivity alternately, that repel mutually.
17. one kind is used machine-executable instruction to the substrate composition to its machine readable medium of encoding according to a kind of method, it comprises:
The etch-resistance of destination layer in the identification substrate, discern to the etch-resistance of first hard mask layer of small part coverage goal layer and discern the etch-resistance that covers second hard mask layer of first hard mask layer to small part, wherein first hard mask layer and second hard mask layer have the etch-resistance of mutual repulsion;
By first photoetching treatment first pattern is delivered to second hard mask layer, described first photoetching treatment comprises that the resist layer to being located on the second hard mask carries out the exposure first time,
According to the etch-resistance of described identification, be identified for the gaseous mixture of dry ecthing second hard mask layer, use first hard mask layer as etching stopping layer simultaneously,
Use described definite gaseous mixture to come described second hard mask layer of dry ecthing,
By second photoetching treatment second pattern that relative first pattern is positioned at intervening portion is delivered to first hard mask layer, described second photoetching treatment comprises that the resist layer to being located on the first hard mask carries out the exposure second time,
According to the etch-resistance of described identification, be identified for second gaseous mixture of dry ecthing first hard mask layer, use destination layer as etching stopping layer simultaneously,
Use the described second definite gaseous mixture to come described first hard mask layer of dry ecthing.
18. a lithographic equipment group, comprise photoetching exposure device, can the suprabasil mask layer of dry ecthing etching chamber and be configured to control the control device of photoetching exposure device and etching chamber, wherein control device comprises machine readable medium as claimed in claim 17.
19. lithographic equipment group as claimed in claim 18, etching chamber that wherein can dry ecthing is arranged to carry out reactive ion etching.
20. lithographic equipment group as claimed in claim 19, wherein reactive ion etching is that dielectric plasma is handled.
21. lithographic equipment group as claimed in claim 18, etching chamber that wherein can dry ecthing are the high density plasma reactors of induction coupling.
22. lithographic equipment group as claimed in claim 21, etching chamber that wherein can dry ecthing switches etching selectivity by the respective change that is included in the gas composition in the dry etching chamber.
23. lithographic equipment group as claimed in claim 18, wherein photoetching exposure device is one of lithographic apparatus and photoetching interference device.
CNA2006101063431A 2005-07-14 2006-07-14 Substrate, lithographic multiple exposure method, machine readable medium Pending CN1896869A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US69894305P 2005-07-14 2005-07-14
US60/698943 2005-07-14
US11/214049 2005-08-30

Publications (1)

Publication Number Publication Date
CN1896869A true CN1896869A (en) 2007-01-17

Family

ID=37609413

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2006101063431A Pending CN1896869A (en) 2005-07-14 2006-07-14 Substrate, lithographic multiple exposure method, machine readable medium

Country Status (1)

Country Link
CN (1) CN1896869A (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101971102A (en) * 2008-01-29 2011-02-09 布鲁尔科技公司 On-track process for patterning hardmask by multiple dark field exposures
CN101788760B (en) * 2009-01-23 2012-07-11 中芯国际集成电路制造(上海)有限公司 Optimization method of optical proximity correction rule
CN104756230A (en) * 2012-10-26 2015-07-01 应用材料公司 Combinatorial masking
CN107533285A (en) * 2014-12-22 2018-01-02 尤利塔股份公司 The method for printing coloured image
CN111880383A (en) * 2020-09-11 2020-11-03 华中科技大学 High-density photoetching pattern processing method
CN114859675A (en) * 2022-07-06 2022-08-05 上海传芯半导体有限公司 Exposure imaging structure, reflection type photomask set and projection type photoetching machine

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101971102A (en) * 2008-01-29 2011-02-09 布鲁尔科技公司 On-track process for patterning hardmask by multiple dark field exposures
CN101971102B (en) * 2008-01-29 2012-12-12 布鲁尔科技公司 On-track process for patterning hardmask by multiple dark field exposures
CN101788760B (en) * 2009-01-23 2012-07-11 中芯国际集成电路制造(上海)有限公司 Optimization method of optical proximity correction rule
CN104756230A (en) * 2012-10-26 2015-07-01 应用材料公司 Combinatorial masking
CN107533285A (en) * 2014-12-22 2018-01-02 尤利塔股份公司 The method for printing coloured image
CN107533285B (en) * 2014-12-22 2021-02-05 尤利塔股份公司 Method for printing color image
CN111880383A (en) * 2020-09-11 2020-11-03 华中科技大学 High-density photoetching pattern processing method
CN114859675A (en) * 2022-07-06 2022-08-05 上海传芯半导体有限公司 Exposure imaging structure, reflection type photomask set and projection type photoetching machine

Similar Documents

Publication Publication Date Title
JP4482543B2 (en) Lithographic substrate exposure method
CN1945444B (en) Method of patterning a positive tone resist layer overlaying a lithographic substrate
US7981595B2 (en) Reduced pitch multiple exposure process
CN1952790B (en) Substrate, method of exposing a substrate, machine readable medium
US7906270B2 (en) Reduced pitch multiple exposure process
TWI272661B (en) Liquid immersion exposure apparatus, method of controlling the same, and device manufacturing method
US20120147355A1 (en) Positioning System, Lithographic Apparatus and Device Manufacturing Method
US20070190762A1 (en) Device manufacturing method and computer program product
CN1890606A (en) Composite optical lithography method for patterning lines of significantly different widths
US20130017378A1 (en) Apparatus and Method for Providing Resist Alignment Marks in a Double Patterning Lithographic Process
JP2010041050A (en) Full wafer width scanning using step and scan system
CN1896869A (en) Substrate, lithographic multiple exposure method, machine readable medium
JP5068844B2 (en) Lithographic method and lithographic apparatus
TWI397788B (en) Actuator system, lithographic apparatus, and device manufacturing method
US20090123853A1 (en) Aligning apparatus, aligning method, exposure apparatus, exposure method, and device manufacturing method
CN101201554B (en) Lithographic device manufacturing method, lithographic cell
JP2018137484A (en) Method for manufacturing device
CN1987658A (en) Device manufacturing method and computer program product
CN1624589A (en) Method of preparing a substrate, method of measuring, device manufacturing method, lithographic apparatus, computer program and substrate
CN1932649A (en) Device manufacturing method, mask and device
KR20060006212A (en) Lithographic apparatus having means for preventing contaminants

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Open date: 20070117