CN1871556A - 具有对准标记的刻印平板印刷模板 - Google Patents

具有对准标记的刻印平板印刷模板 Download PDF

Info

Publication number
CN1871556A
CN1871556A CNA2004800314291A CN200480031429A CN1871556A CN 1871556 A CN1871556 A CN 1871556A CN A2004800314291 A CNA2004800314291 A CN A2004800314291A CN 200480031429 A CN200480031429 A CN 200480031429A CN 1871556 A CN1871556 A CN 1871556A
Authority
CN
China
Prior art keywords
mint
mark
mark template
template
alignment
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2004800314291A
Other languages
English (en)
Inventor
T·C·贝利
S·C·约翰逊
M·E·科尔伯恩
崔炳镇
B·J·史密斯
J·G·埃克尔特
C·G·威尔逊
S·V·斯里尼瓦桑
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
University of Texas System
Original Assignee
University of Texas System
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by University of Texas System filed Critical University of Texas System
Publication of CN1871556A publication Critical patent/CN1871556A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7073Alignment marks and their environment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • General Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Shaping Of Tube Ends By Bending Or Straightening (AREA)

Abstract

本发明的一个实施例是一种用于刻印平板印刷术的刻印模板,它包括埋设在刻印模板的主体材料中的诸对准标记。

Description

具有对准标记的刻印平板印刷模板
技术领域
本发明的一个或多个实施例总的涉及刻印平板印刷术。特别地,本发明的一个或多个实施例涉及具有对准标记的刻印平板印刷模板。
背景技术
现在有一种趋向显微制造的强劲趋势,即制造较小的结构以及使现有结构缩小尺寸。例如,显微制造通常包括制造微米或更小级别的结构。显微制造具有相当大影响力的一个领域是在微电子领域。特别地,对于给定的尺寸,相对于传统的电子装置来说,微电子结构尺寸缩小使这样的微电子结构更廉价、性能更高、动力消耗减少、且包含的元件更多。虽然显微制造广泛应用于电子行业中,但可以看到它也应用于其它的应用领域,比如生物技术、光学、机械***、传感装置以及反应器。
平板印刷术是显微制造中一个重要的技术或工艺,它用于制造半导体集成电子电路、集成光学、磁学、机械回路以及显微装置等。如众所周知的,平板印刷术是用来在承载于基片或晶片上的薄片上生成图案,从而在后面的工艺步骤中,可将该图案复制在基片上或者沉积于基片上的另一种材料上。在一个已有技术中,平版印刷技术用于制造集成电路,薄膜是指一保护层。根据这样一个已有技术的平板印刷技术,通过使一束漫泛光束通过一掩模或用一束聚焦光束扫描,使保护层暴露于一束电子、光子或离子中。该光束改变保护层的暴露区域的化学结构,从而当浸在显影剂中时,保护层的暴露区域或未暴露区域被去除,从而再现掩模或扫描的图案或其正面(obverse)。这种类型的平板印刷术的平板印刷分辨率通常受光束成分的波长、在保护层和基片中的散射以及保护层的特性的限制。
根据上述显微制造的趋势,在平板印刷工艺领域中有一种生产尺寸越来越小的图案的需求,并且还要求开发低成本技术以批量生产50nm以下的结构,因为这样的技术将对工程和科学中的许多领域产生很大的影响。不仅半导体集成电路的未来将受到影响,而且许多优于现有装置的革新的电子、光学、磁学、机械显微装置的商业化将有赖于这种技术的潜力。
已经开发了数种平板印刷技术来满足这一需求,但它们都有缺点,没有一个能以低成本大量生产50nm以下级别的平板印刷制品。例如,虽然电子束平板印刷术可有10nm级别的平板印刷分辨率,但由于串联电子束平板印刷工具固有的产出量较低,因此用它来生产50nm以下级别的结构从经济上来看是不可行的。X射线平板印刷术具有较高的产出量并具有50nm级别的平板印刷分辨率。然而,X射线平板印刷工具非常昂贵,而且它们批量生产50nm以下级别结构的能力还有待于考察。最后,基于扫描探测器的平板印刷技术可在十分薄的材料层上生产出了10nm以下级别的结构。然而,这样的平板印刷技术作为生产工具的实用性在当前还难以判断。
Chou等人在《微电子工程》(Microelectronic Engineering)35期(1997年)第237-240页中提出一种生产10nm尺寸的纳米级结构的刻印平板印刷技术。为了进行这样的刻印平板印刷工艺,用诸如离心铸造之类的任意合适的技术将一薄片层放置在一基片或晶片上。接下来,形成具有主体和模制层的一模具或刻印模板,该模板包括多个具有所需的形状的特征部分。根据这样的刻印平板印刷的典型工艺,使用电子束平板印刷、活性离子蚀刻(RIE)和/或其它适合的方法在模具或刻印模板上印上包括柱形、孔和沟槽的特征部分。总体来说,所选择的模具或刻印模板比置于基片或晶片上的软化薄片要硬,且该模板可由金属、电介质、半导体、陶瓷或它们的组合制成。例如(但非限定),模具或刻印模板可由一层在硅模板上的二氧化硅及其它的特征部分所组成。
接下来,将模具或刻印模板压到基片或晶片上的薄片层中以形成受压缩的区域。根据一个这样的工艺,并没有将特征部分完全压在薄片中,因此没有与基片接触。根据另一个此类工艺,薄片的顶部可接触模具或刻印模板的受压表面。可通过例如(但不限于)将薄片暴露在辐射中来固定它。然后,拿开模具或刻印模板,留下在薄片的压缩区域中形成的多个凹部,这些凹部通常与模具或刻印模板的特征部分的形状相一致。接下来,薄片可经历将薄片的受压缩部分去除以使基片暴露出来的工艺步骤。这一去除薄片的工艺步骤可使用任何适当的工艺来完成,例如(但不限于)活性离子蚀刻、化学湿式蚀刻等。结果,在基片的表面上形成具有凹部的隔墙,这些凹部形成与模具或刻印模板的特征部分的形状相一致的浮雕部分。
根据这样的典型刻印平板印刷工艺,薄片层可包括热塑性聚合物。对于这样的一个例子,在加压模制步骤中,可将薄片加热到一温度,以使薄片相对于模具或刻印模板足够软化。例如,在玻璃相变温度以上,聚合体可具有较低的粘度并可流动,从而可与模具或刻印模板的特征部分一致。根据一个这样的例子,薄片是离心铸造在硅晶片上的聚甲基丙烯酸甲酯(PMMA)。出于几个原因,PMMA是有用的。首先,PMMA由于其亲水性表面而不会很好地粘附于SiO2模具上,而较好的模具或刻印模板脱离性能对于制造纳米级结构来说是很重要的。其次,在较大的温度和压力变化的情况下,PMMA的收缩小于0.5%。最后,在将模具或刻印模板移开之后,可用氧等离子体去除受压缩区域中的PMMA,使下层的硅基片暴露出来,并将模具上的图案复制到PMMA的整个厚度上。这样的工艺在美国专利5,772,905中有所揭示,该专利结合于此作为参照。
根据另一个刻印平板印刷技术,一转印层置于一基片或晶片上,转印层上覆盖有可聚合的流体合成物。随后,该可聚合的流体合成物由其上形成有浮雕结构的模具或刻印模板接触,从而可聚合的流体合成物填充模具或刻印模板中的浮雕结构。然后,可聚合的流体合成物聚合并由该合成物在转印层上形成固化的聚合材料。例如,可聚合的流体合成物可变成化学交联或固化,从而形成热固材料(即固体聚合材料)。然后将模具或刻印模板从固体聚合材料上分离下来,以使模具或刻印模板中的浮雕结构的复制品暴露在固体聚合材料中。然后处理转印层和固体聚合材料,从而相对于固体聚合材料选择性地蚀刻转印层。结果,在转印层上形成浮雕图像。其上置有转印层的基片或晶片可包括多种不同的材料,例如(但非限定):硅、塑料、砷化镓、碲化汞、以及它们的合成物。转印层可由本领域已知的材料形成,例如(但不限于)热固聚合物、热塑性聚合物、聚环氧树脂、聚酰胺、聚氨酯、聚碳酸酯、聚酯、以及它们的组合物。此外,可将转印层制造成可形成粘附于固体聚合材料的连续、平滑、相对没有缺陷的表面。通常,可对转印层进行蚀刻以将图像从固体聚合材料转印到下层的基片或晶片上。聚合并成固体的可聚合流体合成物通常包括可聚合材料、稀释剂和其它用于可聚合流体中的材料,例如(但不限于)引发剂和其它材料。可聚合(或可交联)材料可包围着各种通常形式为聚合物的含硅材料。这样的含硅材料可包括例如(但不限于)硅烷、甲硅烷基醚、职能化硅氧烷、倍半硅氧烷(silsesquioxane)、以及它们的组合物。此外,这样的含硅材料可为有机硅。表现为可聚合的流体合成物的聚合物可包括各种活性侧基。侧基的离子包括例如(但不限于)环氧基、乙烯酮乙酰基、丙烯酸基、甲基丙烯酸基、以及上述基团的组合。模具或刻印模板可由各种传统的材料形成。通常,对材料进行选择以使模具或刻印模板透明,从而使模具或刻印模板所覆盖的可聚合的流体合成物暴露于外部射线源。例如,模具或刻印模板可包括例如(但不限于)石英、硅、有机聚合体、硅氧烯聚合体、硼硅酸玻璃、碳氟化合物聚合体、金属、以及上述材料的组合。最后,为了便于模具或刻印模板从固体聚合材料上松脱,可对模具或刻印模板施加表面调整剂。可采用的表面调整剂包括那些本领域中已知的试剂,表面调整剂的一个例子是碳氟化合物硅烷化试剂。这些表面调整剂或松脱材料可通过例如(但不限于)等离子源、诸如聚对二甲苯(paralene)的类似物之类的化学蒸汽沉积法(CVD)、或包括溶剂的处理方法。这样的工艺在美国专利6,334,960中有所揭示,该专利结合于此作为参照。
根据Chou等人在刊物《自然》2002年6月的第417期835-837页中的、题目为“在硅中超快速直接刻印纳米结构(Ultrafast and Direct Imprint ofNanostructure in Silicon)”的一文中所揭示的另一个刻印平板印刷术(称作激光辅助直接刻印(LADI)工艺),使基片的一个区域可以流动,例如(但不限于)通过用激光加热该区域使之液化。在该区域达到所希望的粘度时,将其上具有图案的模具或刻印模板接触该区域。可流动的区域与图案的轮廓一致,随后将该区域冷却,从而使图案固化在基片上。
总的来说,所有上述刻印技术采用分步重复工艺,在该工艺中模具或刻印模板上的图案被复制到基片上的多个区域中。这样,进行分步重复工艺需要使模具或刻印模板与这些区域每个都适当地对准。因此,模具或刻印模板通常包括与基片上的互补标记对准的对准标记。为了进行这一对准,一传感器连接于模具或刻印模板上的对准标记和基片上的标记,从而提供用来使模具或刻印模板沿基片移动的对准信号。
根据一个众所周知的对准方法,传感器可为光探测器,而模具或刻印模板和基片上的对准标记可为光对准标记,该标记生成波纹对准图案,从而可利用众所周知的波纹对准技术来相对于基片定位模具或刻印模板。这种波纹对准技术的例子由Nomura等人在《J.Vac.Sci.Technol》杂志B6(1)1988年的1月/2月号的第394页上的“用于混合和匹配平板印刷***的波纹对准技术(A Moire Alignment Technique for Mix and MatchLithographic System)”一文以及Hara等人在《J.Vac.Sci.Technol》杂志B7(6)1989年的11月/12月号的第1977页上的“使用衍射波纹信号的对准技术(An Alignment Technique Using Diffracted Moire Signal)”一文中有所描述。另外,根据另一个众所周知的对准方法,在模具或刻印模板和基片上的对准标记可包括电容板,从而使传感器检测到标记之间的电容。采用这样的技术,则通过在平面中移动模具或刻印模板以使模具或刻印模板和基片之间的电容最大来达到对准。
现在,将用于刻印平板印刷的对准标记蚀刻成模具或刻印模板的外形。由于这样的对准标记通常由与模具或刻印模板本身相同的材料所形成,因此这是有问题的。这样,由于模具或刻印模板的折射率与用来转印刻印图案(至少是用于制造公差的)的折射率基本相同,因此解决模具或刻印模板中的对准标记的能力严重受阻。
根据以上内容,需要一种可用于刻印平板印刷的对准标记,该标记使模具或刻印模板可靠地对准,还需要一种制造具有这样的对准标记的模具或刻印模板的方法。
发明内容
本发明的一个或多个实施例满足以上定义的本领域中的需要。尤其是,本发明的一个实施例是一种用于刻印平板印刷的刻印模板,该模板包括埋在刻印模板的主体材料中的对准标记。
附图说明
图1示出了用来进行图2A-2E所示的刻印平板印刷工艺的一种的刻印平板印刷***的示意图;
图2A-2E示出了进行一种刻印平板印刷工艺的步骤顺序;
图3A-3F示出了制造根据本发明的一个或多个实施例的刻印模板中的对准标记的步骤顺序;以及
图4示出了根据本发明的一个或多个实施例制造的刻印模板是如何使用的示意图。
具体实施方式
本发明的一个或多个实施例涉及一种用于刻印平板印刷的刻印模板或模具,它包括埋在刻印模板的主体材料之下的对准标记。此外,根据本发明中可用于光学对准技术的其它的一个或多个实施例,该对准标记由折射率与至少是围绕对准标记的刻印模板主体材料不同的材料制成。另外,根据本发明的另外的一个或多个实施例,对准标记由折射率与至少是围绕对准标记的刻印模板主体材料和制造用来进行刻印平板印刷工艺的刻印装置不同的材料制成。有利地,根据这样的实施例,折射率的不同是对准标记和周围材料之间的光反差加强,从而有助于光学对准技术的简化和可靠性。
图1示出了用于进行图2A-2E中所示的一种刻印平板印刷工艺的刻印平板印刷***,即刻印平板印刷***10。如图1所示,刻印平板印刷***10包括一对互相间隔开的桥式支架12,在它们之间延伸有一桥接件14和一平台支座16。如图1进一步所示,桥接件14和平台支座16互相间隔开,而一刻印头18与桥接件14联结并从桥接件14向平台支座16延伸。如图1进一步所示,一运动平台20位于平台支座16上,面对刻印头18,且运动平台20被构造成可相对于平台支座16沿X和Y轴线运动。如图1进一步所示的,辐射源22与桥接件14联结,而发电机23连接于辐射源22。辐射源22被构造成将例如(但不限于)紫外线的光化辐射照射在运动平台20上。
如图1进一步所示,结构30位于运动平台20上,而刻印模板40与刻印头18连接。如将在下面更详细列出的,刻印模板40包括由多个间隔开的凹部和突起形成的多个特征部分。多个特征部分形成要转印到置于运动平台20上的结构30上的原始图案。为此,刻印头18适于沿Z轴运动并改变刻印模板40和结构30之间的距离。这样,刻印模板40上的特征部分可刻印到结构30上的可流动区域中。辐射源22定位为使刻印模板40位于辐射源22和结构30之间。结果,刻印模板40可由使它对于来自辐射源22的输出基本可透过的材料制成。
图2A-2E示出了用例如(但不限于)图1所示的刻印平板印刷***10来进行刻印平板印刷的一种的步骤顺序。如图2A所示,结构30包括其上沉积有转印层20的基片或晶片10。根据本工艺的一个或多个实施例,转印层20可为聚合体转印层,它在基片10上设置有基本连续平整的表面。根据本发明的另外的一个或多个实施例,转印层20可为例如(但不限于)有机热固聚合体、热塑性聚合体、聚环氧树脂、聚酰胺、聚氨酯、聚碳酸酯、聚酯、以及它们的组合。如图2A进一步所示,刻印模板40在转印层20上方对准,从而在刻印模板40和转印层20之间形成间隙50。根据这一刻印平板印刷工艺的一个或多个实施例,刻印模板40可具有形成于其上的纳米级浮雕结构,该结构具有例如(但不限于)从大约0.1到大约10的长宽比。具体来说,刻印模板40中的浮雕结构具有例如(但不限于)从大约12nm到5000μm的宽度w1,而这些浮雕结构可互相分开距离d1,该距离的范围为例如(但不限于)从大约12nm到5000μm。另外,根据本刻印平板印刷工艺的一个或多个实施例,刻印模板40可包括例如(但不限于)金属、硅、石英、有机聚合体、硅氧烷聚合体、硅酸玻璃、碳氟化合物聚合体、以及它们的组合。此外,根据本刻印平板印刷工艺的一个或多个实施例,刻印模板40的表面可施加诸如碳氟化合物硅烷化试剂的表面调整剂以促进转印特征部分之后刻印模板40的松脱。此外,根据本刻印平板印刷工艺的一个或多个实施例,可采用例如(但不限于)等离子技术、化学蒸汽沉积技术、溶剂处理技术、以及它们的组合的技术来进行刻印模板的表面处理步骤。
如图2B所示,可聚合的流体合成物60接触转印层20和刻印模板40以填充它们之间的间隙。可聚合的流体合成物60可具有较低的粘度,从而可有效地填充间隙50,例如(但不限于)在25℃下从大约0.01cps到大约100cps的粘度。根据本刻印平板印刷工艺的一个或多个实施例,可聚合的流体合成物60可包括含硅材料,例如(但不限于)有机硅烷。另外,根据本刻印平板印刷工艺的一个或多个实施例,可聚合的流体合成物60可包括选自例如(但不限于)环氧基、乙烯酮乙酰基、丙烯酸基、甲基丙烯酸基、以及上述基团的组合的活性侧基。还可用任何已知的技术来形成可聚合的流体合成物60,例如(但不限于)美国专利5772905中所揭示的热压花工艺(该专利被结合于此作为参考),或者由Chou等人在《自然》杂志2002年6月的第417期835-837页中的“在硅中超快速直接刻印纳米结构(Ultrafast andDirect Imprint of Nanostructure in Silicon)”一文所描述的激光辅助直接刻印(LADI)工艺。另外,根据本刻印平板印刷工艺的一个或多个实施例,可聚合的流体合成物60可为位于转印层20上多个间隔开的分散珠状点。
接下来参见图2C,移动刻印模板40靠近转印层20以排出多余的可聚合的流体合成物60,从而使刻印模板40的边缘41a到41f接触转印层20。可聚合的流体合成物60具有完全填充刻印模板40中的凹部所必需的特性。然后将可聚合的流体合成物60暴露于可足够使流体聚合的环境中。例如,将可聚合的流体合成物60暴露于来自辐射源22的足够使流体合成物聚合并形成图2C所示的固体聚合材料70的辐射输出。如那些熟悉本领域技术的人员会知道的,本发明的实施例并不限于这样的聚合和设定流体合成物60的方法。事实上,在本发明的精神实质范围内,可以采用其它聚合可聚合的流体合成物60的方法,例如(但不限于)加热或其它形式的辐射。引发流体合成物60的聚合方法的选择对那些熟悉本领域技术的人员是已知的,且通常取决于所希望的具体应用范围。
如图2D所示,随后将刻印模板40抽回,将固体聚合材料70留在转印层20上。根据实际应用,通过改变刻印模板40和结构30之间的距离,固体聚合材料70中的特征部分可具有任意所需的高度。然后可选择性地相对于固体聚合材料70蚀刻转印层20,从而在转印层20中形成与刻印模板40中的图像对应的浮雕图像。根据本刻印平板印刷工艺的一个或多个实施例,转印层20相对于固体聚合材料70的蚀刻可选择性的范围为例如(但不限于)从大约1.5∶1到大约100∶1。另外,根据本刻印平板印刷工艺的一个或多个实施例,可通过使转印层20和固体聚合材料70经受例如(但不限于)氩离子流、含氧等离子、活性离子蚀刻气、含卤素气体、含二氧化硫气体、以及它们的组合的环境来进行选择性蚀刻。
最后,如图2E所示,在上述的工艺步骤之后,残留材料90可留在转印层20中的浮雕图像中的间隙中,这些残留材料90的形式可为:(1)可聚合的流体合成物60的一部分,(2)固体聚合材料70的一部分,或者(3)(1)和(2)的组合。这样,根据本刻印平板印刷工艺的一个或多个实施例,该工艺可进一步包括使残留材料90经受某些情况以去除残留材料90(例如清扫蚀刻)。可利用例如(但不限于)氩离子流、含氟等离子、活性离子蚀刻气、以及它们的组合的已知技术来进行清扫蚀刻。此外,应该知道,可在刻印平板印刷工艺中的任何阶段进行该步骤。例如,去除残留材料可以在使转印层20和固体聚合材料70经受相对于固体聚合材料70选择性蚀刻转印层20的步骤之前进行。
如那些熟悉本领域技术的人员应该知道的,结构30包括多个将通过分步重复工艺复制有刻印模板40的图案的区域。如已知的,适当地执行这一分步重复工艺包括将刻印模板40适当地对准多个区域的每一个。为此,刻印模板40包括对准标记,并且一个或多个结构30的区域包括对准标记或基准标记。通过确保刻印模板40上的对准标记与结构30上的对准或基准标记适当地对准,可确保刻印模板40与多个区域的每一个适当对准。为此,根据本刻印平板印刷工艺的一个或多个实施例,可采用机械视觉装置(未示出)来感应刻印模板40上的对准标记和结构30上的对准或基准标记之间的对准。这样的机械视觉装置可为那些熟悉本领域技术的人员已知的用于检测对准标记并提供对准信号的多种机械视觉装置中的任意一种。随后,利用对准信号,刻印平板印刷***10将以那些熟悉本领域技术的人员已知的方式相对于结构30移动刻印模板40,从而提供在公差的预定程度之内的对准。
根据本发明的一个或多个实施例,对准标记埋在刻印模板中。此外,根据本发明中可用于光学对准技术的一个或多个另外的实施例,对准标记由折射率不同于至少是对准标记周围的刻印模板的主体材料的材料制成。还有,根据本发明中可用于光学对准技术的一个或多个另外的实施例,对准标记由折射率与至少是对准标记周围的刻印模板的主体材料以及制造用来进行刻印平板印刷工艺的模板的刻印装置的材料不同的材料制成。再有,如以下将详细描述的,根据本发明中可用于利用辐射以使材料聚合、从而在基片上形成对准标记的一个或多个实施例,在刻印模板的表面和对准标记之间的距离足够大,从而使用于聚合材料的辐射分散在对准标记以及置于其下的聚合材料周围(即该距离足够大,从而使足够量的聚合辐射照射在表面下的区域中,以使置于其间的材料聚合)。对于具体应用场合中的合适的距离可由一个具有本领域一般技术的人员通过并不复杂的实验很容易地确定。另外,根据本发明的一个或多个另外的实施例,通过用与制造刻印模板的材料相同的材料覆盖对准标记可将对准标记埋在刻印模板中,从而确保同施加在刻印模板上的表面调整松脱层兼容。
有利的是,根据本发明的一个或多个实施例,对于用在使用辐射来固化制成刻印装置的材料的刻印技术工艺中的刻印模板,埋设对准标记使固化辐射可直接固化其下的材料。此外,即使对用于不使用辐射来固化材料的刻印技术工艺中的刻印模板来说埋设这些对准标记也是有利的。这是因为将对准标记(比如由例如(但不限于)金属或其它材料制成的对准标记)埋设在刻印模板中使松脱层(例如(但不限于)共价键连接的氟碳化合物薄片)沉积在刻印模板的表面以帮助刻印模板在聚合之后从基片和固化聚合物上松脱,又不会减弱松脱层与刻印模板的活性。结果可减少或消除重复刻印而造成的缺陷。
图3A-3F示出了根据本发明的一个或多个实施例制造刻印模板中的对准标记的步骤顺序。请注意,图3A-3F示出的是制造刻印模板中含有对准标记的部分。为了能更容易地理解本发明的一个或多个实施例,将刻印模板中用来例如(但不限于)制造装置的含有刻印图案形状的部分省略。
图3A示出了刻印模板坯料300,根据那些具有本领域一般技术水平的人员众所周知的许多方式中的一种在该坯料上制造有图案蚀刻掩模310。例如(但非限定),图案蚀刻掩模310可为一保护层,而刻印模板坯料300可包括例如(但不限于SiO2)。接下来,图3B分别示出了刻印模板400和401,根据那些具有本领域一般技术水平的人员众所周知的许多方式中的一种通过将对准特征部分蚀刻入刻印模板坯料300中来制作。如下所述,将对刻印模板400进一步处理以制造具有表面特征的对准标记、即将用于对准以及在基片中形成与刻印模板中的对准标记相对应的对准标记的刻印模板。如以下还将描述的,将进一步处理刻印模板坯料401以制造具有光滑表面的对准标记,即将用于对准的刻印模板(注意,用于在基片上为这样的刻印模板形成对准标记的刻印特征部分可位于刻印模板的另一个位置上)。
接下来,图3C示出了刻印模板坯料400和401,它们是在例如金属或其它具有预定折射率的材料根据那些具有本领域一般技术水平的人员众所周知的许多方式中的一种进行各向异性沉积之后进行例如(但不限于)喷涂,以分别形成刻印模板410和411。如图3C所示,各个材料部分4051-405n和4061-406n分别位于刻印模板410和411的对准特征部分的底部。接下来,图3D示出了刻印模板坯料410和411,它们是根据那些具有本领域一般技术水平的人员众所周知的许多方式中的一种在材料沉积之后的,为例如(但不限于)与刻印模板的其余部分的主体材料相同的材料(例如SiO2),从而分别形成刻印模板420和421。沉积步骤将对准标记4051-405n和4061-406n埋设在距离刻印模板420和421表面一段距离的位置处,该距离足够大,以使用来在特定的应用场合中聚合材料的辐射在对准标记和置于其下的聚合材料周围分散。对于具体应用中适当的距离可由具有本领域一般技术水平的人员通过并不复杂的实验很容易地确定。如具有本领域一般技术水平的人员会知道的,根据本发明的一个或多个其它的实施例,通过以具有本领域一般技术水平的人员通过并不复杂的实验很容易地确定的方式适当地修改上述步骤,可以制造不同的对准标记来置于离刻印模板表面不同距离的深处。
接下来,图3E示出了在提离工艺之后的刻印模板坯料420和421,该工艺根据那些具有本领域一般技术水平的人员众所周知的许多方式中的任何一种将图案蚀刻掩模310和置于其上的任何薄片去除,以分别形成刻印模板430和431。在此时,根据那些具有本领域一般技术水平的人员众所周知的许多方式中的一种用表面调整剂来处理刻印模板430和/或431,例如(但不限于)通过将松脱薄片置于刻印模板430和/或431上。最后,图3F示出了反过来并准备好用于刻印平板印刷工艺中的刻印模板430和431。如可从图3F中得知的,刻印模板430包括可用来将对准标记转印到基片上的刻印特征部分。此外,如人们可以知道的,由于对准标记被埋设在刻印模板内部,用来例如使一覆层聚合以形成对准标记的射线可在刻印模板中的对准标记周围散射以执行该功能。
图4示出了根据本发明的一个或多个实施例制造的刻印模板是如何使用的示意图。请注意,图4只示出了刻印模板和基片含有对准标记的一部分。为了能更容易地理解本发明的一个或多个实施例,将刻印模板和基片中用来例如(但不限于)制造装置的含有刻印图案形状的部分省略。如图4所示,基片500包含在先前制造例如(但不限于)集成电路的步骤中所形成的对准标记510。如图4所示,置于基片500上的覆层520是先前所述类型的转印层。例如(但非限定),转印层是聚合层。如图4中进一步显示的,置于转印层520上的覆层530是例如在该制造步骤中制成刻印装置的可聚合的流体合成物层,最后,如图4所示,具有埋设的对准标记530的刻印模板540置于刻印层530位置的上方,这些标记为例如(但不限于)金属对准标记。
虽然已经示出了各种结合本发明的实施例并在此对其进行了详细的描述,但那些熟悉本领域技术的人员可结合这些描述进行许多其它的修改,本发明的实施方式并不限于任何具体类型的刻印平板印刷技术,也不限于任何具体类型的对准技术。

Claims (20)

1.一种用于刻印平板印刷术的刻印模板,它包括:
埋设在刻印模板的主体材料中的诸对准标记。
2.如权利要求1所述的刻印模板,其特征在于,一个或多个对准标记与刻印模板的一表面间隔开一个或多个预定的距离。
3.如权利要求1所述的刻印模板,其特征在于,一个或多个预定的距离足以使预定的辐射照射到刻印模板的一表面下的诸预定区域。
4.如权利要求1所述的刻印模板,其特征在于,诸对准标记由折射率与至少是对准标记周围的刻印模板的主体材料的折射率不同的材料制成。
5.如权利要求1所述的刻印模板,其特征在于,诸对准标记由折射率与至少是对准标记周围的刻印模板的主体材料以及制成刻印装置的材料的折射率不同的材料制成。
6.如权利要求1所述的刻印模板,其特征在于,对准标记为金属的。
7.如权利要求1所述的刻印模板,其特征在于,置于对准标记和刻印模板的一表面之间的材料是与形成刻印模板的主体材料的其它部分相同的材料。
8.如权利要求1所述的刻印模板,其特征在于,刻印模板的表面包括一松脱层。
9.如权利要求8所述的刻印模板,其特征在于,松脱层是氟碳化合物松脱层。
10.如权利要求8所述的刻印模板,其特征在于,松脱层是共价键连接的氟碳化合物薄片。
11.一种用于刻印平板印刷术的刻印模板包括:
埋设在刻印模板的主体材料中的诸对准标记,所述主体材料对具有预定波长的辐射是可透过的,并且所述对准标记与刻印模板的一表面间隔开一个或多个预定的距离。
12.如权利要求11所述的刻印模板,其特征在于,一个或多个预定的距离足以使预定的辐射照射到与刻印模板叠合的诸预定区域。
13.如权利要求12所述的刻印模板,其特征在于,诸对准标记由折射率与至少是对准标记周围的刻印模板的主体材料的折射率不同的材料制成。
14.如权利要求13所述的刻印模板,其特征在于,诸对准标记的材料的折射率与制成刻印装置的材料层的折射率不同。
15.如权利要求14所述的刻印模板,其特征在于,对准标记为金属的。
16.如权利要求15所述的刻印模板,其特征在于,刻印模板的表面包括一松脱层。
17.如权利要求16所述的刻印模板,其特征在于,松脱层是氟碳化合物松脱层。
18.如权利要求16所述的刻印模板,其特征在于,松脱层是共价键连接的氟碳化合物薄片。
19.一种制造用于刻印平板印刷术的一刻印模板的方法,该方法包括以下步骤:
将一掩模置于一刻印模板上;
通过掩模将对准特征部分蚀刻入刻印模板;
将对准标记置于对准特征部分中;
将一种材料置于对准标记的上方;以及
去除掩模。
20.如权利要求12所述的方法,其特征在于,该方法还包括处理刻印模板的表面。
CNA2004800314291A 2003-09-18 2004-09-16 具有对准标记的刻印平板印刷模板 Pending CN1871556A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/666,527 2003-09-18
US10/666,527 US20050064344A1 (en) 2003-09-18 2003-09-18 Imprint lithography templates having alignment marks

Publications (1)

Publication Number Publication Date
CN1871556A true CN1871556A (zh) 2006-11-29

Family

ID=34313138

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2004800314291A Pending CN1871556A (zh) 2003-09-18 2004-09-16 具有对准标记的刻印平板印刷模板

Country Status (8)

Country Link
US (2) US20050064344A1 (zh)
EP (1) EP1664925A4 (zh)
JP (1) JP2007506281A (zh)
KR (1) KR101171197B1 (zh)
CN (1) CN1871556A (zh)
MY (1) MY154538A (zh)
TW (1) TW200523666A (zh)
WO (1) WO2005038523A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101583436B (zh) * 2007-01-16 2014-05-07 皇家飞利浦电子股份有限公司 用于挠性板件和基板接触的方法和***
CN105242502A (zh) * 2015-11-18 2016-01-13 中国科学技术大学 一种对准光栅组及其光栅的制作方法

Families Citing this family (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2264524A3 (en) * 2000-07-16 2011-11-30 The Board of Regents of The University of Texas System High-resolution overlay alignement methods and systems for imprint lithography
CN1262883C (zh) * 2000-07-17 2006-07-05 得克萨斯州大学***董事会 影印用于平版印刷工艺中的自动化液体分配的方法和***
US20060005657A1 (en) * 2004-06-01 2006-01-12 Molecular Imprints, Inc. Method and system to control movement of a body for nano-scale manufacturing
US7037639B2 (en) * 2002-05-01 2006-05-02 Molecular Imprints, Inc. Methods of manufacturing a lithography template
US20080160129A1 (en) * 2006-05-11 2008-07-03 Molecular Imprints, Inc. Template Having a Varying Thickness to Facilitate Expelling a Gas Positioned Between a Substrate and the Template
US7179079B2 (en) * 2002-07-08 2007-02-20 Molecular Imprints, Inc. Conforming template for patterning liquids disposed on substrates
US20050084804A1 (en) * 2003-10-16 2005-04-21 Molecular Imprints, Inc. Low surface energy templates
CN1930526A (zh) * 2004-02-19 2007-03-14 斯蒂茨丁荷兰聚合物学会 用于制备聚合物凸起结构的方法
US20050230882A1 (en) * 2004-04-19 2005-10-20 Molecular Imprints, Inc. Method of forming a deep-featured template employed in imprint lithography
US7140861B2 (en) * 2004-04-27 2006-11-28 Molecular Imprints, Inc. Compliant hard template for UV imprinting
TWI366218B (en) 2004-06-01 2012-06-11 Semiconductor Energy Lab Method for manufacturing semiconductor device
US7785526B2 (en) * 2004-07-20 2010-08-31 Molecular Imprints, Inc. Imprint alignment method, system, and template
US8088293B2 (en) * 2004-07-29 2012-01-03 Micron Technology, Inc. Methods of forming reticles configured for imprint lithography
US7309225B2 (en) * 2004-08-13 2007-12-18 Molecular Imprints, Inc. Moat system for an imprint lithography template
US20070231421A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. Enhanced Multi Channel Alignment
US7630067B2 (en) 2004-11-30 2009-12-08 Molecular Imprints, Inc. Interferometric analysis method for the manufacture of nano-scale devices
US7292326B2 (en) * 2004-11-30 2007-11-06 Molecular Imprints, Inc. Interferometric analysis for the manufacture of nano-scale devices
US20060145398A1 (en) * 2004-12-30 2006-07-06 Board Of Regents, The University Of Texas System Release layer comprising diamond-like carbon (DLC) or doped DLC with tunable composition for imprint lithography templates and contact masks
US20060177535A1 (en) * 2005-02-04 2006-08-10 Molecular Imprints, Inc. Imprint lithography template to facilitate control of liquid movement
US7691275B2 (en) * 2005-02-28 2010-04-06 Board Of Regents, The University Of Texas System Use of step and flash imprint lithography for direct imprinting of dielectric materials for dual damascene processing
US20060266916A1 (en) * 2005-05-25 2006-11-30 Molecular Imprints, Inc. Imprint lithography template having a coating to reflect and/or absorb actinic energy
US20060267231A1 (en) * 2005-05-27 2006-11-30 Asml Netherlands B.V. Imprint lithography
JP4290177B2 (ja) * 2005-06-08 2009-07-01 キヤノン株式会社 モールド、アライメント方法、パターン形成装置、パターン転写装置、及びチップの製造方法
US7771917B2 (en) * 2005-06-17 2010-08-10 Micron Technology, Inc. Methods of making templates for use in imprint lithography
JP4330168B2 (ja) * 2005-09-06 2009-09-16 キヤノン株式会社 モールド、インプリント方法、及びチップの製造方法
US7677877B2 (en) 2005-11-04 2010-03-16 Asml Netherlands B.V. Imprint lithography
US7690910B2 (en) 2006-02-01 2010-04-06 Canon Kabushiki Kaisha Mold for imprint, process for producing minute structure using the mold, and process for producing the mold
US8142850B2 (en) 2006-04-03 2012-03-27 Molecular Imprints, Inc. Patterning a plurality of fields on a substrate to compensate for differing evaporation times
TW200801794A (en) 2006-04-03 2008-01-01 Molecular Imprints Inc Method of concurrently patterning a substrate having a plurality of fields and a plurality of alignment marks
JP4185941B2 (ja) * 2006-04-04 2008-11-26 キヤノン株式会社 ナノインプリント方法及びナノインプリント装置
JP4795300B2 (ja) * 2006-04-18 2011-10-19 キヤノン株式会社 位置合わせ方法、インプリント方法、位置合わせ装置、インプリント装置、及び位置計測方法
US8012395B2 (en) * 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
KR101261606B1 (ko) * 2006-05-09 2013-05-09 삼성디스플레이 주식회사 표시판의 제조 장치 및 제조 방법
KR20090024244A (ko) * 2006-06-09 2009-03-06 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치의 제작 방법
JP2010503993A (ja) 2006-09-19 2010-02-04 モレキュラー・インプリンツ・インコーポレーテッド リフトオフ・パターニング向けの向上したエッチング技法
JP2008085118A (ja) * 2006-09-28 2008-04-10 Toshiba Corp 半導体装置の製造方法
KR100790899B1 (ko) * 2006-12-01 2008-01-03 삼성전자주식회사 얼라인 마크가 형성된 템플릿 및 그 제조 방법
JP5188192B2 (ja) * 2007-02-20 2013-04-24 キヤノン株式会社 モールド、モールドの製造方法、インプリント装置及びインプリント方法、インプリント方法を用いた構造体の製造方法
KR101590075B1 (ko) * 2007-06-27 2016-02-12 에이전시 포 사이언스, 테크놀로지 앤드 리서치 임프린트된 고분자에 2차 임프린트를 형성하는 방법
US7837907B2 (en) * 2007-07-20 2010-11-23 Molecular Imprints, Inc. Alignment system and method for a substrate in a nano-imprint process
US7906274B2 (en) * 2007-11-21 2011-03-15 Molecular Imprints, Inc. Method of creating a template employing a lift-off process
US20090147237A1 (en) * 2007-12-05 2009-06-11 Molecular Imprints, Inc. Spatial Phase Feature Location
WO2009085286A1 (en) * 2007-12-28 2009-07-09 Molecular Imprints, Inc. Template pattern density doubling
US20090212012A1 (en) * 2008-02-27 2009-08-27 Molecular Imprints, Inc. Critical dimension control during template formation
US20090263729A1 (en) * 2008-04-21 2009-10-22 Micron Technology, Inc. Templates for imprint lithography and methods of fabricating and using such templates
US20100015270A1 (en) * 2008-07-15 2010-01-21 Molecular Imprints, Inc. Inner cavity system for nano-imprint lithography
US20100078846A1 (en) * 2008-09-30 2010-04-01 Molecular Imprints, Inc. Particle Mitigation for Imprint Lithography
US20100092599A1 (en) * 2008-10-10 2010-04-15 Molecular Imprints, Inc. Complementary Alignment Marks for Imprint Lithography
US8075299B2 (en) * 2008-10-21 2011-12-13 Molecular Imprints, Inc. Reduction of stress during template separation
US20100095862A1 (en) * 2008-10-22 2010-04-22 Molecular Imprints, Inc. Double Sidewall Angle Nano-Imprint Template
US8652393B2 (en) 2008-10-24 2014-02-18 Molecular Imprints, Inc. Strain and kinetics control during separation phase of imprint process
US8877073B2 (en) * 2008-10-27 2014-11-04 Canon Nanotechnologies, Inc. Imprint lithography template
US8345242B2 (en) 2008-10-28 2013-01-01 Molecular Imprints, Inc. Optical system for use in stage control
US9122148B2 (en) * 2008-11-03 2015-09-01 Canon Nanotechnologies, Inc. Master template replication
US8432548B2 (en) * 2008-11-04 2013-04-30 Molecular Imprints, Inc. Alignment for edge field nano-imprinting
US8231821B2 (en) * 2008-11-04 2012-07-31 Molecular Imprints, Inc. Substrate alignment
US8529778B2 (en) * 2008-11-13 2013-09-10 Molecular Imprints, Inc. Large area patterning of nano-sized shapes
NL2004932A (en) * 2009-07-27 2011-01-31 Asml Netherlands Bv Imprint lithography template.
NL2005266A (en) 2009-10-28 2011-05-02 Asml Netherlands Bv Imprint lithography.
WO2011097514A2 (en) * 2010-02-05 2011-08-11 Molecular Imprints, Inc. Templates having high contrast alignment marks
JP5504054B2 (ja) 2010-05-27 2014-05-28 株式会社東芝 インプリントマスク、その製造方法、及び半導体装置の製造方法
US8771529B1 (en) * 2010-09-30 2014-07-08 Seagate Technology Llc Method for imprint lithography
JP5982386B2 (ja) 2010-11-05 2016-08-31 モレキュラー・インプリンツ・インコーポレーテッド 非凸形ナノ構造のパターン形成
JP5716384B2 (ja) * 2010-12-21 2015-05-13 大日本印刷株式会社 ナノインプリントリソグラフィ用モールド、およびその製造方法
FR2974194B1 (fr) * 2011-04-12 2013-11-15 Commissariat Energie Atomique Procede de lithographie
WO2012149029A2 (en) * 2011-04-25 2012-11-01 Molecular Imprints, Inc. Optically absorptive material for alignment marks
TWI484536B (zh) 2011-06-30 2015-05-11 Toshiba Kk 模板基板及其製造方法
JP5651573B2 (ja) 2011-11-18 2015-01-14 株式会社東芝 テンプレート処理方法
JP6071221B2 (ja) * 2012-03-14 2017-02-01 キヤノン株式会社 インプリント装置、モールド、インプリント方法及び物品の製造方法
US9377683B2 (en) 2013-03-22 2016-06-28 HGST Netherlands B.V. Imprint template with optically-detectable alignment marks and method for making using block copolymers
US10118315B1 (en) * 2013-05-06 2018-11-06 Surfx Technologies Llc Preparing tool surfaces for composites
JP7041121B2 (ja) * 2016-08-03 2022-03-23 ボード オブ リージェンツ,ザ ユニバーシティ オブ テキサス システム 半導体平坦化用及びインプリントリソグラフィ用ウェハスケールプログラマブル膜
AU2017382163B2 (en) * 2016-12-22 2022-06-09 Illumina Cambridge Limited Imprinting apparatus
KR102256349B1 (ko) 2017-03-08 2021-05-27 캐논 가부시끼가이샤 경화물 패턴의 제조 방법, 광학 부품, 회로 기판 및 석영 몰드 레플리카의 제조 방법, 및 임프린트 전처리 코팅용 재료 및 그의 경화물
JP7425602B2 (ja) 2017-03-08 2024-01-31 キヤノン株式会社 パターン形成方法、ならびに加工基板、光学部品及び石英モールドレプリカの製造方法、ならびにインプリント前処理コーティング材料及びそれとインプリントレジストとのセット
JP6692311B2 (ja) * 2017-03-14 2020-05-13 キオクシア株式会社 テンプレート
US10606170B2 (en) 2017-09-14 2020-03-31 Canon Kabushiki Kaisha Template for imprint lithography and methods of making and using the same

Family Cites Families (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1146618A (en) * 1965-10-11 1969-03-26 Harry Frank Gipe Method for preparing photo-lithographic plates
US3783520A (en) * 1970-09-28 1974-01-08 Bell Telephone Labor Inc High accuracy alignment procedure utilizing moire patterns
GB1578259A (en) * 1977-05-11 1980-11-05 Philips Electronic Associated Methods of manufacturing solid-state devices apparatus for use therein and devices manufactured thereby
US4201800A (en) * 1978-04-28 1980-05-06 International Business Machines Corp. Hardened photoresist master image mask process
FR2538923A1 (fr) * 1982-12-30 1984-07-06 Thomson Csf Procede et dispositif d'alignement optique de motifs dans deux plans rapproches dans un appareil d'exposition comprenant une source de rayonnement divergent
US4512848A (en) * 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US5571471A (en) * 1984-08-08 1996-11-05 3D Systems, Inc. Method of production of three-dimensional objects by stereolithography
JPS61116358A (ja) * 1984-11-09 1986-06-03 Mitsubishi Electric Corp フオトマスク材料
KR900004269B1 (ko) * 1986-06-11 1990-06-18 가부시기가이샤 도시바 제 1물체와 제 2 물체와의 위치 맞추는 방법 및 장치
FR2604553A1 (fr) * 1986-09-29 1988-04-01 Rhone Poulenc Chimie Substrat polymere rigide pour disque optique et les disques optiques obtenus a partir dudit substrat
US5028366A (en) * 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
US5876550A (en) * 1988-10-05 1999-03-02 Helisys, Inc. Laminated object manufacturing apparatus and method
JP2704001B2 (ja) * 1989-07-18 1998-01-26 キヤノン株式会社 位置検出装置
US5331371A (en) * 1990-09-26 1994-07-19 Canon Kabushiki Kaisha Alignment and exposure method
JP2796899B2 (ja) * 1991-02-16 1998-09-10 住友重機械工業株式会社 色収差2重焦点装置における帯域光および複色光照明方法
JP3175188B2 (ja) * 1991-05-10 2001-06-11 ソニー株式会社 位置合わせマークの形成方法
JP3074579B2 (ja) * 1992-01-31 2000-08-07 キヤノン株式会社 位置ずれ補正方法
US5545367A (en) * 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
US5601641A (en) * 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
JPH06183561A (ja) * 1992-12-18 1994-07-05 Canon Inc 移動ステージ装置
US5348616A (en) * 1993-05-03 1994-09-20 Motorola, Inc. Method for patterning a mold
US5414514A (en) * 1993-06-01 1995-05-09 Massachusetts Institute Of Technology On-axis interferometric alignment of plates using the spatial phase of interference patterns
US5512131A (en) * 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US6776094B1 (en) * 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
CN1120683A (zh) * 1994-03-15 1996-04-17 松下电器产业株式会社 曝光方法及其装置
US6034378A (en) * 1995-02-01 2000-03-07 Nikon Corporation Method of detecting position of mark on substrate, position detection apparatus using this method, and exposure apparatus using this position detection apparatus
US5504793A (en) * 1995-02-17 1996-04-02 Loral Federal Systems Company Magnification correction for 1-X proximity X-Ray lithography
US5808742A (en) * 1995-05-31 1998-09-15 Massachusetts Institute Of Technology Optical alignment apparatus having multiple parallel alignment marks
US20040137734A1 (en) * 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US5772905A (en) * 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US6309580B1 (en) * 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US20030080471A1 (en) * 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method for molding pattern with nanoscale features
US20040036201A1 (en) * 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US7758794B2 (en) * 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US6753131B1 (en) * 1996-07-22 2004-06-22 President And Fellows Of Harvard College Transparent elastomeric, contact-mode photolithography mask, sensor, and wavefront engineering element
US6049373A (en) * 1997-02-28 2000-04-11 Sumitomo Heavy Industries, Ltd. Position detection technique applied to proximity exposure
US6156243A (en) * 1997-04-25 2000-12-05 Hoya Corporation Mold and method of producing the same
US5876884A (en) * 1997-10-02 1999-03-02 Fujitsu Limited Method of fabricating a flat-panel display device and an apparatus therefore
US5937758A (en) * 1997-11-26 1999-08-17 Motorola, Inc. Micro-contact printing stamp
US6019166A (en) * 1997-12-30 2000-02-01 Intel Corporation Pickup chuck with an integral heatsink
TW352421B (en) * 1998-04-27 1999-02-11 United Microelectronics Corp Method and process of phase shifting mask
US6239590B1 (en) * 1998-05-26 2001-05-29 Micron Technology, Inc. Calibration target for calibrating semiconductor wafer test systems
US6523803B1 (en) * 1998-09-03 2003-02-25 Micron Technology, Inc. Mold apparatus used during semiconductor device fabrication
US6713238B1 (en) * 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6261469B1 (en) * 1998-10-13 2001-07-17 Honeywell International Inc. Three dimensionally periodic structural assemblies on nanometer and longer scales
US6388755B1 (en) * 1998-12-03 2002-05-14 Advanced Optical Technologies, Inc. Wireless position and orientation detecting system
US6251207B1 (en) * 1998-12-31 2001-06-26 Kimberly-Clark Worldwide, Inc. Embossing and laminating irregular bonding patterns
WO2000072093A1 (en) * 1999-05-25 2000-11-30 Massachusetts Institute Of Technology Optical gap measuring apparatus and method using two-dimensional grating mark with chirp in one direction
JP3439388B2 (ja) * 1999-07-27 2003-08-25 日本電気株式会社 半導体装置の製造方法
US6517995B1 (en) * 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
US6391217B2 (en) * 1999-12-23 2002-05-21 University Of Massachusetts Methods and apparatus for forming submicron patterns on films
US6165911A (en) * 1999-12-29 2000-12-26 Calveley; Peter Braden Method of patterning a metal layer
JP2001232816A (ja) * 2000-02-25 2001-08-28 Hitachi Koki Co Ltd インクジェット記録装置及びインク供給方法
JP2001358056A (ja) * 2000-06-15 2001-12-26 Canon Inc 露光装置
US6262464B1 (en) * 2000-06-19 2001-07-17 International Business Machines Corporation Encapsulated MEMS brand-pass filter for integrated circuits
US6462818B1 (en) * 2000-06-22 2002-10-08 Kla-Tencor Corporation Overlay alignment mark design
EP2264524A3 (en) * 2000-07-16 2011-11-30 The Board of Regents of The University of Texas System High-resolution overlay alignement methods and systems for imprint lithography
US20050037143A1 (en) * 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US7211214B2 (en) * 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
US7635262B2 (en) * 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
KR20030040378A (ko) * 2000-08-01 2003-05-22 보드 오브 리전츠, 더 유니버시티 오브 텍사스 시스템 임프린트 리소그래피를 위한 투명한 템플릿과 기판사이의고정확성 갭 및 방향설정 감지 방법
US6718630B2 (en) * 2000-09-18 2004-04-13 Matsushita Electric Industrial Co., Ltd. Apparatus and method for mounting components on substrate
AU2001297642A1 (en) * 2000-10-12 2002-09-04 Board Of Regents, The University Of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
EP1405336A2 (en) * 2000-12-04 2004-04-07 Ebara Corporation Substrate processing method
US6387787B1 (en) * 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6687787B1 (en) * 2001-03-05 2004-02-03 Emc Corporation Configuration of a data storage system
US6517977B2 (en) * 2001-03-28 2003-02-11 Motorola, Inc. Lithographic template and method of formation and use
US6383888B1 (en) * 2001-04-18 2002-05-07 Advanced Micro Devices, Inc. Method and apparatus for selecting wafer alignment marks based on film thickness variation
US6847433B2 (en) * 2001-06-01 2005-01-25 Agere Systems, Inc. Holder, system, and process for improving overlay in lithography
CN100347608C (zh) * 2001-09-25 2007-11-07 米卢塔技术株式会社 利用毛细作用力在基体上形成微型图案的方法
US6890688B2 (en) * 2001-12-18 2005-05-10 Freescale Semiconductor, Inc. Lithographic template and method of formation and use
US6743368B2 (en) * 2002-01-31 2004-06-01 Hewlett-Packard Development Company, L.P. Nano-size imprinting stamp using spacer technique
US6605849B1 (en) * 2002-02-14 2003-08-12 Symmetricom, Inc. MEMS analog frequency divider
TW594431B (en) * 2002-03-01 2004-06-21 Asml Netherlands Bv Calibration methods, calibration substrates, lithographic apparatus and device manufacturing methods
US6716754B2 (en) * 2002-03-12 2004-04-06 Micron Technology, Inc. Methods of forming patterns and molds for semiconductor constructions
US7217562B2 (en) * 2002-04-16 2007-05-15 Princeton University Gradient structures interfacing microfluidics and nanofluidics, methods for fabrication and uses thereof
US6881366B2 (en) * 2002-04-22 2005-04-19 International Business Machines Corporation Process of fabricating a precision microcontact printing stamp
US7037639B2 (en) * 2002-05-01 2006-05-02 Molecular Imprints, Inc. Methods of manufacturing a lithography template
US6849558B2 (en) * 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
US7179079B2 (en) * 2002-07-08 2007-02-20 Molecular Imprints, Inc. Conforming template for patterning liquids disposed on substrates
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US6932934B2 (en) * 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US6916584B2 (en) * 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US6929762B2 (en) * 2002-11-13 2005-08-16 Molecular Imprints, Inc. Method of reducing pattern distortions during imprint lithography processes
US6980282B2 (en) * 2002-12-11 2005-12-27 Molecular Imprints, Inc. Method for modulating shapes of substrates
US7750059B2 (en) * 2002-12-04 2010-07-06 Hewlett-Packard Development Company, L.P. Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
US7323130B2 (en) * 2002-12-13 2008-01-29 Molecular Imprints, Inc. Magnification correction employing out-of-plane distortion of a substrate
US6770852B1 (en) * 2003-02-27 2004-08-03 Lam Research Corporation Critical dimension variation compensation across a wafer by means of local wafer temperature control
US7070406B2 (en) * 2003-04-29 2006-07-04 Hewlett-Packard Development Company, L.P. Apparatus for embossing a flexible substrate with a pattern carried by an optically transparent compliant media
US7150622B2 (en) * 2003-07-09 2006-12-19 Molecular Imprints, Inc. Systems for magnification and distortion correction for imprint lithography processes
US20050084804A1 (en) * 2003-10-16 2005-04-21 Molecular Imprints, Inc. Low surface energy templates
US7122482B2 (en) * 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
US20050098534A1 (en) * 2003-11-12 2005-05-12 Molecular Imprints, Inc. Formation of conductive templates employing indium tin oxide
KR100566700B1 (ko) * 2004-01-15 2006-04-03 삼성전자주식회사 반도체 공정에서 포토레지스트 패턴 형성 방법,포토레지스트 패턴 형성용 템플레이트 및 이의 제조 방법.
US7140861B2 (en) * 2004-04-27 2006-11-28 Molecular Imprints, Inc. Compliant hard template for UV imprinting
US7785526B2 (en) * 2004-07-20 2010-08-31 Molecular Imprints, Inc. Imprint alignment method, system, and template

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101583436B (zh) * 2007-01-16 2014-05-07 皇家飞利浦电子股份有限公司 用于挠性板件和基板接触的方法和***
CN105242502A (zh) * 2015-11-18 2016-01-13 中国科学技术大学 一种对准光栅组及其光栅的制作方法

Also Published As

Publication number Publication date
US20050064344A1 (en) 2005-03-24
EP1664925A2 (en) 2006-06-07
KR101171197B1 (ko) 2012-08-06
WO2005038523A2 (en) 2005-04-28
JP2007506281A (ja) 2007-03-15
WO2005038523A3 (en) 2006-06-15
KR20060096998A (ko) 2006-09-13
US20090214689A1 (en) 2009-08-27
MY154538A (en) 2015-06-30
EP1664925A4 (en) 2007-06-20
TW200523666A (en) 2005-07-16

Similar Documents

Publication Publication Date Title
CN1871556A (zh) 具有对准标记的刻印平板印刷模板
US8087920B2 (en) Process and apparatus for ultraviolet nano-imprint lithography
US7699598B2 (en) Conforming template for patterning liquids disposed on substrates
Guo Nanoimprint lithography: methods and material requirements
EP1633545B1 (en) Method to reduce adhesion between a conformable region and a pattern of a mold
US8616873B2 (en) Micro-conformal templates for nanoimprint lithography
US6964793B2 (en) Method for fabricating nanoscale patterns in light curable compositions using an electric field
US7279113B2 (en) Method of forming a compliant template for UV imprinting
JP4514754B2 (ja) 毛管作用によるインプリント技術
TW200848956A (en) Devices and methods for pattern generation by ink lithography
EP1778409A2 (en) Moat system for an imprint lithography template
US20100140218A1 (en) Positive Tone Bi-Layer Method
US8128856B2 (en) Release surfaces, particularly for use in nanoimprint lithography
US7261830B2 (en) Applying imprinting material to substrates employing electromagnetic fields
Francone Materials and anti-adhesive issues in UV-NIL
Wang Status of nanoimprint lithography and device applications
Schumaker et al. Applying imprinting material to substrates employing electromagnetic fields
Wang 5 Nanoimprint Lithography
Watts et al. Method to reduce adhesion between a conformable region and a pattern of a mold
Cui et al. Nanofabrication by Replication
Bailey et al. Step and Flash Imprint Lithography
Resnick et al. Contactand Imprint Lithography

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
AD01 Patent right deemed abandoned
C20 Patent right or utility model deemed to be abandoned or is abandoned