CN1800971A - 一种用于实施全芯片制造可靠性检查和校正的方法 - Google Patents

一种用于实施全芯片制造可靠性检查和校正的方法 Download PDF

Info

Publication number
CN1800971A
CN1800971A CNA2005101199776A CN200510119977A CN1800971A CN 1800971 A CN1800971 A CN 1800971A CN A2005101199776 A CNA2005101199776 A CN A2005101199776A CN 200510119977 A CN200510119977 A CN 200510119977A CN 1800971 A CN1800971 A CN 1800971A
Authority
CN
China
Prior art keywords
feature
error amount
error
identified
class
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2005101199776A
Other languages
English (en)
Inventor
M·苏
T·莱迪
K·E·瓦姆普莱尔
D-F·S·苏
X·施
D·范登布勒克
J·F·陈
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML FRISKET TOOLS BV
ASML MaskTools Netherlands BV
Original Assignee
ASML FRISKET TOOLS BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML FRISKET TOOLS BV filed Critical ASML FRISKET TOOLS BV
Publication of CN1800971A publication Critical patent/CN1800971A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)

Abstract

一种产生用在成像过程图案中的掩模的方法。该方法包括以下步骤:(a)获得具有多个要成像在衬底上的特征的需要的目标图案;(b)利用目标图案和与限定过程相关的过程参数来模拟晶片图像;(c)限定至少一个特征类别;(d)在目标图案中识别对应于至少一个特征类别的特征,并且记录识别为对应于至少一个特征类别的每一个特征的误差值;并且(e)产生统计的汇总,其表明识别为对应于至少一个特征类别的每一个特征的误差值。

Description

一种用于实施全芯片制造可靠性检查和校正的方法
优先权要求
本专利申请和由其引出的任何专利要求2004年9月14日提交的、名称为“全芯片制造可靠性检查和校正(MRC2)”的美国临时专利申请60/609,243的优先权,通过引用将其全部内容结合在此。
技术领域
本发明的技术领域通常涉及一种方法、程序产品和设备,其用于在设计过程中较早确定是否目标设计/布局利用给定的过程是可制造的,或是否目标设计/布局包括“故障点”或“弱点”,这些点将阻止目标设计/布局满足设计要求和/或当制造时造成产率不足。
背景技术
例如,光刻设备可用于制造集成电路(IC)。在这种情况下,掩模可含有对应于IC单层的电路图案,且该图案能够被成像到被涂布一层辐射敏感材料(光刻胶)的衬底(硅晶片)上的目标部分(例如,包含一个或多个电路小片)上。通常,单晶片将包括邻近目标部分的整个网络,其由投影***一次一个的连续照射。在一种光刻投影设备中,通过将整个掩模图案一次曝光到目标部分上来照射每一个目标部分;这种设备常称为晶片步进器。在通常称为步进扫描设备的可选择设备中,沿给定的参考方向(“扫描”方向)在投影光束下通过逐渐扫描掩模图案来照射每一个目标部分,同时以平行或反平行该方向同步扫描衬底台。由于通常投影***将有放大系数M(通常<1),所以扫描衬底台的速度V将是扫描掩模台的速度的系数M倍。可以例如从美国6,046,792收集更多关于在此描述的光刻设备的信息,通过引用结合在此。
在采用光刻投影设备的制造过程中,掩模图案被成像到至少部分被一层辐射敏感材料(光刻胶)覆盖的衬底上。在该成像步骤前,衬底可以经过各种工序,例如,涂底、涂光刻胶和软烘烤。在曝光后,衬底可以经过其它工序,例如,曝光后烘烤(PEB)、显影、硬烘烤和成像特征的测量/检查。这个工序排列作为构图器件如IC的单层的基础使用。然后,这种构图层可以经过各种过程,如蚀刻、离子注入(掺杂)、喷镀金属、氧化、化学机械抛光等,所有这些是用来完成单层。如果需要几层,那么对于每一新层将必须重复整个工序或其变体。最终,在衬底(晶片)上将出现器件的阵列。然后,通过如切成方块或锯切技术将这些器件彼此分开,由此单独的器件可以被安装到载体上、连接到插针上等。
为简单起见,投影***此后可以称为“透镜”;然而,该术语应广义地被解释为包含各种类型的投影***,例如包括折射光学元件、反射光学元件和反折射***。辐射***还可以包括根据这些设计类型任一种操作的部件,用于定向、整形和控制辐射投影光束,并且这样的部件在下面也可以共同地或单独地称为“透镜”。此外,光刻设备可以是具有两个或多个衬底台(和/或两个或多个掩模台)的一种类型。在这样“多级”器件中可以并列采用附加的台,或可以在一个或多个台上实施预备步骤的同时,一个或多个台被用于曝光。例如在美国5,969,441中描述了双级光刻设备,通过引用结合在此。
上述提到的光刻掩模包括对应于被集成到硅晶片上的电路部件的几何图案。用于形成这种掩模的图案利用CAD(计算机辅助设计)程序产生,该过程常称为EDA(电子设计自动化)。为了形成功能性掩模,多数CAD程序依据一套预定的设计规则。这些规则通过加工和设计限制来设定。例如,设计规则限定电路器件(如门、电容器等)或互连线之间的间距公差,以便保证电路器件或线彼此不以不需要的方式相互影响。该设计规则限制一般称为“临界尺寸”(CD)。电路的临界尺寸可以被限定为线或孔的最小宽度或两线或两孔间的最小间距。因此,CD确定设计电路的总尺寸和密度。
在掩模中的“辅助特征”可用于改进被投影到抗蚀剂上的图像并最终改进显影的器件。辅助特征是不需要出现在抗蚀剂的显影图案中的而是在掩模中提供以利用衍射效应的特征,这样使显影图像更接近需要的电路图案。辅助特征通常是“亚分辨率”或“深亚分辨率(deep sub-resolution)”,意味着它们是在至少一个尺寸内比将在晶片上实际分辨的掩模内的最小特征小。辅助特征可以具有被限定为临界尺寸部分的尺寸。换句话说,因为掩模图案通常用小于1、如1/4、1/5的放大倍数投影,在掩模上的辅助特征可以具有比晶片上的最小特征大的物理尺寸。
当然,集成电路制造的一个目的是精确地在晶片上再现原始电路设计(通过掩模),这通过采用辅助特征来改进。另一个目的是产生在规定的设计公差范围内可容易制造的设计布局。这是重要的,以使当实际制造器件时过程引起高产率。
尽管在现有技术中已经讨论了各种规则检查方法,例如光学规则检查(ORC),这些已知技术对于现在的设计布局是困难的和/或不合适的,其一般包括先进的分辨率增强技术(RET)。此外,已知的规则检查方法不能在设计过程早期确定是否给定的设计是可容易制造的(便于导致高产率),因此常导致实际上花费与重新设计过程相关的时间和金钱。
因此,需要一种方法,其用于允许在设计过程早期确定是否设计是适于制造的,从而使得与重新设计过程相关的时间和花费最小化。此外,需要适合和先进的RET一起使用的制造可靠性检查和校正方法,并且其可以自动提供设计的校正以使得到的器件在规定的设计公差内。
发明内容
鉴于前述,本发明的一个目的是提供一种方法,其用于在设计过程中较早确定是否目标设计/布局利用给定的过程实际是可制造的,或是否目标设计/布局包含“故障点”或“弱点”,这些点将阻止目标设计/布局满足设计要求和/或当制造时导致产率不足。此外,本发明的另一个目的是提供制造可靠性检查和校正方法,其适合和先进的RET一起使用,并且其能自动提供设计的校正以使得到的器件在规定的设计公差范围内。
更具体地,本发明涉及产生用于成像过程图案的掩模的方法。该方法包括以下步骤:(a)获得具有多个要成像在衬底上的特征的需要的目标图案;(b)采用目标图案和与限定的过程相关的过程参数来模拟印刷或晶片图像(即模拟抗蚀剂构图);(c)限定至少一个特征类别;(d)识别目标图案中对应于至少一个特征类别的特征,并且记录识别为对应于至少一个特征类别的每一个特征的误差值;和(e)产生统计汇总,其表明识别为对应于至少一个特征类别的每个特征的误差值。此外,依据误差的识别,本发明的方法还可以包括如下步骤:通过改变OPC校正措施和/或通过应用例如储存在数据库中的预定校正措施改变过程参数来自动尝试校正误差。这种数据库可以根据基于规则的***,包含各种对于潜在问题区域的校正,可以顺序的方式应用这些校正直到发现可接受的解决办法。此外,如果确定了用于给定误差的可接受的校正措施,那么对应于该问题和解决办法的设计规则可被记录在数据库中和用于未来的校正/设计。
本发明的方法具有超过现有技术的重要优点。非常重要的是,本发明提供用于实施全芯片制造可靠性的检查和校正的方法,其在设计过程早期确定是否目标设计实际上在限定的设计公差范围内是可制造的。此外,本发明的方法能提供设计的自动校正以产生采用规定的设计公差是可制造的设计。
关于本发明的另一优点是全芯片制造可靠性检查和校正方法适合和先进的分辨率增强技术一起使用。
本发明的又一优点是全芯片制造可靠性检查和校正方法适合和利用多层掩模和/或多次曝光(例如,DDL垂直和水平掩模),以及在相同掩模(例如,包括铬和相位特征的CPL掩模)中的多数据层的过程一起使用。
本发明的又一优点是在设计过程中及早给设计者提供关于是否目标设计包含任何“故障点”或“弱点”的反馈,这些点将阻止目标设计满足设计要求和/或当经过制造过程时导致产率不足。结果,本发明最小化与重新设计过程相关的时间和花费,并且消除与有缺陷的设计原型的出带(tape-out)和显影(development)相关的花费。换句话说,本发明允许设计者在测试晶片的出带过程和显影(即,加工)之前确定是否设计从根本上是有缺陷的(并且因此是不可制造的)。
此外,本发明的方法可以在某些情况下自动修改设计和/或过程以针对设计中的“故障点”或“弱点”。
从以下本发明的示范性实施例的详细描述,本发明另外的优点对于本领域的技术人员来说将是显而易见的。
尽管本文具体涉及本发明在制造IC中的使用,但是应该清楚地理解本发明可能有许多其它的应用。例如,它可以被应用于集成光学***、用于磁畴存储器的导向和检测图案、液晶显示平板、薄膜磁头等的制造。在如此可选择应用的上下文中,本领域技术人员可以理解在本文术语“分划板”、“晶片”或“电路小片”的任何使用应该认为分别可由更普遍的术语“掩模”、“衬底”和“目标部分”代替。
通过参见下面的详细说明和附图可以更好地理解发明本身和另外的目的和优点。
附图简述
图1是示出根据本发明第一实施例的全芯片制造可靠性检查和校正方法的示范性流程图。
图2a和2b示出能经受本发明的检查和校正方法的示范性布局图案(例如,目标图案)。
图3示出图2的用相同图案模拟结果所重叠的目标图案的示范性部分。
图4示出示范性柱状图,其基于在模拟结果和目标图案之间的区别产生。
图5示出如图4所示的相同布局和相同类别的柱状图,区别为线端校正被应用在图5的三组柱状图中每一个所表示的特征。
图6示出如图4所示的相同布局和相同类别的柱状图,区别在于凹、凸角校正已经被用于用Par 4和Par 5的柱状图的每一个表示的特征,及线端校正已经被用于用Par 6的柱状图表示的特征。
图7-9示出各自表明线端特征、门特征和临界尺寸的印刷性能在给定的过程中不随过程参数的变化而改变大的柱状图。
图10示意性地描述适合同借助所公开的构思设计的掩模一起使用的示范性光刻投影设备。
图11和12示出当为了校正误差而需要布局修正的例子。
具体实施方式
图1是示出根据本发明第一实施例的全芯片制造可靠性检查和校正方法的示范性流程图。在过程的第一步(步骤10)是要识别用于成像图案的目标掩模图案(即,目标设计)和照明***以及设置(即过程条件)。
图2a和2b示出可进行本发明的检查和校正方法的示范性布局图案(例如,目标图案)。更具体地,图2a表示全芯片布局,示出了从70nm结点的全芯片布图中所选择的临界计算。而图2b表示在CPL掩模中图2a被分为铬和相位区域的一部分布局,示出了在布图转换后,原始布图被分为Cr(桔黄色)和相位(绿色)图案。如上所示,本发明的方法适合和采用多层掩模和/或多次曝光(例如DDL垂直和水平掩模)以及相同掩模(例如包括铬和相位特征的CPL掩模)内多数据层的过程一起使用。
一旦目标图案被识别,过程的下一步(步骤20)是模拟在包括制造变化的生产设置(即所需的过程)下模拟目标图案的抗蚀剂构图轮廓。该模拟可以应用任何已知的模拟工具实施,如由ASML MaskTools公司销售的LithoCruiserTM
下一步(步骤30)是将模拟过程的结果与原始的目标图案比较,以确定在原始目标图案和模拟的抗蚀剂构图轮廓间的区别。在本发明优选的实施例中,在模拟结果和目标图案间进行全芯片的比较。该过程可以通过比较模拟的抗蚀剂图案的二维轮廓和目标图案的二维轮廓图案来进行。如2002年10月9日提交的美国专利申请10/266,922描述了二维轮廓的这种比较,其全部内容通过引用结合在此。可选择地,可比较预定图案区域/点,优选地为预期的临界区域,以确定在目标图案和模拟的抗蚀剂图案间的区别。例如,检查标志可以被包括在临界特征位置的目标设计内,然后仅在包括检查标志的设计位置处进行比较。这种临界区域可以包括,例如窄线、凸角、凹角、线端等的CD均匀性。该方法使过程仅集中在那些在制造期间设计者希望的临界或有问题的特征/区域。然而,在本实施例中,优选的是,考虑设计布局的所有区域中的特征以表示全芯片的设计布局。如果在比较过程中应用二维轮廓,那么设计者可以规定用于比较过程的关于轮廓的取样点的间距,从而保证表示全芯片的设计布局。
一旦完成比较和限定了模拟结果和目标图案间的区别,那么过程的下一步(步骤40)是要限定/划分被考虑的和/或被分析的特征,并且确定在目标设计和模拟结果中的特征间的区别(即,误差)。这需要限定要考虑的特征的不同类别,例如,线端、CD均匀性、凸角、凹角等。一旦这些类别被限定,那么在含有检查标志的每一个位置处,抽取模拟结果和目标图案间的区别并指定预定类别之一。在给定的例子中,在给定的检查标志位置的各个区别/误差被指定/限定为线端误差、CD均匀性误差、凸角误差或凹角误差并记录在各自类别中。如上述,优选的是,在布局内放置检查标志以获得全芯片布局的表示。可选择地,如果基于二维轮廓进行比较,那么设计者必须规定关于被抽出轮廓的比较点的位置,这与限定检查标志类似。
一旦完成步骤40,在模拟结果和目标图案间的实际区别已知并被记录,以及划分为特定类型的特征类别。注意到,上面提到的特征类别实际上是示例性的,而不是限制性的。可以利用除上述之外的附加的类别。此外,如上面提到的,优选的是,被分析的和被记录的位置的数目是表示整个布局。当然,所考虑的位置的实际数目将从布局到布局不等,并且主要依据认为是制造环境中潜在问题的临界区域的数目。
过程中的下一步(步骤50)将产生用于各个特征类别的柱状图(或任何其它合适的统计格式)并描绘各个类别所有被记录特征的误差结果。各个柱状图示出给定类别中包括的各个特征的数目,以及与给定特征类别中每个特征相关的成像误差量。同样地,柱状图使设计者容易确定在每一个限定的特征类别中发生的误差数目。然而,如下面更详细地解释,柱状图让设计者相当快地确定是否可应用局部校正来校正规格之外的不能接受的误差或是否需要较大的重新设计。
接下来,过程中的下一步骤(步骤60)对步骤40中识别的各个特征类别限定可接受的误差公差,并且识别每个类别中在可接受误差公差之外的所有特征。如所知的,这种的误差公差限定可接受(即,在设计限度内)的最终目标图案中的变化。在最初设计阶段一般由电路设计者限定误差公差,并且误差公差将从布局到布局不等,并且在布局内不同类型的特征之间变化。注意到,由设计者规定的误差公差最小应该相应于并规定步骤40中识别的误差类别的可接受误差。如下面进一步详细地说明,把误差公差附加在步骤50中创建的各种柱状图之上来说也是可能的,以使设计者容易确定在给定的类别中超出可接受误差公差(并因此必须被校正)的特征的百分数。
一旦已经确定误差公差,那么下一步(步骤70)将确定是否在各个类别内的所有特征是在各自特征的规定误差公差内。如果答案是YES,那么过程继续到步骤90并且产生掩模图案。如果答案是NO,那么工程继续到步骤80,其中在努力消除任何具有在规定误差公差外的相关的成像误差的特征时,应用OPC校正和/或其它校正措施(即,改变过程参数,但不限于此,例如,光学设置(例如,NA、Sigma),掩模类型(例如透射,相位),或抗蚀过程)。一旦进行了这些校正,过程返回上述过程的步骤20以允许实施另一个模拟,然后继续遍历过程以考虑确定关于是否校正使所有特征在规定的误差公差内。注意到当第二次继续遍历过程时或在任何其它随后的时间,不需要重新划分特征类别或重新限定误差公差(即步骤40和60)。
注意到,在一个实施例里,前述的校正(步骤80)可以应用预定规则(例如,伸长在显示过多的线端短接(shorting)的区域内的特征线)自动实施。这种规则将被确定并基于在给定过程中考虑的特征类别。确实,基本上,本发明前述方法的所有步骤利用计算机或专用的处理设备以自动化方式可被实施,包括柱状图的产生,该些柱状图概括各个单独特征类别的误差。
在给定的实施例中,校正措施数据库包括两个主要的校正方法。第一方法要求应用和/或修正用于掩模设计的OPC特征。如上述提到的,如果确定要发生可用的误差(其可以从柱状图中确定),那么被应用于掩模设计中以校正给定误差的、管理OPC校正特征的预定规则被存储在数据库中,然后被应用到掩模设计中。第二方法要求修正所采用的给定过程参数。试图根据出现的给定误差调节可能的过程变化的预定规则再次可以被存储在校正措施数据库中。当然,需要调整OPC特征和过程参数两者的规则也是可能的。另外,一旦对于给定误差条件确定了解决办法,那么数据库就可被更新以设计规则的形式记录校正,这样它可以被应用到未来的应用中。也可以再注意到,目前的过程是迭代过程,其中每次调整都要重新实施模拟和检查,以确定是否调整校正了所有剩余的误差。重复该过程直到***确定所有未决误差可接受的解决办法。如果在很多迭代后(其可以预先由操作者确定)***不能找到可接受的解决办法,那么将很可能必须重新设计掩模布局。
如上提到的,产生预定特征类别的柱状图的本发明的方法,对使得设计者容易识别和筛除全芯片布局中的设计“弱点”(并且可能校正这样的弱点)很有用。此外,柱状图提供简单的方式以确定是否对加工参数的调整可被应用以“调整”略微在规格之外的设计(即,具有在可接受误差公差范围之外的最小数量特征的设计)。柱状图也使得设计者在设计过程中及早确定提出的设计有明显的、表明实际上可能需要重新设计布局的可制造性问题(例如,在给定误差类别中基本上所有特征超出可接受的误差)。换句话说,误差的程度和数量使得不可能利用过程变化和/或OPC校正措施来校正误差。
图3示出图2的用相同图案的模拟结果所重叠的目标图案的示范性部分。可以看出,在目标图案和模拟结果之间存在区别。图4示出示范性的柱状图,其基于在模拟结果和目标图案之间的区别产生。在给定的例子中,限定五个特征类别也就是凸特征、凹特征、线端特征、门宽度特征和临界尺寸(即,线宽)特征用于监测。各自的柱状图的每一个识别研究中的各自特征的数量(即,应用检查标志识别)以及离开目标设计目的的误差程度。更具体地,如果所有被监测的特征为零误差,那么各个柱状图将示出位于柱状图中心的单线(图4的X轴上的0)。然而,这不是一般的情况,因为给定例子中的柱状图示出各自特征在各个类别中都有不同程度的误差。还注意到,在柱状图中的标记线42表示可接受的误差公差。尤其是,落入这些两线内的任何误差是偏离“0”(即,无误差)的可接受水平,以及在两线的任何一个之外的任何误差表示不可接受的误差偏离。再观察图4的柱状图,可以容易地看到被监测的多数凸特征和凹特征有不可接受的误差变化,而线端特征、门特征和CD特征主要地在规定的误差公差内,并且因此是可接受的。图3突出显示了设计中的一些示范性问题区。
图5示出如图4所示的相同布局和相同类别的柱状图,区别为校正被应用在三组柱状图中每一个。在第一组中(Par 1和Par 2),进行了对特征的线端收缩调整。如图4和图5之间的比较所示,所有线端特征目前在预定误差公差范围内,并且因此在实际制造过程中应该不会导致任何印刷问题。在Par 3中应用了偏离校正算法,然而并没有导致满意的线端特征校正,因为许多线端特征仍然保持在误差公差外。注意的是,Par 1~3代表参数组1~3。如上提到的,可应用和调整不同的参数以实施不同的OPC和掩模图案修正来校正误差。每一个参数组将产生不同的MRC柱状图,一些参数变化可以解决特定的误差但将导致总体性能的降低。也可能对于一类误差的校正如线端收缩将导致不同类误差的产生,如CD均匀性更恶化。通过利用本发明的柱状图,可能快速地和容易地鉴别是否给定误差可能是可校正的或是否需要设计药物处理(designmedication),
图6也示出如图4所示的相同布局和类别的柱状图,区别是凹、凸角校正已经被用于在Par 4和Par 5每一个柱状图的特征。如图4和图5之间的比较所示,Par 4中实施的校正导致凹特征和凸特征在印刷中的明显改进,同时,Par 5中实施的校正却没有。Par 6中应用另一个偏离校正算法。结果全局校正未使各个特征在规定的误差公差内,那么局部校正(即,仅对公差外特征的校正)可由设计者或由***自动实施。参见前述图形,柱状图容易表明是否给定类别的任何特征没有遵守规定的误差公差。
图7-9示出的柱状图分别表示:线端特征、门特征和临界尺寸的印刷性能在给定的过程中不随应用的剂量(即,能量)或散焦参数的变化而发生大的改变。如图7中所示,在过程变化下总线端性能不改变,线端的最大变化在10nm以下。如图8示出,在过程变化下总的门性能不改变,门CD的最大变化在10nm以下,可施加局部校正或设计修正的时候的情况。如图9中所示,在过程变化下总CD均匀性不改变,CD均匀性的最大变化在10nm以下,靠近大衬垫(pad)的一些位置有较大的CD变化。如这些图的每一个所示,得到的柱状图对于剂量和散焦的变化保持相对不变。柱状图再次提供相对容易的方法,其为设计者确定是否在特征成像过程中过程设置的变化将获得需要的校正。在过程变化不能提供需要的校正的情况下,可能布局修正必须满足设计公差。
图11和12示出当为了校正误差而需要设计修正的例子。更具体地,图11的左下侧示出部分布局而图的右上侧示出在目标图案上附加的模拟晶片图像。在这个例子中,OPC措施和过程参数调整的应用足以校正误差。然而,在图11的右侧,其示出另一部分目标电路和模拟的结果,OPC措施和过程参数变化不足以校正所有误差。例如,参见图的右上侧,在应用OPC和过程参数校正措施之后保持桥接(bridging)误差。这样,布局需要修正。图12示出可接受的布局修正的例子。如图12所示,在散焦和能量转移条件下无桥接误差,该设计对于制造环境是稳固的。各种特征的边缘进一步彼此分开以消除桥接误差。图12也示出模拟结果。如上面提到的,当需要时,通常由电路设计者实施这样的布局修正。然而,也可能产生规则集以提供布局设计的自动校正/修正。
如上提到的,本发明的方法提供优于现有技术的重要的优点。非常重要地,本发明提供用于实施全芯片制造可靠性检查的方法,其在设计过程早期确定是否目标设计在规定的设计公差内实际上是可制造的。此外,本发明的方法可提供设计的自动校正以产生利用规定的设计公差是可制造的设计。
本发明的另一个优点为全芯片制造可靠性检查和校正方法适合和利用多层掩模和/或多次曝光(例如,DDL垂直和水平掩模)以及在相同掩模(例如,包括铬和相位特征的CPL掩模)内的多数据层的过程一起使用。
本发明的又一个优点为下述,在设计过程中该方法为设计者及早提供关于目标设计是否含有任何“故障点”或“弱点”的反馈,这些点将阻止目标设计满足设计要求和/或当进行制造过程时导致产率不足。结果,本发明最小化与重新设计过程相关的时间和花费,并且消除与有缺陷的设计原型的出带和开发相关的花费。换句话说,本发明允许设计者在测试晶片的出带过程和显影(即,加工)之前确定是否设计从根本上是有缺陷的(并且因此是不可制造的)。
此外,本发明的方法在努力消除/校正在误差公差限制外的特征的过程中可提供自动修正设计和/或过程,以针对设计中的“故障点”或“弱点”。
如上提到的,本发明的过程可利用计算机或专用处理器自动实施。此外,管理要实施的校正的、包括何时要进行局部校正的规则可以被预先确定,然后基于由前述方法形成的柱状图的结果而被应用。实际上,如果设计者希望这么做,那么整个过程基本上可以是自动的。当然,如果设计者渴望积极地参与到过程中(例如,确定要应用的校正类型),这也是可能的。
图10示意性地描述适合和借助本发明设计的掩模一起使用的光刻投影设备。该设备包括:
-辐射***Ex、IL,其用于供应辐射的投影光束PB。在这个具体情况中,辐射***也包括辐射源LA;
-第一载物台(掩模台)MT,其具有用于支持掩模MA(例如分划板)的掩模支持器,并连接到用于相对物品PL准确定位掩模的第一定位装置;
-第二载物台(衬底台)WT,其具有用于支持衬底W(例如涂布光刻胶的硅晶片)的衬底支持器,并连接到用于相对物品PL准确定位衬底的第二定位装置;
-投影***(“透镜”)PL(例如折射、反射或反折射光学***)用于掩模MA的照射部分成像到衬底W的目标部分C(例如包括一个或多个电路小片)上。
如在此所描述的,设备是透射型的(即,有透射掩模)。然而,通常它也可以例如是反射型的(有反射掩模)。可选择地,设备可采用另一种构图装置作为使用掩模的备选方案;实例包括可编程镜面阵列或LCD矩阵。
源LA(例如汞灯或激基激光器)产生辐射光束。该光束例如直接或在通过如光束扩展器Ex的调节装置之后射入照明***(照明器)IL。该照明器IL可包括用于设定光束的强度分布的外部和/或内部径向范围(通常分别称为σ-外和σ-内)的调节装置AM。此外,它将通常包括各种其它的部件,例如积分器IN和聚光器CO。以这种方式,照射到掩模MA上的光束PB在它的横截面具有需要的均匀性和强度分布。
应该注意到,关于图10,源LA可以在光刻投影设备的外壳内(例如,当源LA是汞灯时经常是这种情况),但是它也可以是远离光刻投影设备,它产生的辐射光束导入到设备中(例如借助合适的导向镜);后者的方案常是当源LA是激基激光器(如基于KrF、ArF或F2的激光作用)时的情况。本发明包含这两个方案。
随后光束PB相交到被支撑在掩模台MT上的掩模MA上。通过掩模MA后,光束PB经过透镜PL,透镜PL聚焦光束PB到衬底W的目标部分C上。借助第二定位装置(和干涉测量装置正),衬底台WT可准确移动,例如,以在光束PB的路径中定位不同的目标部分C。相似地,第一定位装置可用于相对于光束PB路径准确定位掩模MA,例如在从掩模库机械检索掩模MA之后或在扫描期间。通常,载物台MT、WT的移动将借助长冲程模块(粗定位)和短冲程模块(精细定位)来实现,这在图10中没有详细描述。然而,在晶片步进器的情况中(与步进-扫描工具相对)掩模台MT恰好可被连接到短冲程调节器或可被固定。
描述的工具可用于两种不同模式:
-在步进模式中,掩模台MT基本保持静止,整个掩模图像被一次投射(即,单“闪”)到目标部分C上。然后衬底台WT沿x和/或y方向移动,以使不同的目标部分C能够由光束PB辐射;
-在扫描模式中,除了给定目标部分C不是单“闪”曝光外,基本采用相同方案。取而代之的是,掩模台MT沿给定的方向(所谓的“扫描方向”,例如y方向)以速度v移动,以使投射光束PB扫描整个掩模图像;同时,衬底台WT沿相同或者相反的方向以速度V=Mv同步移动,其中M是透镜PL的放大率(通常M=1/4或1/5)。在这种方式中,可以曝光相当大的目标部分C,而不必牺牲分辨率。
此外,软件可实施或帮助实施所公开的构思。计算机***的软件功能涉及包括可执行代码的编程,其可被用于实现上述的成像模型。软件代码可由通用的计算机执行。在操作中,代码和可能相关的数据记录被存储在通用的计算机平台内。然而,在其它时候,软件可以被存储在其它位置和/或被传送用于装载到适当的通用计算机***中。由此,上面讨论的实施例包括由至少一个机器可读介质携带的、以一个或多个代码模块的形式的一个或多个软件产品。由计算机***的处理器执行这种代码使平台以基本上是在此讨论的和示出的实施例中实施的方式实现目录和/或软件下载功能。
如在此所使用的,术语如计算机或机器“可读介质”指的是参与提供指令给处理器来执行的任何介质。该种介质可采用许多形式,包括但不限制的为非易失性介质、易失性介质和传输介质。非易失性介质包括例如光盘或磁盘,例如作为上述讨论的几个服务器平台之一操作的任何计算机的任何存储设备。易失性介质包括动态存储器,例如这种计算机平台的主存储器。物理传输介质包括同轴电缆、铜线和光纤,包括在计算机***中包含总线的导线。载波传输介质可采用电信号或电磁信号或例如那些在射频(RF)和红外(IR)数据通信期间产生的声波或光波。计算机可读介质的常见形式因此包括例如:软磁盘、软盘、硬盘、磁带、任何其它磁介质、CD-ROM、DVD、任何其它光学介质、通常少用的如穿孔卡的介质、纸带、任何其它的有孔图案的物理介质、RAM、PROM以及EPROM、FLASH-EPROM、任何其它存储芯片或盒式磁带、传输数据或指令的载波、传输这种载波的电缆或链路、或任何其它的计算机可读取编程代码和/或数据的介质。很多这些形式的计算机可读介质可参与运送一个或多个指令的一个或多个序列给处理器执行。
尽管本发明已经被详细地描述和示出,但是可清楚理解,本说明书只是用来说明和举例的而不是用来限制的,本发明的范围仅由所附权利要求的条款限制。

Claims (13)

1、一种产生用于成像过程图案的掩模的方法,所述方法包括下面步骤:
(a)获得具有多个要被成像在衬底上的特征的需要的目标图案;
(b)利用所述目标图案和与限定过程相关的过程参数来模拟晶片图像;
(c)限定至少一个特征类别;
(d)在具有所述多个特征的所述目标图案中识别对应于所述至少一个特征类别的特征,并且记录识别为对应于所述至少一个特征类别的每一个特征的误差值;并且
(e)产生统计的汇总,其表明识别为对应于所述至少一个特征类别的每一个特征的所述误差值。
2、如权利要求1所述的方法,其中,所述误差值表示在所述目标图案内的特征位置和所述模拟晶片图像内的相同特征的位置间的差别。
3、如权利要求2所述的方法,还包括步骤:限定多个特征类别;识别所述目标图案内的所述多个特征中每一个对应的所述多个特征类别中的特征类别;记录在所给定特征的各自的特征类别中每个特征的误差值;并且对于各个所述特征类别产生统计汇总,其表明识别为对应于所述给定特征类别的各个特征的所述误差值。
4、如权利要求3所述的方法,其中,所述目标设计中的所述多个特征的每一个被划分为所述多个特征类别中的至少之一。
5、如权利要求1所述的方法,还包括以下步骤:
(f)识别对应于所述至少一个特征类别的特征的误差公差;并且
(g)确定是否识别为对应于所述至少一个特征类别的任何特征具有超过所述误差公差的误差值。
6、如权利要求5所述的方法,还包括对识别为具有超过所述误差公差的误差值的任何特征进行校正措施的步骤,所述校正措施有效地将所对应特征的误差值降低到所述误差公差以下。
7、一种用于控制计算机的计算机程序产品,包括:所述计算机可读的记录介质;在所述记录介质上记录的、用于引导计算机产生对应于用于光刻成像过程的掩模的文件的装置,所述文件的产生包括以下步骤:
(a)获得具有多个要被成像在衬底上的特征的需要的目标图案;
(b)利用所述目标图案和与限定过程相关的过程参数来模拟晶片图像;
(c)限定至少一个特征类别;
(d)在具有所述多个特征的所述目标图案中识别对应于所述至少一个特征类别的特征,并且记录识别为对应于所述至少一个特征类别的每一个特征的误差值;并且
(e)产生统计汇总,其表明识别为对应于所述至少一个特征类别的每一个特征的所述误差值。
8、如权利要求7所述的计算机程序产品,其中,所述误差值表示在所述目标图案内的特征位置和所述模拟晶片图像内的相同特征的位置间的差别。
9、如权利要求8所述的计算机程序产品,还包括步骤:限定多个特征类别;识别所述目标图案内的所述多个特征中每一个对应的所述多个特征类别中的特征类别;记录在所给定特征的各自的特征类别中每个特征的误差值;并且对于各个所述特征类别产生统计汇总,其表明识别为对应于所述给定特征类别的各个特征的所述误差值。
10、如权利要求9所述的计算机程序产品,其中,所述目标设计中的所述多个特征的每一个被划分为所述多个特征类别中的至少之一。
11、如权利要求7所述的计算机程序产品,还包括以下步骤:
(f)识别对应于所述至少一个特征类别的特征的误差公差;并且
(g)确定是否识别为对应于所述至少一个特征类别的任何特征具有超过所述误差公差的误差值。
12、如权利要求11所述的计算机程序产品,还包括对于识别为具有超过所述误差公差的误差值的任何特征进行校正措施的步骤,所述校正措施有效地将所对应特征的误差值降低到所述误差公差以下。
13、一种器件制造方法,包括以下步骤:
(a)提供至少部分由一层辐射敏感材料覆盖的衬底;
(b)利用成像***提供辐射的投影光束;
(c)利用在掩模上的图案,以使所述投影光束在它的横截面具有图案;
(d)投影所构图的辐射光束到所述辐射敏感材料层的目标部分上,
其中,步骤(c)中,所述掩模由包括以下步骤的方法形成:
获得具有多个要成像在衬底上的特征的需要的目标图案;
利用所述目标图案和与限定过程相关的过程参数模拟晶片图像;
限定至少一个特征类别;
在具有所述多个特征的所述目标图案中识别对应于所述至少一个特征类别的特征,并且记录识别为对应于所述至少一个特征类别的每一个特征的误差值;并且
产生统计汇总,其表明识别为对应于所述至少一个特征类别的每一个特征的所述误差值。
CNA2005101199776A 2004-09-14 2005-09-14 一种用于实施全芯片制造可靠性检查和校正的方法 Pending CN1800971A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US60924304P 2004-09-14 2004-09-14
US60/609243 2004-09-14

Publications (1)

Publication Number Publication Date
CN1800971A true CN1800971A (zh) 2006-07-12

Family

ID=35462404

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2005101199776A Pending CN1800971A (zh) 2004-09-14 2005-09-14 一种用于实施全芯片制造可靠性检查和校正的方法

Country Status (7)

Country Link
US (1) US7434195B2 (zh)
EP (1) EP1635222A3 (zh)
JP (1) JP4455469B2 (zh)
KR (1) KR100841729B1 (zh)
CN (1) CN1800971A (zh)
SG (1) SG121141A1 (zh)
TW (1) TWI362568B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101452221B (zh) * 2007-12-05 2011-04-20 Asml荷兰有限公司 光刻工艺窗口模拟的方法和***
CN108351596A (zh) * 2015-08-10 2018-07-31 科磊股份有限公司 用于半导体掩模检验的基于多边形的几何分类

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100772783B1 (ko) * 2005-07-29 2007-11-01 주식회사 하이닉스반도체 반도체 소자의 에러 측정 방법
KR100642417B1 (ko) * 2005-09-20 2006-11-03 주식회사 하이닉스반도체 레이어 대 레이어 검사방법을 이용한 광학근접보정검증방법
US20080028359A1 (en) * 2006-07-31 2008-01-31 Stefan Blawid Termination structure, a mask for manufacturing a termination structure, a lithographic process and a semiconductor device with a termination structure
US7448008B2 (en) * 2006-08-29 2008-11-04 International Business Machines Corporation Method, system, and program product for automated verification of gating logic using formal verification
US7448018B2 (en) * 2006-09-12 2008-11-04 International Business Machines Corporation System and method for employing patterning process statistics for ground rules waivers and optimization
US7512927B2 (en) * 2006-11-02 2009-03-31 International Business Machines Corporation Printability verification by progressive modeling accuracy
US20080320421A1 (en) * 2007-06-20 2008-12-25 Demaris David L Feature extraction that supports progressively refined search and classification of patterns in a semiconductor layout
JP2009282319A (ja) * 2008-05-22 2009-12-03 Toshiba Corp パターン検証方法、パターン検証システム、パターン検証プログラム、マスク製造方法、および半導体装置の製造方法
JP2009302206A (ja) * 2008-06-11 2009-12-24 Canon Inc 露光パラメータの決定方法、露光パラメータを決定するためのプログラム、露光方法及びデバイス製造方法
US8381141B2 (en) 2010-10-28 2013-02-19 International Business Machines Corporation Method and system for comparing lithographic processing conditions and or data preparation processes
US8365108B2 (en) * 2011-01-06 2013-01-29 International Business Machines Corporation Generating cut mask for double-patterning process
TW201234464A (en) * 2011-02-14 2012-08-16 Horng Terng Automation Co Ltd Breaking point height detection method of wafer breaking
TW201316425A (zh) * 2011-10-12 2013-04-16 Horng Terng Automation Co Ltd 晶圓劈裂檢知方法
US8713485B2 (en) 2012-05-29 2014-04-29 International Business Machines Corporation Categorization of design rule errors
US9081932B2 (en) 2013-02-01 2015-07-14 Qualcomm Incorporated System and method to design and test a yield sensitive circuit
US8977988B2 (en) * 2013-04-09 2015-03-10 United Microelectronics Corp. Method of optical proximity correction for modifying line patterns and integrated circuits with line patterns modified by the same
US9064078B2 (en) * 2013-07-30 2015-06-23 Globalfoundries Inc. Methods and systems for designing and manufacturing optical lithography masks
US10339259B2 (en) * 2014-09-26 2019-07-02 Synopsys, Inc. Method for organizing, controlling, and reporting on design mismatch information in IC physical design data
US10509310B2 (en) 2015-04-07 2019-12-17 Asml Netherlands B.V. Patterning devices for use within a lithographic apparatus, methods of making and using such patterning devices
US9639645B2 (en) * 2015-06-18 2017-05-02 Globalfoundries Inc. Integrated circuit chip reliability using reliability-optimized failure mechanism targeting
KR102545141B1 (ko) 2017-12-01 2023-06-20 삼성전자주식회사 반도체 소자 및 그의 제조 방법

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4894790A (en) * 1986-02-05 1990-01-16 Omron Tateisi Electronics Co. Input method for reference printed circuit board assembly data to an image processing printed circuit board assembly automatic inspection apparatus
JPH03174716A (ja) * 1989-08-07 1991-07-29 Hitachi Ltd 電子ビーム描画装置および描画方式
US5307296A (en) * 1989-11-17 1994-04-26 Mitsubishi Denki Kabushiki Kaisha Semiconductor workpiece topography prediction method
US5245543A (en) * 1990-12-21 1993-09-14 Texas Instruments Incorporated Method and apparatus for integrated circuit design
JP3426647B2 (ja) * 1992-06-24 2003-07-14 日本電信電話株式会社 3次元トポグラフィシミュレーションのための一般化されたソリッドモデリング
US5307421A (en) * 1992-10-14 1994-04-26 Commissariat A L'energie Atomique Process for producing a synthesized reference image for the inspection of objects and apparatus for performing the same
JP3409493B2 (ja) * 1995-03-13 2003-05-26 ソニー株式会社 マスクパターンの補正方法および補正装置
US5621652A (en) * 1995-03-21 1997-04-15 Vlsi Technology, Inc. System and method for verifying process models in integrated circuit process simulators
US5719796A (en) * 1995-12-04 1998-02-17 Advanced Micro Devices, Inc. System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback
WO1997033205A1 (en) 1996-03-06 1997-09-12 Philips Electronics N.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
US5795688A (en) * 1996-08-14 1998-08-18 Micron Technology, Inc. Process for detecting defects in photomasks through aerial image comparisons
DE69735016T2 (de) 1996-12-24 2006-08-17 Asml Netherlands B.V. Lithographisches Gerät mit zwei Objekthaltern
US6078738A (en) * 1997-05-08 2000-06-20 Lsi Logic Corporation Comparing aerial image to SEM of photoresist or substrate pattern for masking process characterization
US6578188B1 (en) * 1997-09-17 2003-06-10 Numerical Technologies, Inc. Method and apparatus for a network-based mask defect printability analysis system
US6081658A (en) * 1997-12-31 2000-06-27 Avant! Corporation Proximity correction system for wafer lithography
US6634018B2 (en) * 2000-08-24 2003-10-14 Texas Instruments Incorporated Optical proximity correction
US6553559B2 (en) * 2001-01-05 2003-04-22 International Business Machines Corporation Method to determine optical proximity correction and assist feature rules which account for variations in mask dimensions
US6873720B2 (en) * 2001-03-20 2005-03-29 Synopsys, Inc. System and method of providing mask defect printability analysis
US6925202B2 (en) * 2001-03-20 2005-08-02 Synopsys, Inc. System and method of providing mask quality control
JP4663214B2 (ja) 2001-03-20 2011-04-06 シノプシイス インコーポレイテッド マスク欠陥のプリンタビリティ解析を提供するシステム及び方法
JP2002311561A (ja) * 2001-04-11 2002-10-23 Sony Corp パターン形成方法、パターン処理装置および露光マスク
US7103219B2 (en) * 2001-04-12 2006-09-05 Eastman Kodak Company Population mixture modeling with an indeterminate number of sub-populations
DE60214506T2 (de) 2001-10-09 2007-05-16 Asml Masktools B.V. Methode zur Kalibrierung und Optimierung einer 2-dimensionalen Modellierung von Mustern
TWI237745B (en) * 2001-12-19 2005-08-11 Sony Corp Mask pattern correction apparatus and mask pattern correction method
US7035446B2 (en) * 2002-05-22 2006-04-25 Lsi Logic Corporation Quality measurement of an aerial image
US20030229875A1 (en) * 2002-06-07 2003-12-11 Smith Taber H. Use of models in integrated circuit fabrication
JP2004311561A (ja) * 2003-04-03 2004-11-04 Sumitomo Mitsubishi Silicon Corp ウェーハ貼付方法及びウェーハ貼付装置
US7550235B2 (en) * 2003-09-05 2009-06-23 Asml Masktools B.V. Method and apparatus for performing model based placement of phase-balanced scattering bars for sub-wavelength optical lithography
US7003758B2 (en) * 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101452221B (zh) * 2007-12-05 2011-04-20 Asml荷兰有限公司 光刻工艺窗口模拟的方法和***
CN108351596A (zh) * 2015-08-10 2018-07-31 科磊股份有限公司 用于半导体掩模检验的基于多边形的几何分类

Also Published As

Publication number Publication date
TWI362568B (en) 2012-04-21
KR100841729B1 (ko) 2008-06-27
EP1635222A3 (en) 2007-09-19
TW200622509A (en) 2006-07-01
EP1635222A2 (en) 2006-03-15
US20060080633A1 (en) 2006-04-13
JP2006085188A (ja) 2006-03-30
US7434195B2 (en) 2008-10-07
SG121141A1 (en) 2006-04-26
KR20060051274A (ko) 2006-05-19
JP4455469B2 (ja) 2010-04-21

Similar Documents

Publication Publication Date Title
CN1800971A (zh) 一种用于实施全芯片制造可靠性检查和校正的方法
US10514612B2 (en) Method and system for overlay control
CN100543588C (zh) 产生具有光学邻近校正特征的掩模的方法和器件制造方法
CN102053504B (zh) 基于衍射标记分析的对设计布局中的优化图案的选择
US6735492B2 (en) Feedback method utilizing lithographic exposure field dimensions to predict process tool overlay settings
CN105980934B (zh) 过程窗口的优化方法
CN101258498B (zh) 用于形成光刻工艺的焦点曝光模型的***和方法
JP5658317B2 (ja) モデルベースのリソグラフィ誘導レイアウト設計を実行するための方法
CN1577099B (zh) 对NA-σ曝光设置和散射条OPC同时优化的方法和装置
US7512928B2 (en) Sub-resolution assist feature to improve symmetry for contact hole lithography
US6337162B1 (en) Method of exposure, photomask, method of production of photomask, microdevice, and method of production of microdevice
US6553559B2 (en) Method to determine optical proximity correction and assist feature rules which account for variations in mask dimensions
CN100480860C (zh) 用于次半波长光刻构图的改善的散射条opc应用方法
CN1908812A (zh) 执行双重曝光光刻的方法、程序产品和设备
CN1869818A (zh) 用于改进临界尺寸计算中使用的光刻胶模型的校准的方法、程序产品以及设备
CN1737694A (zh) 在角部利用倒角与修圆的光学邻近校正
CN101470344A (zh) 用于对全芯片图案实施图案分解的方法
CN109863458A (zh) 选择与衬底上的测量或特征相关联的部位的集合
CN1495539A (zh) 自动光学近似校正规则的产生
CN1510520A (zh) 通过照明源优化提供透镜像差补偿的方法和设备
CN1749861B (zh) 优化形成在基板上的掩模图案的方法
US7745067B2 (en) Method for performing place-and-route of contacts and vias in technologies with forbidden pitch requirements
CN100576083C (zh) 用于多次曝光过程的基于模型的几何分解方法及相应产品
CN117120931A (zh) 确定至少一个目标布局的方法和相关联的计量装置
CN101122736A (zh) 一种改进的cpl掩模及产生cpl掩模的方法和程序产品

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication