CN1574200A - 质量流控制流量检定和校准的方法 - Google Patents

质量流控制流量检定和校准的方法 Download PDF

Info

Publication number
CN1574200A
CN1574200A CNA2004100431475A CN200410043147A CN1574200A CN 1574200 A CN1574200 A CN 1574200A CN A2004100431475 A CNA2004100431475 A CN A2004100431475A CN 200410043147 A CN200410043147 A CN 200410043147A CN 1574200 A CN1574200 A CN 1574200A
Authority
CN
China
Prior art keywords
gas
controller
flow
flow rate
supply gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2004100431475A
Other languages
English (en)
Inventor
威廉·丹尼尔·贝弗斯
约瑟夫·威廉·巴克夫勒
詹姆斯·L·弗莱克
罗伯特·弗兰西斯·琼斯
贝内特·J·罗斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Agere Systems LLC
Original Assignee
Agere Systems LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Agere Systems LLC filed Critical Agere Systems LLC
Publication of CN1574200A publication Critical patent/CN1574200A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01FMEASURING VOLUME, VOLUME FLOW, MASS FLOW OR LIQUID LEVEL; METERING BY VOLUME
    • G01F25/00Testing or calibration of apparatus for measuring volume, volume flow or liquid level or for metering by volume
    • G01F25/10Testing or calibration of apparatus for measuring volume, volume flow or liquid level or for metering by volume of flowmeters
    • G01F25/17Testing or calibration of apparatus for measuring volume, volume flow or liquid level or for metering by volume of flowmeters using calibrated reservoirs

Landscapes

  • Physics & Mathematics (AREA)
  • Fluid Mechanics (AREA)
  • General Physics & Mathematics (AREA)
  • Flow Control (AREA)
  • Chemical Vapour Deposition (AREA)
  • Feeding, Discharge, Calcimining, Fusing, And Gas-Generation Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一种用于在半导体制造工艺中监视或校准通过质量流控制器的气体流率的方法和装置。参考质量流控制器设置在用于从与同样多个供给气体有关的多个质量流控制器的一个接收气体流量的旁路环路中。选择一个气体供给质量流控制器并将其控制为具有特定的气体流率。通过选定的质量流控制器的气体流量,当气体流向排气管时还通过参考质量流控制器。将气体供给质量控制器所要求的流率与由参考质量流控制器确定的实际流率相比,从而监视和校准气体供给质量流控制器。

Description

质量流控制流量检定和校准的方法
本申请根据35U.S.C.119(e),要求2003年5月12提交的临时专利申请并指定申请号60/469,669的优先权。
技术领域
本发明通常涉及半导体集成电路的制造,以及更具体地说,涉及用于质量流控制器的检定和校准的方法和装置,通过该质量流控制器,将气体供给在集成电路制造期间使用的处理室(process chamber)。
背景技术
集成电路(或芯片)包括具有用衬底内的掺杂区形成的半导体器件,诸如晶体管的硅衬底。在覆盖该半导体衬底的多个并行层(parallellayer)中形成的导电互连结构电连接半导体器件以便形成集成电路内的电子电路。
制造集成电路从硅晶片开始,该硅晶片经过多个顺序的制造工艺以便在晶片中形成多个相同的芯片,每个芯片包含进行协作以提供所需功能性的有源器件(例如晶体管)和无源器件(例如电容器和电阻器)。
执行几个不同的顺序工艺以便形成和互连各器件。通常,这些工艺包括但不限于:注入和扩散掺杂剂杂质;通过物理和化学汽相沉积在衬底的上表面上淀积元件;掩膜,构图以及蚀刻导电和介电结构;以及从表面生成介电和半导体材料。
在密封一个或多个晶片的处理室(也称为处理工具(processtool))中执行每个工艺。当该工艺结束时,从当前处理室移出晶片并转移到执行下一工艺的另一处理室。每个工艺包括自动地启动并受工艺控制器控制的多个工艺步骤。在某些工艺步骤期间,各种气体和材料被引入该处理室,同时仔细地控制处理室温度和压力。在输入到工艺控制器以对每个工艺步骤实行控制的工艺制法中规定每个步骤的持续时间、供给该处理室的气体和材料的量及时间,以及处理室温度和压力。
图1示例说明用于从气体供给(gas supply)1、2、3和4接收多个气体种类中的一个或多个的处理室10。如本领域技术人员所公知的,在图1中所示的气体供给的数量仅是示例性的。根据在处理室10中执行的工艺,可以要求更多或更少的气体供给。例如,在将锗有选择地淀积在硅上的处理室中,气体可包括二氯甲硅烷(SiH2Cl2)、氢气(H2)、乙硼烷(B2H6)、盐酸(HCl)和锗烷(GeH4)。
气体通过质量流控制器12、14、16和18分别与气动阀22、24、26和28的串联结构,从气体供给1、2、3和4的每一个供应到流体节点19。质量流控制器12、14、16和18调节进入处理室10的种类气体的流量。精确的工艺控制要求仔细地调节气体流量,当不能这样做时,会导致制造有缺陷的集成电路。为确保精确的气体流量,定期地检查每个质量流控制器的校准。
如图1中进一步所述,在流体节点19和处理室10间的生产流水线20中布置气动阀30。
可编程***控制器40控制气动阀22、24、26、28以及30,在指定工艺步骤期间,根据流入处理室10内的气体的需求打开或关闭这些阀门。另外,***控制器40将设定值提供给每个质量流控制器12、14、16和18以便根据用于每个工艺步骤的制法来确定气体流率(flowrate)。通常按sccm(在标准温度和压力下,每分钟立方厘米)或slm(在标准温度和压力下每分钟升)测量气体流率。
图1还示例说明与流体节点19串联流体相通的排气管线46和气动排气阀48,用于当排气阀48打开时排放种类气体至排气和消除。
已知当***控制器40设置质量流控制器流率时,要求用于气体流量的有限瞬时间隔以便达到新的流率。为防止以不正确的流率将气体引入处理室10内,在这一瞬时间隔期间,经排气阀48将气体供给排放到排气管线46。在该瞬时间隔后,如由相对平坦或恒定的流率所示的,关闭排气阀48,打开生产流水线阀30,以及气体流入处理室10。
对特定的气体种类校准每个质量流控制器,只要安装后,难以确定质量流控制器是否正以工艺步骤所要求或所需的流率供给气体。根据用于检验气体流率的一种现有技术,取下质量流控制器并用已知的、以所要求的流率供给气体的质量流控制器代替。这是耗时和劳动密集的工艺,因为如果在替换质量流控制器前后破坏了处理室完整性,则必须净化流体线路以及必须清洁处理室10。
根据另一种检定技术,由处理室填充气体的速率确定通过质量流控制器的实际气体流率。在排空处理室10后,来自试验中的质量流控制器的气体流通过生产流水线20引入处理室10。由于处理室体积是已知的,可以由理想气体定律PV=nRT计算进入处理室内的流率。处理室温度和体积是已知的,常数R是已知的。将气体供应到处理室10直到处理室压力等于气体供给压力,在此时,气体流结束。使用这些参数,气体的摩尔数能由理想气体定律方程式确定。由于测量了填充处理室的持续时间,由摩尔数除以填充处理室所需的时间来确定流率。
已知这种“上升率(rate of rise)”测量技术易于出错。例如,由于处理室壁内的不均匀性,不可能精确地知道处理室体积。同时,也不可能使处理室温度保持恒定或确定处理室温度。
已知某些气体种类与处理室部件起反应,从而由于暴露向这些气体而破坏这些部件。在常规处理室操作期间,仅当伴随有与导致破坏的气体反应的中和气体以便防止处理室部件破坏时,才将这些气体提供到处理室。在这些工艺***中,使用上升率技术来确定流率精确率是不可取的。
为确定用于这些处理室的流率,将多种气体流引入处理室,在这一时间期间,在淀积在处理室内的晶片上形成独特的膜。可由所测量的该膜的特性来确定特定气体流率。
发明内容
本发明包括一种用于在进入处理室前,监视或校准具有多种工艺气体(process gas)的***中的气体流量的方法。该方法包括使几种气体的每一种在进入处理室前流过不同的质量流控制器,以及使气体流量转向参考质量流控制器以使参考质量流控制器与专用于所述气体的质量流控制器之间的流率确定相互关联。
本发明进一步包括一种用于监视或校准进入处理室的气体流量的装置。该装置包括多种供给气体和多个供给气体质量流控制器,其中,每种供给气体与用于控制从此通过的供给气体的流率的供给气体质量流控制器有关。供给气体的一种或多种通过第一流体通路进入处理室。参考质量流控制器位于第二流体通路中,其中,使至少一种供给气体流过参考质量流控制器,以便使参考质量流控制器和与供给气体有关的供给气体质量流控制器之间的流率确定相互关联。
附图说明
从下述对附图中所述的本发明的更具体的描述,本发明的上述和其他特征将是显而易见的,其中在不同图中,相同的标记表示相同部件。这些图不一定按比例,而是强调示例说明本发明的原理。
图1是现有技术处理室工艺配置的示意图。
图2是根据本发明的教导的处理室工艺配置的示意图。
具体实施方式
在详细地描述根据本发明的特定半导体集成电路工艺方法和装置前,应注意到本发明是硬件元件和工艺步骤的新颖的和非显而易见的组合。因此,在附图和说明书中,用传统的元件表示这些元件,其中不很详细地描述在本领域中传统公知的各元件和工艺步骤,但是更详细地描述与理解本发明有关的各元件和步骤。
如图2所示,根据本发明,用于流量校准或检定的处理工具结构包括位于排气管线46内的阀60、62、64以形成旁路环路68。阀60位于旁路环路68的流体入口通路中,以及阀62位于旁路环路68的流体出口通路中。在一个优选实施例中,每个阀60、62和64包括手控阀。在另一实施例中,根据本发明,阀60、62和64能在启动流量检定或校准工艺前(经图2中未示出的导体),由***控制器40命令打开。在另一实施例中,当期望其监视或校准供给气体流时,可采用其他阀装置来允许流体流过参考质量流控制器70,以及允许在工艺执行期间供给气体流入处理室。例如,可将阀48、60、62和64的一个或多个组合成单个阀以便根据需要控制流体流。
旁路环路68进一步包括用于确定通过此的流率的参考质量流控制器70。参考质量流控制器70通过双向电子链路73从计算机或可编程控制器72接收信息并将信息提供给该计算机或可编程控制器72。在一个优选实施例中,质量流控制器70包括数字质量流控制器,因为已知数字质量流控制器比模拟型更精确。在另一实施例中,质量流控制器70包括模拟质量流控制器。
为根据本发明的教导执行对质量流控制器12、14、16或18中的一个的检定或校准,关闭阀64和打开阀60和62。同时,打开排气阀48以及关闭生产流水线阀30。这些阀设置允许来自气体供给1、2、3和4中一个的气体种类流过其各自的质量流控制器和旁路环路68。
假定选择质量流控制器12用于检定或校准,***控制器40将质量流控制器12控制为具有一个气体流率。气体从气体供给1流过,连续流过质量流控制器12和旁路环路68,包括参考质量流控制器70。计算机72确定由参考质量流控制器70所测量的流率,即,参考流率。
参考流率可被记录在计算机72和/或提供用作在计算用于质量流控制器70的校正因子中的***控制器40的输入,该校正因子表示用于质量流控制器12所要求的流率与由参考质量流控制器70所测量的参考流率之间的差。当在一个工艺步骤期间质量流控制器12可操作时,***控制器40使用校正因子以便由所需要的气体流率计算校正的气体流率。***控制器40将质量流控制器12控制到具有所校正的气体流率,以确保从此通过的实际流率等于所需流率。使用这一技术,还可校准剩余的质量流控制器14、16和18。
参考流率(或校正因子)还表示用于质量流控制器12的基线流率。在稍后的某一时间,质量流控制器12对相同的气体种类,经受如上所述的另一检定/校准工艺。基线流率和稍后确定的参考流率间的任何差异表示质量流控制器12的流率控制机制中的变化。
有利地,根据本发明的装置和方法是用于参考质量流控制器70中的故障的自检查。在使用参考质量流控制器70的气体流率的常规检定期间,如果所有流率偏离先前确定的基线流率,则指示参考质量流控制器的不正确操作。
如本领域所公知的,将质量流控制器校准到特定的气体种类是有利的,因为质量流控制器的流率控制机制基于气体种类的某些特性(例如分子大小和气体温度)。在未将参考质量流控制器70校准到特定的气体种类的一个实施例中,能实施一系列校准测试以便确定对指定的气体种类,由参考质量流控制器70确定的参考流率与实际流率间的关联。只要相关因子已知,就能使用数学算法由用于气体种类的参考流率来计算实际气体流率。
期望对“类属”气体,诸如氮,校准参考质量流控制器。正确地校正参考质量流控制器70以便识别约5%或更小的流量偏差是有利的。即,如果期望100sccm的流率,那么参考质量流控制器应当能提供从约95sccm(95%)至约105(105%)的流率。
使用下面阐述的过程,能校准用于任何气体种类的参考质量流控制器。为特定气体种类生成的相关曲线和校准因子允许将参考质量流控制器流量读数转换成用于任何种类气体的实际气体流率。相关曲线允许由参考质量流控制器70所测量的流率来确定用于特定气体的实际流率。例如,如果参考质量流控制器表示气体A的流率为40sccm,可使用一校准曲线来确定气体A实际上正按50sccm流动。根据相关曲线,参考质量流控制器读数和实际气体流量之间的偏移量是参考值的1.25倍(50/40=1.25)。因此,校准因子是1.25。如果参考质量流控制器70稍后测量用于气体A的流率为80sccm,则计算机72使用该校准因子1.25来确定实际流率为100sccm(80*1.25=100)。
下面描述确定用于每种气体种类的相关曲线的一种方法。假定所选择的质量流控制器,诸如质量流控制器12以及参考质量流控制器70是已知的良好的质量流控制器。识别用于感兴趣的气体(即,来自气体供给1的气体,因为选择了质量流控制器12)的流率,例如,流率为100sccm。选择低流率和高流率间的流率范围以确保在感兴趣的流率的上下具有适当的余量。例如+/-50%的余量通常被视为是适当的。选择流率增量,即在每次检查试验期间流率将按其改变的量。所选择的增量应当小于制造工艺能容许的最大流率偏差,例如目标流量的5%或5sccm。
将所选定的质量流控制器控制到低流率,以及按增量率递增到高流率。例如,在本例子中,使用50、55、60、65、…140、145和150的流率。对这些流率的每一个,由参考质量流控制器70确定参考流率。通过将所要求的气体流量值绘在x轴上以及将参考流率绘在y轴上,创建相关曲线。可确定该曲线的方程式并将该方程式作为用于所选定的气体种类的相关方程式或校准因子。能在相关方程式中使用由参考质量流控制器70确定的未来的流率值以便确定由参考质量流控制器所测量的、用于指定气体种类的实际气体流率。在该工艺***中,执行用于每种气体种类的这种过程以生成用于每个气体种类的相关曲线。
尽管参考优选实施例描述了本发明,本领域的技术人员将理解到在不背离本发明的范围的情况下,可以做出各种改变以及可以用等效的元件替代其中的各元件。本发明的范围进一步包括来自在此阐述的各个实施例的元件的任何组合。另外,在不背离其本质范围的情况下,可以做出改进以便使特定的情形适应于本发明的教导。例如,本发明的教导不限于在半导体制造工业中使用的质量流控制器,而是也能应用于食品和制药工业中的质量流控制器。因此,本发明意图是不限于所公开的特定实施例,而是将包括落在附后权利要求范围内的所有实施例。

Claims (17)

1.一种用于在进入处理室前,监视或校准具有多种工艺气体的***中的气体流量的方法,包括:
a)使几种气体中的每一种在进入处理室前流过不同的质量流控制器;
b)使气体流转向参考质量流控制器以使参考质量流控制器与专用于所述气体的质量流控制器之间的流率确定相互关联。
2.如权利要求1的方法,进一步包括响应参考质量流控制器和专用于所述气体的质量流控制器之间的流率确定来确定校准因子。
3.如权利要求2的方法,进一步包括:
将校准因子提供作为专用于所述气体的质量流控制器的输入,用于根据校准因子调整其流率。
4.如权利要求1的方法,其中,所述转向步骤进一步包括构造允许气体流过参考质量流控制器以及防止气体流向处理室的气流通路。
5.如权利要求4的方法,其中,所述构造气流通路的步骤进一步包括使气体流向参考质量流控制器位于其中的排气流通路。
6.一种用于确定在包括多种工艺气体种类的***中用于特定气体种类的参考质量流控制器的校准因子的方法,其中,多种气体种类中的每一种通过相关的工艺气体质量流控制器操作,该方法包括:
a)使特定的气体种类以多种气体流率流过相关的工艺气体质量流控制器;
b)由参考质量流控制器确定用于多种气体流率中的每一个的参考流率;以及
c)基于参考流率和气体流率间的关系,确定用于特定气体种类的校准因子。
7.一种用于监视或校准进入处理室的气体流量的装置,包括:
多种供给气体;
多个供给气体质量流控制器,其中,每种供给气体与用于控制从此通过的供给气体的流率的供给气体质量流控制器有关;
与所述多个供给气体质量流控制器选择性地实现流体相通的第一和第二流体通路,其中,处理室位于第一流体通路中;以及
位于第二流体通路中的参考质量流控制器,其中,通过参考质量流控制器的选定供给气体的流量与参考质量流控制器和与该选定的供给气体有关的供给气体质量流控制器之间的流率确定相关联。
8.如权利要求7所述的装置,其中,第二流体通路包括排气通路和旁路环路,以及其中,参考质量流控制器位于该旁路环路中。
9.如权利要求8所述的装置,进一步包括一个或多个阀,所述阀具有用于将选定的供给气体通过第二流体通路引向参考质量流控制器的第一配置,和用于将供给气体通过第一流体通路引向处理室的第二配置。
10.如权利要求9所述的装置,进一步包括用于将所述一个或多个阀控制到具有所述第一或第二配置的***控制器。
11.如权利要求7所述的装置,进一步包括响应于参考质量流控制器和供给气体质量流控制器之间的流率确定的***控制器,该***控制器用于响应此,控制供给气体质量流控制器。
12.如权利要求7所述的装置,其中,第二流体通路包括在此串联设置的第一和第二阀、排气端、从第一和第二阀之间的第二流体通路分支的入口流体通路、从第二阀和排气端之间的第二流体通路分支的出口流体通路,以及进一步包括串联设置在入口流体通路中的第三阀和串联设置在出口流体通路中的第四阀,其中,参考质量流控制器位于第三和第四阀之间。
13.如权利要求12所述的装置,其中,为监视或校准气体流量,第一、第三和第四阀处于打开位置以及第二阀处于关闭位置。
14.一种在制造半导体集成电路中使用的装置,包括:
处理室;
多个供给气体;
同样多个供给气体质量流控制器,其中,该多个供给气体的每一个与用于控制从此通过的气体流率的该多个供给气体质量流控制器中的一个有关;
与多个供给气体质量流控制器选择性地实现流体相通的第一和第二流体通路,其中,处理室位于第一流体通路中;
位于第二流体通路中的参考质量流控制器,其中,由参考质量流控制器确定选定的供给气体的流率以便与由和所选定的供给气体有关的供给气体质量流控制器所确定的流率相关联。
15.如权利要求14所述的装置,其中,第二流体通路包括排气通路和旁路环路,其中参考质量流控制器位于该旁路环路中。
16.如权利要求15所述的装置,其中,第二流体通路包括用于控制通过第二流体通路的气体流量的排气阀和用于控制通过旁路环路的气体流量的排气阀。
17.如权利要求14所述的装置,进一步包括具有用于将气体流量引向第一流体通路的第一配置和用于将气体流量引向第二流体通路的第二配置的阀。
CNA2004100431475A 2003-05-12 2004-05-12 质量流控制流量检定和校准的方法 Pending CN1574200A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US46966903P 2003-05-12 2003-05-12
US60/469,669 2003-05-12

Publications (1)

Publication Number Publication Date
CN1574200A true CN1574200A (zh) 2005-02-02

Family

ID=34272423

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2004100431475A Pending CN1574200A (zh) 2003-05-12 2004-05-12 质量流控制流量检定和校准的方法

Country Status (4)

Country Link
US (1) US20040250600A1 (zh)
JP (1) JP2005045210A (zh)
CN (1) CN1574200A (zh)
TW (1) TW200507141A (zh)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7881886B1 (en) 2006-11-17 2011-02-01 Lam Research Corporation Methods for performing transient flow prediction and verification using discharge coefficients
CN101536159B (zh) * 2006-11-17 2011-12-28 朗姆研究公司 进行实际流量检验的方法
CN103003766A (zh) * 2010-07-30 2013-03-27 株式会社富士金 气体供给装置用流量控制器的校正方法及流量计测方法
CN103038867A (zh) * 2010-04-30 2013-04-10 应用材料公司 基板制程***中用于校准流量控制器的装置及方法
CN103225074A (zh) * 2012-01-25 2013-07-31 东京毅力科创株式会社 处理装置和处理状态的确认方法
TWI416619B (zh) * 2006-11-17 2013-11-21 Lam Res Corp 執行實際流動驗證的方法
CN104731116A (zh) * 2013-12-24 2015-06-24 北京北方微电子基地设备工艺研究中心有限责任公司 半导体加工设备中气路控制的方法及***
TWI575349B (zh) * 2012-08-21 2017-03-21 應用材料股份有限公司 流動比率控制器組件、氣體輸送系統及其操作方法
CN111101115A (zh) * 2018-10-25 2020-05-05 北京北方华创微电子装备有限公司 气路切换装置及其控制方法、半导体加工设备

Families Citing this family (307)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070021935A1 (en) * 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7775236B2 (en) * 2007-02-26 2010-08-17 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7950267B2 (en) * 2008-07-30 2011-05-31 Bi-Phase Technologies, Llc Liquid propane gas injector testing system and methods
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5337542B2 (ja) * 2009-03-12 2013-11-06 株式会社堀場エステック マスフローメータ、マスフローコントローラ、それらを含むマスフローメータシステムおよびマスフローコントローラシステム
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10957561B2 (en) * 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
KR102628015B1 (ko) * 2017-12-01 2024-01-23 삼성전자주식회사 질량 유량 제어기, 반도체 소자의 제조장치 및 그의 관리방법
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP6904231B2 (ja) * 2017-12-13 2021-07-14 東京エレクトロン株式会社 基板処理方法、記憶媒体及び原料ガス供給装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11733081B2 (en) * 2021-04-13 2023-08-22 Applied Materials, Inc. Methods, systems, and apparatus for conducting a calibration operation for a plurality of mass flow controllers (MFCs) of a substrate processing system
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5233861A (en) * 1990-12-03 1993-08-10 Motorola, Inc. Apparatus and method for in situ calibration of a metering device
US5744695A (en) * 1997-01-10 1998-04-28 Sony Corporation Apparatus to check calibration of mass flow controllers
US6074691A (en) * 1997-06-24 2000-06-13 Balzers Aktiengesellschaft Method for monitoring the flow of a gas into a vacuum reactor
US6205409B1 (en) * 1998-06-26 2001-03-20 Advanced Micro Devices, Inc. Predictive failure monitoring system for a mass flow controller
JP3830670B2 (ja) * 1998-09-03 2006-10-04 三菱電機株式会社 半導体製造装置
US6343617B1 (en) * 1999-07-09 2002-02-05 Millipore Corporation System and method of operation of a digital mass flow controller
US6389364B1 (en) * 1999-07-10 2002-05-14 Mykrolis Corporation System and method for a digital mass flow controller
US6332348B1 (en) * 2000-01-05 2001-12-25 Advanced Micro Devices, Inc. Gas flow calibration of mass flow controllers
US6405745B1 (en) * 2000-03-22 2002-06-18 Delphi Technologies, Inc. Ultra accurate gas injection system

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI416619B (zh) * 2006-11-17 2013-11-21 Lam Res Corp 執行實際流動驗證的方法
CN101536159B (zh) * 2006-11-17 2011-12-28 朗姆研究公司 进行实际流量检验的方法
US8150646B2 (en) 2006-11-17 2012-04-03 Lam Research Corporation Methods for delivering a process gas
US7881886B1 (en) 2006-11-17 2011-02-01 Lam Research Corporation Methods for performing transient flow prediction and verification using discharge coefficients
CN103038867A (zh) * 2010-04-30 2013-04-10 应用材料公司 基板制程***中用于校准流量控制器的装置及方法
CN103038867B (zh) * 2010-04-30 2016-03-16 应用材料公司 基板制程***中用于校准流量控制器的装置及方法
CN103003766A (zh) * 2010-07-30 2013-03-27 株式会社富士金 气体供给装置用流量控制器的校正方法及流量计测方法
CN103225074A (zh) * 2012-01-25 2013-07-31 东京毅力科创株式会社 处理装置和处理状态的确认方法
US9708711B2 (en) 2012-01-25 2017-07-18 Tokyo Electron Limited Processing apparatus and process status checking method
TWI575349B (zh) * 2012-08-21 2017-03-21 應用材料股份有限公司 流動比率控制器組件、氣體輸送系統及其操作方法
CN104731116A (zh) * 2013-12-24 2015-06-24 北京北方微电子基地设备工艺研究中心有限责任公司 半导体加工设备中气路控制的方法及***
CN104731116B (zh) * 2013-12-24 2017-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 半导体加工设备中气路控制的方法及***
CN111101115A (zh) * 2018-10-25 2020-05-05 北京北方华创微电子装备有限公司 气路切换装置及其控制方法、半导体加工设备

Also Published As

Publication number Publication date
JP2005045210A (ja) 2005-02-17
US20040250600A1 (en) 2004-12-16
TW200507141A (en) 2005-02-16

Similar Documents

Publication Publication Date Title
CN1574200A (zh) 质量流控制流量检定和校准的方法
US7137400B2 (en) Bypass loop gas flow calibration
CN100394149C (zh) 用于原位流量检验和校准的***和方法
US11053591B2 (en) Multi-port gas injection system and reactor system including same
US7195930B2 (en) Cleaning method for use in an apparatus for manufacturing a semiconductor device
US7628860B2 (en) Pulsed mass flow delivery system and method
US7007707B2 (en) Mass flow ratio system and method
US9405298B2 (en) System and method to divide fluid flow in a predetermined ratio
US11519773B2 (en) Methods, systems, and apparatus for mass flow verification based on choked flow
US7628861B2 (en) Pulsed mass flow delivery system and method
US20050199342A1 (en) Semiconductor manufacturing gas flow divider system and method
US20020192369A1 (en) Vapor deposition method and apparatus
US20050087299A1 (en) Semiconductor device fabricating system and semiconductor device fabricating method
EP1870490A2 (en) Evaporator for CVD and gas flow rate regulator.
DE102017130551A1 (de) Vorrichtung und Verfahren zur Gewinnnung von Informationen über in einem CVD-Verfahren abgeschiedener Schichten
US20060251815A1 (en) Atomic layer deposition methods
KR102443580B1 (ko) 가스 펄싱 기반 공유 전구체 분배 시스템 및 사용 방법들
JPH0641759A (ja) 気相成長装置および気相成長装置におけるマスフローコントローラの校正方法
WO2002033361A2 (en) Apparatus and method for maintaining a constant pressure drop across a gas metering unit
JP2004063968A (ja) 半導体装置の製造装置及び半導体装置の製造方法
Nagarkatti et al. 104aft. 14-re na 104b.--it-112b

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication