CN117826534A - Method for forming semiconductor device - Google Patents

Method for forming semiconductor device Download PDF

Info

Publication number
CN117826534A
CN117826534A CN202310585916.7A CN202310585916A CN117826534A CN 117826534 A CN117826534 A CN 117826534A CN 202310585916 A CN202310585916 A CN 202310585916A CN 117826534 A CN117826534 A CN 117826534A
Authority
CN
China
Prior art keywords
coating layer
groups
photoresist layer
layer
crosslinked coating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202310585916.7A
Other languages
Chinese (zh)
Inventor
訾安仁
张庆裕
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US18/167,741 external-priority patent/US20240210822A1/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN117826534A publication Critical patent/CN117826534A/en
Pending legal-status Critical Current

Links

Landscapes

  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

Methods for forming semiconductor devices are provided. The method includes the step of forming a coating layer on a substrate, the coating layer comprising a switchable polymer comprising a polymer backbone and pendant groups attached to the polymer backbone, and an acid generator. The pendant groups include acid labile groups and crosslinking groups. A baking process is then performed to cause crosslinking of the crosslinking groups to form a crosslinked coating layer. Next, a photoresist layer is deposited onto the crosslinked coating layer. After selectively exposing the photoresist layer and the crosslinked coating layer to patterning radiation, developing the selectively exposed photoresist layer and the crosslinked coating layer to form an open pattern on the photoresist layer and the crosslinked coating layer.

Description

Method for forming semiconductor device
Technical Field
The present disclosure relates to a method for forming a semiconductor device.
Background
The semiconductor integrated circuit (integrated circuit, IC) industry has experienced an exponential growth. Technological advances in IC materials and design have resulted in multi-generation ICs, where each generation has smaller and more complex circuitry than the previous generation. During the evolution of ICs, functional density (i.e., the number of interconnected devices per chip area) has generally increased while geometry (i.e., the smallest devices (or lines) that can be created using a fabrication process) has decreased. Such scaled down processes generally provide benefits by improving production efficiency and reducing associated costs. This scaling also increases the complexity of processing and manufacturing ICs.
Disclosure of Invention
According to some embodiments of the present disclosure, a method for forming a semiconductor device includes the following steps. A coating layer is formed over the substrate. The coating layer comprises a switchable polymer and an acid generator. The switchable polymer comprises a polymer backbone and a plurality of pendant groups attached to the polymer backbone, wherein the pendant groups comprise a plurality of acid labile groups and a plurality of crosslinking groups. A baking process is performed to crosslink the crosslinking groups, thereby forming a crosslinked coating layer. A photoresist layer is deposited over the crosslinked coating layer. The photoresist layer and the crosslinked coating layer are selectively exposed to patterning radiation. Developing the selectively exposed photoresist layer and the crosslinked coating layer to form a pattern of a plurality of openings in the photoresist layer and the crosslinked coating layer.
According to some embodiments of the present disclosure, a method for forming a semiconductor device includes the following steps. A photoresist layer comprising an organometallic compound is deposited over the substrate. A coating layer is formed over the photoresist layer, the coating layer comprising a switchable polymer, an acid generator, and a quencher. The switchable polymer comprises a polymer backbone, a plurality of pendant acid labile groups attached to the polymer backbone, and a plurality of crosslinking groups. The coating layer is heated at the crosslinking temperature of these crosslinking groups to form a crosslinked coating layer. The photoresist layer and the crosslinked coating layer are selectively exposed to patterning radiation. Developing the selectively exposed photoresist layer and the crosslinked coating layer to form a patterned crosslinked coating layer and a patterned photoresist layer.
According to some embodiments of the present disclosure, a method for forming a semiconductor device includes the following steps. A coating composition is applied to a substrate to form a coating layer, the coating composition comprising a switchable polymer, an acid generator, and a solvent. The switchable polymer has a polymer backbone and a plurality of pendant groups including one or more acid labile groups, one or more crosslinking groups, and one or more optional floating groups attached to the polymer backbone. The substrate and the coating layer are heated to a temperature at which one or more crosslinking groups react to crosslink the switchable polymer, thereby forming a crosslinked coating layer. A photoresist layer is formed over the crosslinked coating layer. The photoresist layer and the crosslinked coating layer are exposed to radiation through a photomask. The photoresist layer and the plurality of unexposed areas of the crosslinked coating layer are removed by a developer to form a patterned photoresist layer and a patterned crosslinked coating layer.
Drawings
The aspects of the present disclosure are best understood from the following detailed description when read with the accompanying drawing figures. It should be noted that the various features are not drawn to scale according to industry standard practices. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
Fig. 1 is a flow chart of a method for manufacturing a semiconductor device according to some embodiments;
fig. 2A-2F are cross-sectional views of semiconductor devices fabricated using the method of fig. 1 according to some embodiments;
FIG. 3 illustrates an exemplary switchable polymer in a coating layer according to some embodiments;
FIG. 4A illustrates an exemplary organometallic compound according to some embodiments;
FIG. 4B illustrates an exemplary reaction of an organometallic compound in the presence of water according to some embodiments;
FIG. 5 illustrates an exemplary reaction of an organometallic compound according to some embodiments;
FIG. 6A illustrates an exemplary cleavage reaction of an acid labile group of a switchable polymer, in accordance with some embodiments;
FIG. 6B illustrates an exemplary condensation reaction between an organometallic compound in a photoresist layer and a deprotected switchable polymer in a coating layer, according to some embodiments;
fig. 7 is a flow chart of a method for manufacturing a semiconductor device according to some embodiments;
fig. 8A-8E are cross-sectional views of semiconductor devices fabricated using the method of fig. 7 according to some embodiments.
[ symbolic description ]
100. 700 method of
102. 104, 106, 108, 110, 112, 702, 704, 706, 708, 710, 712: operation
200 semiconductor device
202 substrate
210 coating layer
210a floating region
212 cross-linking coating layer
212a cross-linked floating regions
212e exposure area
212u unexposed area
212p patterned crosslinked coating layer
214 a first baking process, a baking or heating process, a baking process
220 photoresist layer
220e exposure area
220u unexposed area
220p patterned photoresist layer
230 radiation of
240 mask
242 first zone
244 second region
250 opening
260 concave part
301 Polymer
302 switchable Polymer
310 Polymer backbone
312 acid labile groups
314 crosslinking groups
316 Floating group
320 acid generator
330 quenching agent
402 organometallic Compounds
404 hydroxyl group-containing Compound
406 organometallic Polymer
M core
M +: metal core
L ligands
Detailed Description
The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of elements, values, operations, materials, arrangements, or the like are described below to simplify the present disclosure. These examples are of course illustrative only and are not intended to be limiting. Other elements, values, operations, materials, arrangements, and the like are contemplated. For example, forming a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. Additionally, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
Further, spatially relative terms, such as "below," "under …," "lower," "above," "upper," and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s), as illustrated in the figures. In addition to the orientations depicted in the drawings, spatially relative terms are intended to encompass different orientations of the device in use or operation. The system may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.
In describing the compounds, compositions, methods, and processes of the present disclosure, the following terms have the following meanings, unless otherwise indicated.
As described herein, the compounds disclosed herein may be optionally substituted with one or more substituents, such as those generally described below, or as exemplified by the particular classes, subclasses, and species of the disclosure. It should be understood that the phrase "optionally substituted" may be used interchangeably with the phrase "substituted or unsubstituted". Generally, the term "substituted", whether preceded by the term "optionally", refers to the replacement of one or more hydrogen radicals in a given structure with radicals of specified substituents. An optionally substituted group may have substituents at each substitutable position of the group unless otherwise indicated. When more than one position in a given structure may be substituted with more than one substituent selected from a specified group, the substituents may be the same or different at each position.
"amine" means-NH 2 A group.
"carboxy" means-CO 2 H groups.
"carbonyl" refers to a-c=o group.
"hydroxyl" refers to the-OH group.
"pendant oxy" refers to an =o substituent.
"nitro" means-NO 2 A group.
"alkyl" refers to a straight or branched hydrocarbon group consisting of only carbon and hydrogen atoms, which is saturated or unsaturated (i.e., contains one or more double and/or triple bonds), has one to twelve carbon atoms (C1-C12 alkyl), preferably one to eight carbon atoms (C1-C8 alkyl) or one to six carbon atoms (C1-C6 alkyl), and which is attached to the remainder of the molecule by a single bond, such as methyl, ethyl, n-propyl, 1-methylethyl (isopropyl), n-butyl, n-pentyl, 1-dimethylethyl (tert-butyl), 3-methylhexyl, 2-methylhexyl, vinyl, prop-1-enyl, but-1-enyl, pent-1, 4-dienyl, ethynyl, propynyl, butynyl, pentynyl, hexynyl, and the like. Unless specifically stated otherwise in the specification, alkyl groups may be optionally substituted.
"alkylene" or "alkylene chain" refers to a straight or branched divalent hydrocarbon chain consisting of only carbon and hydrogen that connects the remainder of the molecule to a substituent, the straight or branched divalent hydrocarbon chain being saturated or unsaturated (i.e., containing one or more double and/or triple bonds) and having one to twelve carbon atoms, such as methylene, ethylene, propylene, n-butyl, ethylene, propylene, n-butylene, and the like. The alkylene chain is connected to the rest of the molecule via a single or double bond and to the substituent via a single or double bond. The point of attachment of the alkylene chain to the remainder of the molecule and to the substituent may be achieved through one or any two carbons within the chain. Unless specifically stated otherwise in the specification, the alkylene chain may be optionally substituted.
"alkoxy" means-OR a Wherein R is a group of a Is an alkyl group as defined above containing one to twelve carbon atoms. Unless specifically stated otherwise in the specification, alkoxy groups may be optionally substituted.
"alkylamino" means a compound of formula-NHR a or-NR a R a Wherein each R is a Independently an alkyl group as defined above containing one to twelve carbon atoms. Unless specifically stated otherwise in the specification, the alkylamino group may be optionally substituted.
"amide" means-NR a R b Free radical, wherein R a R is R b Independently is H, alkyl or aryl. Unless specifically stated otherwise in the specification, the amide groups may be optionally substituted.
"aryl" refers to a hydrocarbon ring system group comprising hydrogen, 6 to 18 carbon atoms, and at least one aromatic ring. For the purposes of this disclosure, aryl groups may be monocyclic, bicyclic, tricyclic, or tetracyclic ring systems, which may include fused or bridged ring systems. Aryl groups include, but are not limited to, those derived from acetenyl (acetenyl), acenaphthylene (acetenyl), acetenyl (acetenyl), allium fistulosum, azulene, benzene,(chrysene), fluoranthene, fluorene and asymmetric indoleDanene (as-indacene), symmetrical indacene, indene, naphthalene, phenalene, phenanthrene, obsidian (pleiadene), pyrene, and binaphthyl. Unless specifically stated otherwise in the specification, the term "aryl" or the prefix "ar-" (such as in "aralkyl") is intended to include optionally substituted aryl.
"cycloalkyl" or "carbocycle" refers to a stable aromatic monocyclic or multicyclic hydrocarbon group consisting of only carbon and hydrogen atoms, which may include a fused or bridged ring system, having from three to fifteen carbon atoms, preferably from three to ten carbon atoms, and which is saturated or unsaturated and linked to the remainder of the molecule by a single bond. Monocyclic cycloalkyl groups include, for example, cyclopropyl, cyclobutyl, cyclopentyl, cyclohexyl, cycloheptyl, and cyclooctyl. Polycyclic cycloalkyl groups include, for example, adamantyl, norbornyl, decalinyl, 7-dimethyl-bicyclo [2.2.1] heptyl, and the like. Unless specifically stated otherwise in the specification, cycloalkyl groups may be optionally substituted.
"halo" or "halogen" refers to bromo, chloro, fluoro, and iodo.
"haloalkyl" refers to an alkyl group as defined above substituted with one or more halo groups as defined above, such as trifluoromethyl, difluoromethyl, trichloromethyl, 2-trifluoroethyl, 1, 2-difluoroethyl, 3-bromo-2-fluoropropyl, 1, 2-dibromoethyl and the like. Unless specifically stated otherwise in the specification, haloalkyl groups may be optionally substituted.
"heterocyclyl" or "heterocycle" refers to a stable 3-to 18-membered non-aromatic ring group consisting of two to twelve carbon atoms and one to six heteroatoms selected from nitrogen, oxygen and sulfur. Unless specifically stated otherwise in the specification, heterocyclyl groups may be a monocyclic, bicyclic, tricyclic or tetracyclic ring system, which ring systems may include fused or bridged ring systems; and the nitrogen, carbon or sulfur atoms in the heterocyclyl group may optionally be oxidized; optionally, the nitrogen atom is quaternary ammonium; and the heterocyclyl groups may be partially or fully saturated. Examples of such heterocyclic groups include, but are not limited to, dioxolanyl, thienyl [1,3] dithiocyclohexyl, decahydroisoquinolinyl, imidazolinyl, imidazolidinyl, isothiazolyl, isoxazolidinyl, morpholinyl, octahydroindolyl, octahydroisoindolyl, 2-lateral oxypiperazinyl, 2-lateral oxypiperidinyl, 2-lateral oxypyrrolidinyl, oxazolidinyl, piperidinyl, piperazinyl, 4-piperidonyl, pyrrolidinyl, pyrazolidinyl, quinuclidinyl, tetrahydrothiazolyl, tetrahydrofuranyl, trithio yl, tetrahydrothiopyranyl, thiomorpholinyl, 1-lateral oxy-thiomorpholinyl, and 1, 1-lateral oxy-thiomorpholinyl. Unless specifically stated otherwise in the specification, the heterocyclic group may be optionally substituted.
"N-heterocyclyl" refers to a heterocyclyl as defined above containing at least one nitrogen, and wherein the point of attachment of the heterocyclyl to the remainder of the molecule is through a nitrogen atom in the heterocyclyl. Unless specifically stated otherwise in the specification, the N-heterocyclic group may be optionally substituted.
"Heterocyclylalkyl" means a radical of formula-R b R e Wherein R is a group of b Is an alkylene chain as defined above and R e Is a heterocyclic group as defined above, and if the heterocyclic group is a nitrogen-containing heterocyclic group, the heterocyclic group may be attached to an alkyl group at a nitrogen atom. Unless specifically stated otherwise in the specification, heterocyclylalkyl groups may be optionally substituted.
"heteroaryl" refers to a 5-to 14-membered ring system group comprising a hydrogen atom, one to thirteen carbon atoms, one to six heteroatoms selected from nitrogen, oxygen and sulfur, and at least one aromatic ring. For the purposes of this disclosure, heteroaryl groups may be monocyclic, bicyclic, tricyclic, or tetracyclic ring systems, which ring systems may include fused or bridged ring systems; and the nitrogen, carbon or sulfur atoms in the heteroaryl group may optionally be oxidized; the nitrogen atom may optionally be quaternized. Examples include, but are not limited to, azepine, acridine, benzimidazole, benzothiazole, benzindole, benzodioxido, benzofuranyl, benzoxazolyl, and the like benzothiazolyl, benzothiadiazolyl, benzo [ b ] [1,4] dioxanyl, 1, 4-benzodioxanyl, benzonaphthofuranyl, benzoxazolyl, benzodioxanyl benzodioxinyl, benzopyranyl, benzopyranonyl, benzofuranyl, benzofuranonyl, benzothienyl (benzothiophenyl), benzotriazole, benzo [4,6] imidazo [1,2-a ] pyridinyl, carbazolyl, cinnolinyl, dibenzofuranyl, dibenzothiophenyl, furanyl, furanonyl, isothiazolyl, imidazolyl, indazolyl indolyl, indazolyl, isoindolyl, indolinyl, isoindolinyl, isoquinolinyl, indolizinyl, isoxazolyl, naphthyridinyl, oxadiazolyl, 2-oxazinyl, oxazolyl, oxiranyl, 1-pyridyl oxide, 1-pyrimidyl oxide, 1-pyrazinyl oxide, 1-pyridazinyl oxide, 1-phenyl-1H-pyrrolyl, phenazinyl, phenothiazinyl, oxazinyl, daizinyl, pteridinyl, purinyl, pyrrolyl, pyrazolyl, pyridyl, pyrazinyl, pyrimidinyl, pyridazinyl, quinazolinyl, quinoxalinyl, quinolinyl, quinuclidinyl, isoquinolinyl, tetrahydroquinolinyl, thiazolyl, thiadiazolyl, triazolyl, tetrazolyl, triazinyl, and thiophenyl (i.e., thienyl). Unless specifically stated otherwise in the specification, heteroaryl groups may be optionally substituted.
"N-heteroaryl" refers to a heteroaryl group as defined above containing at least one nitrogen, and wherein the point of attachment of the heteroaryl group to the remainder of the molecule is through a nitrogen atom in the heteroaryl group. Unless specifically stated otherwise in the specification, an N-heteroaryl group may be optionally substituted.
"heteroaralkyl" means-R b R f Wherein R is a group of b Is an alkylene chain as defined above and R f Is heteroaryl as defined above. Unless specifically stated otherwise in the specification, a heteroaralkyl group may be optionally substituted.
"hydroxyalkyl" refers to an alkyl group containing at least one hydroxy substituent. One or more-OH substituents may be located on a primary, secondary or tertiary carbon atom. Hydroxyalkyl groups may be optionally substituted unless specifically stated otherwise in the specification.
"hydroxyalkyl ether" refers to an alkyl ether group containing at least one hydroxy substituent. One or more-OH substituents may be located on a primary, secondary or tertiary carbon atom. The hydroxyalkyl ether groups may be optionally substituted unless specifically indicated in the specification.
Sulfonate esters "Refers to-OS (O) 2 R a A group, wherein R is a Is an alkyl or aryl group. The sulfonate groups may be optionally substituted unless specifically indicated in the specification.
The term "substituted" as used herein means any of the above groups (i.e., alkyl, alkylene, alkoxy, alkylamino, amide, aryl, cycloalkyl, etc.) in which at least one hydrogen atom is replaced by a bond to a non-hydrogen atom such as, but not limited to: halogen atoms such as F, cl, br and I; oxygen atoms in groups such as hydroxyl, alkoxy, and ester groups; a sulfur atom in a group such as a thiol group, a thioalkyl group, a sulfone group, a sulfonyl group, and a sulfoxide group; nitrogen atoms in groups such as amines, amides, alkylamines, dialkylamines, arylamines, alkylaryl amines, diarylamines, N-oxides, imides, and enamines; silicon atoms in groups such as trialkylsilyl, dialkanylaryl silyl, alkyldiarylsilyl and triarylsilyl; and other heteroatoms in various other groups. "substituted" also means any of the above groups in which one or more hydrogen atoms are replaced by a higher bond (e.g., a double or triple bond) to a heteroatom such as oxygen in a pendant oxy, carbonyl, carboxyl, and ester group; and nitrogen such as imine, oxime, hydrazone, and nitrile. For example, "substituted" includes wherein one or more hydrogen atoms are replaced by-NR g R h 、-NR g C(=O)R h 、-NR g C(=O)NR g R h 、-NR g C(=O)OR h 、-NR g SO 2 R h 、-OC(=O)NR g R h 、-OR g 、-SR g 、-SOR g 、-SO 2 R g 、-OSO 2 R g 、-SO 2 OR g 、=NSO 2 R g -SO 2 NR g R h Any of the above groups replaced. "substituted" also means that one or more hydrogen atoms are replaced by-C (=O) R g 、-C(=O)OR g 、-C(=O)NR g R h 、-CH 2 SO 2 R g 、-CH 2 SO 2 NR g R h Replaced upper partAny of the groups described. In the above, R g R is R h The same or different and are independently hydrogen, alkyl, alkoxy, alkylamino, thioalkyl, aryl, aralkyl, cycloalkyl, cycloalkylalkyl, haloalkyl, heterocyclyl, N-heterocyclyl, heterocyclylalkyl, heteroaryl, N-heteroaryl and/or heteroarylalkyl. "substituted" further means any of the above groups in which one or more hydrogen atoms are replaced by bonds to: amino, cyano, hydroxy, imino, nitro, oxo, thio, halo, alkyl, alkoxy, alkylamino, thioalkyl, aryl, aralkyl, cycloalkyl, cycloalkylalkyl, haloalkyl, heterocyclyl, N-heterocyclyl, heterocyclylalkyl, heteroaryl, N-heteroaryl, and/or heteroarylalkyl. In addition, each of the foregoing substituents may also be optionally substituted with one or more of the foregoing substituents.
IC fabrication uses one or more photolithography processes to transfer geometric patterns onto a film or substrate. The geometry and pattern on the semiconductor form complex structures that enable dopants, electrical characteristics and conductive lines to form circuits and achieve technical goals. In a photolithography process, a photoresist is applied as a thin film onto a substrate and then exposed to one or more types of radiation or light through a photomask. The mask contains transparent and opaque features that define the pattern to be created in the photoresist layer. The areas of the photoresist exposed to light passing through the mask may be soluble or insoluble in a particular type of solution called a developer. In the case where the exposed areas are soluble, a positive image of the mask is created in the photoresist, and this type of photoresist is referred to as a positive photoresist. On the other hand, if the unexposed areas are dissolved by a developer, a negative image is generated in the photoresist, and this type of photoresist is called a negative photoresist. The developer removes the more soluble areas leaving the patterned photoresist in place. The resist pattern is then used as an etch mask in a subsequent etching process to transfer the pattern to the underlying material layer, thereby replicating the mask pattern in the underlying material layer. Alternatively, the resist pattern is then used as an ion implantation mask in a subsequent ion implantation process applied to an underlying material layer, such as an epitaxial semiconductor layer.
Extreme Ultraviolet (EUV) etching to achieve sub-20 nm half pitch resolution is under development for mass production of next generation sub-5 nm nodes. EUV etching requires high performance photoresist with high sensitivity to reduce the cost of high power exposure sources and provide good image resolution.
As feature sizes decrease below 40nm pattern pitch, linewidth resolution is affected. In small pitch and high aspect ratio patterns, it is difficult to remove the remaining photoresist or scum. To improve line width roughness (line width roughness, LWR) in EUV etching operations, a coating layer is formed under or on top of a photoresist layer according to embodiments of the present disclosure, the coating layer comprising a switchable polymer having a polymer backbone and pendant acid labile groups and crosslinking groups attached to the polymer backbone. Upon irradiation, the acid labile groups of the switchable polymer in the exposed regions of the coating layer cleave from the polymer backbone to produce reactive functional groups that react with the organometallic compound in the photoresist layer to form covalent bonds therebetween. Thus, the coating layer helps to enhance the collapse window, reduce LWR, and fine tune the profile shape of the resist pattern. By using a coating layer below or above the photoresist layer, the breakdown window can be enlarged by about 0.5nm to 2nm, the LWR can be increased by more than 5%, and the resist pattern integrity can be increased by more than 10%. In some embodiments, a coating layer is formed under the photoresist layer and acts as a bottom anti-reflective coating (BARC). In some embodiments, a coating layer is formed on top of the photoresist layer and acts as a top anti-reflective coating (TARC).
Fig. 1 is a flowchart illustrating a method 100 for forming a semiconductor device 200, according to some embodiments of the present disclosure. Fig. 2A-2F are cross-sectional views of a semiconductor device 200 at various stages of fabrication according to some embodiments of the present disclosure. The intermediate steps of the method 100 are described with reference to cross-sectional views of a semiconductor device 200 as shown in fig. 2A-2F. It should be understood that for additional embodiments of the method, additional steps may be provided before, during, and after the method 100, and that some of the steps described below may be replaced or eliminated. It should be further understood that for additional embodiments of the semiconductor device 200, additional features may be added in the semiconductor device 200, and that some of the features described below may be replaced or eliminated.
The semiconductor device 200 may be an intermediate structure during IC fabrication or a portion thereof. The IC may include logic circuits, memory structures, passive elements such as resistors, capacitors, and inductors, and active elements such as diodes, field-effect transistor (FET), metal-oxide-semiconductor field-effect transistors (metal-oxide semiconductor field effect transistor, MOSFET), complementary metal-oxide-semiconductor (complementary metal-oxide semiconductor, CMOS) transistors, bipolar transistors, high voltage transistors, high frequency transistors, fin FETs (finfets), other three-dimensional (3D) FETs, and combinations thereof. The semiconductor device 200 may include a plurality of semiconductor devices (e.g., transistors) that may be connected to each other.
Referring to fig. 1 and 2A, according to some embodiments, the method 100 includes an operation 102, forming a coating layer 210 over a substrate 202 in operation 102. Fig. 2A is a cross-sectional view of the semiconductor device 200 after a coating layer 210 is formed over the substrate 202, according to some embodiments.
In some embodiments, the substrate 202 may be a bulk semiconductor substrate comprising one or more semiconductor materials. In some embodiments, the substrate 202 may include silicon, silicon germanium, carbon doped silicon (Si: C), silicon germanium carbide, or other suitable semiconductor materials. In some embodiments, the substrate 202 is composed entirely of silicon.
In some embodiments, the substrate 202 may include one or more epitaxial layers formed on a top surface of a bulk semiconductor substrate. In some embodiments, one or more epitaxial layers introduce strain in the substrate 202 for performance enhancement. For example, the epitaxial layer comprises a semiconductor material different from the semiconductor material of the bulk semiconductor substrate, such as a silicon germanium layer overlying the bulk silicon or a silicon layer overlying the bulk silicon germanium. In some embodiments, one or more epitaxial layers incorporated into the substrate 202 are formed by selective epitaxial growth, such as metal-organic vapor phase epitaxy (MOVPE), molecular beam epitaxy (molecular beam epitaxy, MBE), hydride vapor phase epitaxy (hydride vapor phase epitaxy, HVPE), liquid phase epitaxy (liquid phase epitaxy, LPE), metal-organic molecular beam epitaxy (metal-organic molecular beam epitaxy, MOMBE), or combinations thereof.
In some embodiments, the substrate 202 may be a semiconductor-on-insulator (SOI) substrate. In some embodiments, the SOI substrate includes a semiconductor layer, such as a silicon layer formed on an insulator layer. In some embodiments, the insulator layer is a Buried Oxide (BOX) layer comprising silicon oxide or silicon germanium oxide. An insulator layer is disposed on a handle substrate such as a silicon substrate. In some embodiments, the SOI substrate is formed using separate implantation oxygen (SIMOX) or other suitable techniques, such as wafer bonding and polishing.
In some embodiments, the substrate 202 may also include a dielectric substrate such as silicon oxide, silicon nitride, silicon oxynitride, low-k dielectric, silicon carbide, and/or other suitable layers.
In some embodiments, the substrate 202 may also include various p-type doped regions and/or n-type doped regions, such as by processes such as ion implantation and/or diffusion. Those doped regions include n-type wells, p-type wells, lightly doped regions (lightly doped region, LDD), and various channel doping profiles used to form various IC devices such as COMOS transistors, imaging sensors, and/or light emitting diodes (light emitting diode, LEDs). The substrate 202 may also include other functional features, such as resistors and/or capacitors formed in the substrate 202 and/or on the substrate 202.
In some embodiments, the substrate 202 may also include various isolation features. The isolation features separate individual device areas in the substrate 202. The isolation features include different structures formed by using different processing techniques. For example, the isolation features may include shallow trench isolation (shallow trench isolation, STI) features. STI formation may include etching trenches in the substrate 202 and filling the trenches with an insulator material such as silicon oxide, silicon nitride, and/or silicon oxynitride. The filled trench may have a multi-layer structure such as a thermal oxide liner with silicon nitride filling the trench. Chemical mechanical polishing (chemical mechanical polishing, CMP) may be performed to polish away excess insulator material and planarize the top surfaces of the isolation features.
In some embodiments, the substrate 202 may also include a gate stack formed of a dielectric layer and an electrode layer. The dielectric layer may include an interfacial layer and a high-k dielectric layer deposited by suitable techniques such as chemical vapor deposition (chemical vapor deposition, CVD), atomic layer deposition (atomic layer deposition, ALD), physical vapor deposition (physical vapor deposition, PVD), thermal oxidation, combinations thereof, and/or other suitable techniques. The interfacial layer may comprise silicon dioxide and the high-k dielectric layer may comprise LaO, alO, zrO, tiO, ta 2 O 5 、Y 2 O 3 、SrTiO 3 、BaTiO 3 、BaZrO、HfZrO、HfLaO、HfSiO、LaSiO、AlSiO、HfTaO、HfTiO、(Ba,Sr)TiO 3 (BST)、Al 2 O 3 、Si 3 N 4 SiON, and/or other suitable materials. The electrode layer may comprise a single-layer or multi-layer structure such as various combinations of metal layers (work function metal layers), underlayers, wetting layers, adhesion layers, and conductive layers of metals, metal alloys, or metal silicides having work functions to enhance device performance. The electrode layer may comprise Ti, ag, al, tiAlN, taC, taCN, taSiN, mn, zr, tiN, taN, ru, mo, al, WN, cu, W, any suitable material, and/or combinations thereof.
In some embodiments, the substrate 202 may also include integrated inter-level dielectric (ILD) layers and conductive features to form an interconnect structure that is used to couple various p-type and n-type doped regions and other functional features, such as gate electrodes, to form a functional integrated circuit. In one example, the substrate 202 may include a portion of an interconnect structure, and the interconnect structure may include a multi-layer interconnect (multi-layer interconnect, MLI) structure and an ILD layer integrated with the MLI structure, providing electrical wiring to couple various devices in the substrate 202 to input/output power and signals. The interconnect structure includes various metal lines, contacts, and via features (or plugs). The metal lines provide horizontal electrical wiring. The contacts provide vertical connections between the silicon substrate and the metal lines, while the via features provide vertical connections between the metal lines in different metal layers.
In some embodiments, the substrate 202 includes a dielectric layer. In some embodiments, the dielectric layer comprises silicon oxide, silicon nitride, or silicon oxynitride. In some other embodiments, the dielectric material includes a metal oxide such as titanium oxide or a metal nitride such as titanium nitride.
The coating layer 210 is disposed on the substrate 202. In some embodiments, the coating layer 210 improves the adhesion of the photoresist layer to the substrate 202. In some embodiments, the coating layer 210 acts as a bottom anti-reflective coating (BARC). The BARC absorbs radiation that passes through the photoresist layer, thereby preventing reflection of the radiation from the substrate 202 and exposing unintended portions of the photoresist layer. Thus, the BARC improves the line width roughness and line edge roughness of the photoresist pattern.
In some embodiments and as shown in fig. 3, coating layer 210 may include switchable polymer 302, acid generator 320, and quencher 330.
Switchable polymer 302 has a polymer backbone 310 and a plurality of pendant groups (e.g., groups 312, 314, and 316) attached to the polymer backbone 310. In some embodiments, the polymer backbone 310 is an organic polymer or an inorganic polymer. In some embodiments, the polymer backbone 310 (i.e., the polymer backbone (polymer main chain)) is formed from one or more monomers selected from the group consisting of: acrylates, acrylic acid, siloxanes, hydroxystyrenes, methacrylates, vinyl esters, maleates, methacrylonitriles and methacrylamides.
In some embodiments, the functional groups attached to the polymer backbone 310 may include acid labile groups 312, crosslinking groups 314, and floating groups 316. The flotation groups 316 are optional and may be omitted in some embodiments. In some embodiments, additional functional groups may be bonded to the polymer backbone 310 and/or between the polymer backbone 310 and the functional groups 312, 314, and 316.
Acid labile group (acid labile group, ALG) 312 via linker L 1 Attached to the polymer backbone 310. The acid labile groups 312 undergo an acid-promoted deprotection reaction upon exposure to radiation and/or heat treatment to produce reactive groups on the polymer side chains. In some embodiments, the decomposed acid labile groups 312 are derived from carboxylic acid groups, fluorinated alcohol groups, phenolic alcohol groups, sulfonic acid groups, sulfonamide groups, sulfonylimino groups, (alkylsulfonyl) (alkylcarbonyl) methylene groups, (alkylsulfonyl) (alkylcarbonyl) imino groups, bis (alkylcarbonyl) methylene groups, bis (alkylcarbonyl) imino groups, bis (alkylsulfonyl) methylene groups, bis (alkylsulfonyl) imino groups, ginseng (alkylsulfonyl) methylene groups, combinations of these groups, or the like. Specific groups for fluorinated alcohol groups include fluorinated hydroxyalkyl groups, in some embodiments, such as hexafluoroisopropanol groups. Specific groups for carboxylic acid groups include acrylic groups, methacrylic groups, or the like.
The acid labile groups 312 are selected to be stable at the crosslinking temperature of the crosslinking groups 314 and the pre-exposure bake temperature of the photoresist such that the acid labile groups 312 do not switch or decompose prior to exposure to radiation. For example, in the case where the crosslinking temperature is 215 ℃ and the pre-exposure bake temperature of the photoresist is 180 ℃, the acid labile groups 312 need to be stable at least 215 ℃. In some embodiments, the acid labile groups 312 constitute from about 10wt.% to about 70wt.% of the switchable polymer 302. When the amount of the acid labile groups 312 is outside the disclosed range, line width roughness and scum reduction may not be improved.
Crosslinking group 314 via linker L 2 Attached to the polymer backbone 310. The crosslinking groups 314 on the two polymer chains may react to bond the two polymer chains together, thereby increasing the solvent resistance of the coating layer 210 so that the coating layer 210 is not dissolved by the solvent used to form the photoresist layer. The crosslinking groups 314 are selected such that the activation energy of the crosslinking groups 314 is lower than the activation energy of the acid labile groups 312 such that crosslinking of the switchable polymer 302 does not cause reaction or decomposition of the acid labile groups 312. In some embodiments, crosslinking groups 314 comprise a switchable polymer 302 from about 30wt.% to about 70wt.%. When the amount of the crosslinking group 314 is outside the disclosed range, the line width roughness and the scum reduction may not be improved.
Via linker L, the flotation group 316 3 Attached to the polymer backbone 310. In some embodiments, L 3 No floating groups 316 are present and are directly attached to the polymer backbone 310. The floating groups 316 help the switchable polymer 302 float to the upper portion of the coating layer 210 during the coating and baking process. In some embodiments, the flotation groups 316 include fluorine-containing functional groups. In some embodiments, the floating group 316 is a fluoroalkyl group, such as-CF 3 、-C 2 F 5 、-C 3 F 7 or-C 4 F 9 . In some embodiments, where the acid labile groups 312 include fluoroalkyl groups that can float the switchable polymer 302, the float groups 316 are omitted from the polymer structure. Floating groups 316, if present, constitute from about 5wt.% to about 40wt.% of switchable polymer 302. When the amount of the floating group 316 is out of the disclosed range, the line width roughness and the scum reduction may not be improved.
In some examples, switchable polymer 302 has the following structure (I):
wherein:
L 1 、L 2 l and L 3 Independently at each occurrence a direct bond or C 1-10 Alkylene, C 1-10 A heteroalkylene, arylene, heteroarylene, or heteroatom linker.
Ra, rb, and Rc are, at each occurrence, independently hydrogen, C 1-10 Alkyl or halogen;
R 1 an acid labile group at each occurrence;
R 2 at each occurrence is a crosslinking group;
R 3 a floating group at each occurrence;
m and n are independently integers of 1 or more; and is also provided with
p is an integer of 0 or more.
In some embodiments, ra, rb, and Rc are each independently hydrogen or methyl.
In some embodiments, R 1 Has one of the following structures:
/>
in some embodiments, R 2 Has one of the following structures:
wherein:
r is hydrogen or C at each occurrence 1-10 An alkyl group;
q is an integer from 1 to 300; and is also provided with
w is an integer of 1 to 6.
In some embodiments, R is methyl, ethyl, propyl, isopropyl, n-butyl, and n-pentyl.
R 3 Is a CxFy group. CxFy may contain straight or branched chains. The number of carbon atoms (x) may be one (1) to nine (9). The number of fluorine (y) may be equal to 2x+1 or 3x. In some embodiments, R 3 Has one of the following structures:
in some embodiments, L 1 、L 2 L and L 3 Independently is a substituted or unsubstituted, branched or unbranched, cyclic or acyclic group and includes 1-9 saturated carbocyclic or acyclic groups, -S-, -P (O) which are unsubstituted or substituted by halogen, such as an alkene 2 )-、-C(=O)S-、-C(=O)O-、-O-、-N-、-C(=O)N-、-SO 2 O-、-SO 2 S-、-SO-、-SO 2 -、-C 6 H 6 -O-、-C 6 H 6 -O-C (=o) O-or ether, ketone, ester or phenylene groups.
In some embodiments, L 1 、L 2 Or L 3 Independently having one of the following structures:
the acid generator 320 is dispersed within the coating layer 210. The acid generator 320 is selected to have sufficient thermal stability to withstand the high temperatures used in the heating process to which the coating layer 210 is subjected during processing (e.g., crosslinking the crosslinking groups 314 and baking the photoresist).
In some embodiments, the acid generator 320 is a photoacid generator (PAG) that generates an acid upon exposure to radiation, such as EUV radiation or electron beam radiation. In some embodiments, the photoacid generator can include a combination of cations and anions. Examples of photoacid generators according to embodiments of the present disclosure include α - (trifluoromethylsulfonyloxy) -bicyclo [2.2.1] hept-5-ene-2, 3-dicarboximide (MDT), N-hydroxy-naphthalimide (DDSN), benzoin tosylate, tertiary butyl phenyl- α - (p-toluenesulfonyloxy) acetate and tertiary butyl- α - (p-toluenesulfonyloxy) acetate, triarylsulfonium and diaryliodonium hexafluoroantimonate, hexafluoroarsonate, triflate, iodonium perfluorooctanesulfonate, N-camphorsulfonyloxy naphthalimide, N-pentafluorophenyl sulfonyloxy naphthalimide, ionic iodonium sulfonates such as diaryliodonium (alkyl or aryl) sulfonate and bis- (di-tertiary butylphenyl) iodonium sulfonate, perfluoroalkane sulfonates such as perfluoropentanesulfonate, perfluorooctanesulfonate, perfluoromethanesulfonate, aryl (e.g., phenyl or benzyl) triflate such as triphenylsulfonium or bis-iodonium triflate, tri-phenyl-triflate, bis-iodonium-tri-phenyl-triflate, bis-phenyl-tri-guanidinium, bis-phenolsulfonate, bis-phenyl-sulfonyl-bis-phthalimide, and the like, benzyl-4-hydroxy-sulfonyl-substituted-sulfones, and the like.
In some embodiments, the cation is selected from the group consisting of:
in some embodiments, the anion is selected from the group consisting of:
in some embodiments, the acid generator 320 is a Thermal Acid Generator (TAG) that generates an acid when heated. In some embodiments, the thermal acid generator is selected from the group consisting of:
/>
wherein:
r is H or alkyl; and is also provided with
n is an integer from 1 to 6.
In some embodiments, the concentration of the acid generator 320 is in the range of about 1wt.% to about 20wt.%, based on the total weight of the coating composition. In other embodiments, the concentration of the acid generator 320 is in the range of about 10wt.% to about 15wt.% based on the total weight of the coating composition. At a concentration of the acid generator 320 below the disclosed range, sufficient acid may not be generated to improve line width roughness and reduce scum. When the concentration of the acid generator 320 is greater than the disclosed range, there may be no significant improvement or line width roughness and scum may increase.
Quencher 330 is dispersed within coating layer 210. The quencher 330 neutralizes excess acid generated by the irradiation operation and the subsequent post-exposure bake operation, thus inhibiting diffusion of the generated acid within the coating layer 210. The quencher 330 improves resist pattern configuration and resist stability over time. In some embodiments, the quencher 330 is an amine, such as a secondary lower aliphatic amine, a tertiary lower aliphatic amine, or the like. Specific examples of amines include trimethylamine, diethylamine, triethylamine, di-n-propylamine, tri-n-propylamine, tripentylamine, diethanolamine and triethanolamine, alkanolamines, combinations thereof, or the like. In some embodiments, the quencher 330 has one of the following structures:
In some embodiments, the quencher 330 is a photo-decomposable base (PDB) that generates an alkaline moiety in response to radiation. The basic moiety generated by the photo-decomposable base reacts with the generated acid, thereby preventing the generated acid from diffusing into the portion of the coating layer 210 that is not exposed to actinic radiation. In some embodiments, the photodegradable base can include a combination of cations and anions. In some examples, the photobase generator has the following structure:
wherein:
r is alkyl, heteroalkyl, cycloalkyl or heterocycloalkyl;
x is a carbonyloxy (-C (=o) O-);
y is a straight chain, branched or cyclic alkylene or arylene group;
rf is a hydrocarbon group containing a fluorine atom; and is also provided with
Representing an organic cation or a metal cation.
In some embodiments, R is selected from cyclopentyl, cyclohexyl, cycloheptyl, 4-methylcyclohexyl, cyclohexylmethyl, norbornyl, adamantyl, 2-oxocyclopentyl, 2-oxocyclohexyl, 2-cyclopentyl-2-oxoethyl, 2-cyclohexyl-2-oxoethyl, 2- (4-methylcyclohexyl) -2-oxoethyl, and 4-oxoadamantyl.
In some embodiments, rf is trifluoromethyl.
In some embodiments, the cation is selected from one of the following structures:
In some embodiments, the anion is selected from one of the following structures:
in some embodiments, the concentration of the quencher 330 is in the range of about 1wt.% to about 20wt.%, based on the total weight of the coating composition. In other embodiments, the concentration of the quencher 330 is in the range of about 10wt.% to about 15wt.%, based on the total weight of the coating composition. At concentrations of the quencher 330 below the disclosed range, sufficient alkali may not be present to improve line width roughness and reduce scum. At concentrations of the quencher 330 greater than the disclosed ranges, there may be no significant improvement or reduced line width roughness and reduced scum.
In some embodiments, the thickness of the coating layer 210 may be in the range of about 2nm to about 1 m. In some embodiments, the thickness of the coating layer 210 is in the range of about 5nm to about 500nm, and in other embodiments, the thickness of the coating layer 210 is in the range of about 10nm to about 200 nm. Coating thicknesses less than the disclosed ranges may not be sufficient to provide adequate photoresist adhesion and anti-reflective properties. Coating thicknesses greater than the disclosed ranges may be unnecessarily thick and may not provide further improvements in resist layer adhesion and scum reduction.
To form the coating layer 210, the individual components of the coating layer 210 including the switchable polymer 302, the acid generator 320, and the quencher 330 are placed in a solvent, and the resulting coating composition is then applied onto the top surface of the substrate 202, such as by spin coating or by CVD, PVD, or ALD. The solvent may be any suitable solvent for dissolving the switchable polymer 302 and selected coating components, such as the acid generator 320 and the quencher 330. In some embodiments, the solvent is one or more selected from the group consisting of: propylene Glycol Methyl Ether Acetate (PGMEA), propylene Glycol Monomethyl Ether (PGME), 1-ethoxy-2-Propanol (PGEE), gamma-butyrolactone (GBL), cyclohexanone (CHN), ethyl Lactate (EL), methanol, ethanol, propanol, n-butanol, acetone, dimethylformamide (DMF), isopropanol (IPA), tetrahydrofuran (THF), methyl isobutyl carbinol (MIBC), n-butyl acetate (nBA) and 2-heptanone (MAK).
In some embodiments, the coating layer 210 may include a floating region 210a along a top surface of the coating layer 210. In some embodiments, the floating region 210a may include acid labile groups 312 and floating groups 316 (if present). The floating region 210a is formed due to the acid labile groups 312 and floating groups 316 moving on top of the coating layer 210 when the coating layer 210 is applied, for example, by spin coating. This motion initiation is due to the addition of fluorine atoms resulting in acid labile groups 312 and floating groups 316 having high surface energy. This high surface energy, combined with low interactions between fluorine atoms and other atoms within coating layer 210, induces acid labile groups 312 and floating groups 316 to move toward the top surface of coating layer 210.
In embodiments where the floating region 210a is formed, the floating region 210a will have a higher concentration of acid labile groups 312 than the remainder of the coating layer 210, such as having a concentration of between about 0.01% and about 10%, such as about 2%, while the remainder of the coating layer 210 (outside of the floating region 210 a) will have a concentration of acid labile groups 312 of no greater than about 5%. In some embodiments of the present invention, in some embodiments,the floating region 210a will have a value between aboutAnd about->Between, such as about->Is a thickness T1 of (c). However, these dimensions and concentrations may be varied and are intended to be illustrative only, and any benefits may be obtained from suitable concentrations other than those listed herein.
Referring to fig. 1 and 2B, according to some embodiments, the method 100 proceeds to operation 104 where crosslinking occurs at the coating layer 210 to form a crosslinked coating layer 212 in operation 104. Fig. 2B is a cross-sectional view of the semiconductor device 200 after formation of the crosslinked coating layer 212, in accordance with some embodiments.
In some embodiments, a first bake process 214 is performed to remove residual solvent from the coating layer 210 and cause crosslinking of the crosslinking groups 314 to occur, forming a crosslinked coating layer 212. In some embodiments, the crosslinked coating layer 212 includes crosslinked floating regions 212a along a top surface of the crosslinked coating layer 212. The first bake process 214 is performed at a temperature sufficient to cause the crosslinking groups 314 to react with each other and bond the individual polymers 301 into a polymer network; but for a period of time at a temperature that does not cause decomposition of the acid labile groups 312. In some embodiments, the baking or heating process 214 is performed at a temperature in the range of about 40 ℃ to about 300 ℃. In certain embodiments, the first baking process 214 is performed at a temperature of about 80 ℃ to about 200 ℃ for about 20 seconds to about 3 minutes. In other embodiments, the baking process 214 is performed at a temperature of about 100 ℃ to about 250 ℃ for about 10 seconds to about 2 minutes.
Referring to fig. 1 and 2C, the method proceeds to operation 106, where a photoresist layer 220 is formed over the crosslinked coating layer 212 in operation 106, according to some embodiments. Fig. 2C is a cross-sectional view of the semiconductor device 200 after forming a photoresist layer 220 over the crosslinked coating layer 212, according to some embodiments.
The photoresist layer 220 is a photosensitive layer patterned by exposure to radiation. Typically, the chemistry of the photoresist region irradiated with incident radiation varies in a manner dependent on the type of photoresist used. The photoresist layer 220 includes a positive type resist or a negative type resist. Positive resist refers to a photoresist material that becomes soluble to a developer when exposed to radiation (such as UV light), while unexposed (or less exposed) photoresist areas are insoluble to the developer. Negative resist, on the other hand, refers to a photoresist material that becomes insoluble in a developer when exposed to radiation, while the unexposed (or less exposed) photoresist areas are soluble in the developer. Areas of the negative resist that become insoluble upon exposure to radiation may become insoluble due to the crosslinking reaction caused by exposure to radiation.
In some embodiments, the photoresist layer 220 includes a high sensitivity photoresist composition. In some embodiments, the high sensitivity photoresist composition includes a metal having high absorption of EUV radiation.
In some embodiments, photoresist layer 220 may include an organometallic compound including a metal core coordinated to a plurality of organic ligands. In some embodiments and as shown in fig. 4A, the organometallic compound has the formula:
M a L b X c
wherein:
m is at least one of tin (Sn), bismuth (Bi), antimony (Sb), indium (In), tellurium (Te), titanium (Ti), zirconium (Zr), hafnium (Hf), vanadium (V), cobalt (Co), molybdenum (Mo), tungsten (W), aluminum (Al), arsenic (As), yttrium (Y), lanthanum (La), cerium (Ce), or lutetium (Lu);
l is independently substituted or unsubstituted alkyl, alkenyl, cycloalkyl, cycloheteroalkyl, aralkyl, aryl, or heteroaryl;
x is independently a hydrolyzable ligand; and is also provided with
A is more than or equal to 1 and less than or equal to 2, b is more than or equal to 1, c is more than or equal to 1, and b+c is less than or equal to 5.
In some embodiments, M is selected from the group consisting of: sn, bi, sb, in, te, and combinations thereof. In some embodiments, L is a C3-C6 alkyl, alkenyl. In some embodiments, L is selected from the group consisting of: propyl, isopropyl, butyl, isobutyl, secondary butyl, tertiary butyl, pentyl, isopentyl, secondary pentyl, tertiary pentyl, hexyl, isohexyl, secondary hexyl, tertiary hexyl, and combinations thereof. In some embodiments, L is fluorinated such that the alkyl or alkenyl groups are substituted with one or more fluoro groups.
In some embodiments, X is any moiety that readily reacts with the second compound to produce-OH, such as a moiety selected from the group consisting of amines, including dialkylamino monoalkylamino; an alkoxy group; carboxylic esters, halogens and sulfonic esters. In some embodiments, the sulfonate group is substituted with one or more amine groups. In some embodiments, the halide is one or more selected from the group consisting of F, cl, br, and I. In some embodiments, sulfonate groups include substituted or unsubstituted C1-C3 groups.
In some embodiments, the second compound is at least one of an amine, a borane, a phosphine, or water. In some embodiments, the amine has the formula NpHnXm, wherein 0.ltoreq.n.ltoreq.3, 0.ltoreq.m.ltoreq.3, n+m=3 when p is 1, and n+m=4 when p is 2, and each X is independently halogen selected from the group consisting of F, cl, br, and I. In some embodiments, the borane has the formula BpHnXm, wherein 0.ltoreq.n.ltoreq.3, 0.ltoreq.m.ltoreq.3, n+m=3 when p is 1, and n+m=4 when p is 2, and each X is independently halogen selected from the group consisting of F, cl, br, and I. In some embodiments, the phosphine has formula P p H n X m Wherein 0.ltoreq.n.ltoreq.3, 0.ltoreq.m.ltoreq.3, n+m=3 when p is 1, or n+m=4 when p is 2, and each X is independently halogen selected from the group consisting of F, cl, br and I.
In some embodiments, the second compound is water, ammonia, or hydrazine. The reaction products of water, ammonia, or hydrazine with the organometallic compound can form hydrogen bonds that increase the boiling point of the reaction products and prevent the emission of the metal photoresist material, thereby preventing metal contamination. Hydrogen bonding can also help prevent moisture from affecting the quality of the photoresist layer.
Fig. 4B shows the reaction between an organometallic compound 402 and water. As shown in fig. 4B, organometallic compound 402 is hydrolyzed, i.e., hydroxyl groups displace the hydrolyzable ligands and bond to core M, in the presence of water, resulting in hydroxyl containing compound 404. More than one hydroxyl containing compound 404 may undergo a condensation reaction to form an organometallic polymer 406. It should be noted that although the organometallic polymer 406 includes three organometallic compounds 402, organometallic polymers having fewer or more organometallic compounds 402 are also contemplated.
In some embodiments, the organometallic compound includes secondary hexylginseng (dimethylamino) tin, tertiary hexylginseng (dimethylamino) tin, isohexylginseng (dimethylamino) tin, n-hexylginseng (dimethylamino) tin, secondary pentylphen (dimethylamino) tin, tertiary pentylphen (dimethylamino) tin, isopentylpsenor (dimethylamino) tin, n-pentylphen (dimethylamino) tin, secondary butylginseng (dimethylamino) tin, tertiary butylginseng (dimethylamino) tin, isobutylpsenor (dimethylamino) tin, n-butylginseng (dimethylamino) tin, secondary butylginseng (dimethylamino) tin, isopropyl (ginseng) dimethylaminotin, n-propyl ginseng (diethylamino) tin, and similar alkyl (ginseng) (tertiary butoxy) tin compounds including secondary hexylginseng (tertiary butoxy) tin, tertiary hexylginseng (tertiary butoxy) tin, isohexylginseng (tertiary butoxy) tin, n-hexylginseng (tertiary butoxy) tin, secondary pentylphen (tertiary butoxy) tin, tertiary butoxy (t-butylginseng (butoxy) tin, tertiary butoxy) tin, and the like Isopropyl (ginseng) dimethylaminotin or n-propyl (butoxy) tin. In some embodiments, the organometallic compound is fluorinated. In some embodiments, the organometallic compound has a boiling point below about 200 ℃.
In some embodiments, the organometallic compound has one of the following structures:
in some embodiments, the photoresist layer 220 is formed by applying a photoresist composition onto the cross-linked coating layer 212 using, for example, spin coating. In some embodiments, the photoresist composition includes at least one organometallic compound and at least one solvent. The amount of the organometallic compound in the photoresist composition can be about 0.5 wt% to 10 wt%. In some embodiments, the photoresist composition can include about 1 wt% of the organometallic compound.
In some embodiments, after disposing the photoresist layer 220 on the crosslinked coating layer 212, a pre-exposure bake process may be performed to remove the solvent from the photoresist layer 220. The bake temperature is selected such that the pre-exposure bake process does not cause cleavage of the acid labile groups 312 of the switchable polymer 302 in the crosslinked coating layer 212. In some embodiments, the pre-exposure bake process may be performed at a temperature of about 40 ℃ to about 140 ℃ for 10 seconds to 5 minutes. In some embodiments, the photoresist layer 220 and the crosslinked coating layer 212 are heated at a temperature of about 60 ℃ to about 120 ℃ for 20 seconds to 3 minutes.
Referring to fig. 1 and 2D, according to some embodiments, the method 100 proceeds to operation 108, where the photoresist layer 220 and the crosslinked coating layer 212 are exposed to radiation 230 in operation 108. Fig. 2D is a cross-sectional view of the semiconductor device 200 after exposing the photoresist layer 220 and the crosslinked coating layer 212 to radiation 230, according to some embodiments.
The photoresist layer 220 and the crosslinked coating layer 212 are exposed to radiation 230 from a light source through a mask 240. The mask 240 has a predefined pattern designed for the IC based on the specifications of the IC to be manufactured. The pattern of the mask 240 corresponds to the pattern of the material of the various elements that make up the IC device to be manufactured. For example, a portion of the IC design layout includes various IC features such as active regions, gate electrodes, source and drain electrodes, metal lines or vias for interlayer interconnects, and openings for pads to be formed in the substrate 202.
In some embodiments, the mask 240 includes a first region 242 and a second region 244. In the first region 242, the radiation 230 is blocked by the mask 240 to the photoresist layer 220 and the crosslinked coating layer 212, while in the second region 244, the radiation 230 is unblocked by the mask 240 and can pass through the mask 240 to the photoresist layer 220 and the crosslinked coating layer 212. Thus, the mask 240 is used to form the exposed and unexposed areas 220e and 220u of the photoresist layer, and the exposed and unexposed areas 212e and 212u of the crosslinked coating layer 212. In some embodiments, exposure to radiation 230 is performed by placing the photoresist-coated substrate 202 in a photolithography tool. The photolithography tool includes a reticle 240, optics, an exposure radiation source that provides radiation 230 for exposure, and a movable stage for supporting and moving the substrate 202 under the radiation 230.
In some embodiments, the radiation 230 is EUV radiation (e.g., 13.5 nm). Alternatively, in some embodiments, the radiation 230 is DUV radiation (e.g., 248nm KrF excimer laser or 193nm ArF excimer laser), X-ray radiation, electron beam radiation, ion beam radiation, or other suitable radiation. In some embodiments, operation 108 is performed in a liquid (immersion lithography) or in a vacuum for EUV etching and electron beam etching.
In some embodiments, the exposed regions 220e of the photoresist layer 220 that are irradiated with the radiation 230 undergo further condensation reactions to form metal clusters, while the unexposed regions 220u that are not irradiated with the radiation 230 do not undergo condensation reactions. The exposed areas 220e of the photoresist layer 220 may constitute a latent pattern. Since the metal clusters are substantially insoluble in the developer used in the subsequent development process, the exposed areas 220e of the photoresist layer 220 that are irradiated with the radiation 230 are substantially insoluble in the developer. The unexposed areas 220u not irradiated by the radiation 230 do not undergo condensation reactions and are soluble in the developer. The difference in solubility allows for development of the latent pattern in the development process.
Fig. 5 illustrates the reaction of an organometallic compound due to exposure to radiation 230 in some embodiments. Due to exposure to radiation 230, ligand L is a metal core M of an organometallic compound + And the two or more organometallic compound cores are bonded to each other to form a metal oxide cluster.
Upon irradiation, an acid generator 320, such as a PAG or TAG, in the exposed region 212e of the crosslinked coating layer 212 absorbs energy to generate an acid. The crosslinked switchable polymer in the acid self-crosslinking coating layer 212 generated during exposure to radiation 230 cleaves the acid labile groups (acid labile group, ALG) forming reactive functional groups such as-COOH or-OH in the exposed regions 212 e. The reactive functional groups in the crosslinked coating layer 212 then react with hydroxyl groups (OH) in the hydrolyzed organometallic compound (M-OH). The resulting covalent bond formed between the photoresist layer 220 and the crosslinked coating layer 212 helps to enhance the breakdown window, LWR, and fine tune the resist profile shape.
Fig. 6A illustrates a deprotection reaction of an acid labile group (acid labile group, ALG) 312 in accordance with an embodiment of the present disclosure. When the crosslinked coating layer 212 is exposed to radiation 230, the acid generator 320 generates an acid (H) that cleaves the acid labile groups (acid labile group, ALG) 312 + ) And generates carboxyl (-COOH) or hydroxyl (-OH) groups on the polymer side chains.
FIG. 6B shows the condensation reaction between ALG cleaved crosslinked switchable polymer and hydrolyzed organometallic compound (M-OH).
Next, the photoresist layer 220 is subjected to post-exposure baking (PEB). In some embodiments, the photoresist layer 220 is heated at a temperature of about 50 ℃ to about 250 ℃ for about 20 seconds to about 300 seconds. In some embodiments, the post-exposure bake is performed at a temperature in the range of about 100 ℃ to about 230 ℃, and in other embodiments at a temperature in the range of about 150 ℃ to about 200 ℃. During PEB operation, more acid is generated in the exposed areas 212e of the crosslinked coating layer 212. The generated acid promotes the deprotection reaction of ALG and the condensation reaction between the crosslinked coating layer 212 and the photoresist layer 220.
Referring to fig. 1 and 2E, according to some embodiments, the method 100 proceeds to operation 110, where the photoresist layer 220 and the crosslinked coating layer 212 are developed to form a patterned photoresist layer 220p and a patterned crosslinked coating layer 212p in operation 110. Fig. 2E is a cross-sectional view of the semiconductor device 200 after developing the photoresist layer 220 and the crosslinked coating layer 212 to form a patterned photoresist layer 220p and a patterned crosslinked coating layer 212p, according to some embodiments.
In some embodiments, the photoresist layer 220 is developed by applying a solvent-based developer to the photoresist layer 220. In some embodiments, the exposed regions 220e of the photoresist layer 220 undergo a metal cluster formation reaction as a result of exposure to radiation, and the unexposed regions 220u of the photoresist layer 220 are removed by a developer that forms a pattern of openings 250 in the photoresist layer 220 to expose the substrate 202. In some embodiments, the crosslinked coating layer 212 located under the unexposed areas 220u of the photoresist layer 220 is removed during the developing operation.
In some embodiments, the resist developer includes a solvent and an acid or base. In some embodiments, the concentration of the solvent is about 60wt.% to about 99wt.%, based on the total weight of the resist developer. The concentration of the acid or base is about 0.001wt.% to about 20wt.%, based on the total weight of the resist developer. In certain embodiments, the concentration of the acid or base in the developer is from about 0.01wt.% to about 15wt.%, based on the total weight of the developer.
In some embodiments, a developer is applied to the photoresist layer 220 using a spin-on process. In the spin coating process, a developer is applied to the photoresist layer 220 from above the photoresist layer 220 while the photoresist-coated substrate 202 is rotated. In some embodiments, the developer is supplied at a rate between about 5ml/min and about 800ml/min, while the photoresist coated substrate 202 rotates at a speed between about 100rpm and about 2000 rpm. In some embodiments, the developer is at a temperature between about 10 ℃ and about 80 ℃. In some embodiments, the development operation lasts from about 30 seconds to about 10 minutes.
In some embodiments, the developer includes an organic solvent. The organic solvent may be any suitable solvent. In some embodiments, the solvent is one or more selected from the group consisting of: propylene Glycol Methyl Ether Acetate (PGMEA), propylene Glycol Monomethyl Ether (PGME), 1-ethoxy-2-Propanol (PGEE), gamma-butyrolactone (GBL), cyclohexanone (CHN), ethyl Lactate (EL), methanol, ethanol, propanol, n-butanol, 4-methyl-2-pentanol, acetone, methyl ethyl ketone, dimethylformamide (DMF), isopropanol (IPA), tetrahydrofuran (THF), methyl isobutyl carbinol (MIBC), n-butyl acetate (nBA), 2-heptanone (MAK) and dioxane.
While spin-coating operation is one suitable method for developing photoresist layer 220 after exposure, it is intended to be illustrative and not intended to limit embodiments. Rather, any suitable development operation may alternatively be used, including dipping processes, puddling processes, and spraying methods. All such development operations are included within the scope of the examples.
In some embodiments, a dry developer is applied to the photoresist layer 220. In some embodiments, the dry developer is a plasma or chemical vapor and the dry development operation is a plasma etching or chemical etching operation. Dry development uses differences in composition, degree of crosslinking, and film density to selectively remove desired portions of the resist. In some embodiments, the dry development process uses a mild plasma (high pressure, low power) or a thermal process in a heated vacuum chamber while flowing a dry development chemistry, such as BCl 3 、BF 3 Or other lewis acids in the vapor state. In some embodiments, BCl 3 The unexposed material is removed, leaving a pattern of exposed film that is transferred into the underlying layer by a plasma-based etching process.
In some embodiments, dry development includes a plasma process including transformer coupled plasma (transformer coupled plasma, TCP), inductively coupled plasma (inductively coupled plasma, ICP) or capacitively coupled plasma (capacitively coupled plasma, CCP). In some embodiments, the plasma process is performed at a pressure in the range of about 5 millitorr to about 20 millitorr, a power level of about 250W to about 1000W, a temperature in the range of about 0 ℃ to about 300 ℃, and a flow rate of about 100 seem to about 1000 seem for about 1 second to about 3000 seconds.
In some embodiments, the photoresist is a negative resist, and the unexposed areas 220u of the photoresist layer 220 are removed by a developing operation. In other embodiments, the photoresist is a positive resist, and the exposed areas 220e of the photoresist layer 220 are removed by a developing operation.
Referring to fig. 1 and 2F, according to some embodiments, the method 100 proceeds to operation 112, where the substrate 202 is etched in operation 112 using the patterned photoresist layer 220p and the patterned cross-linked coating layer 212p as an etch mask. Fig. 2F is a cross-sectional view of the semiconductor device 200 after etching the substrate 202 using the patterned photoresist layer 220p and the patterned crosslinked coating layer 212p as an etch mask, in accordance with some embodiments.
As shown in fig. 2F, the substrate 202 is patterned using the patterned photoresist layer 220P as an etch mask to form a recess 260 in the substrate 202.
An etching process may be performed to transfer the pattern in the patterned photoresist layer 220p to the substrate 202. In some embodiments, the etching process employed is an anisotropic etch such as a dry etch, although any suitable etching process may be employed. In some embodiments, the dry etch is a reactive ion etch (reactive ion etch, RIE) or a plasma etch. In some embodiments, the dry etching is by a fluorine-containing gas (e.g., CF 4 、SF 6 、CH 2 F 2 、CHF 3 And/or C 2 F 6 ) Chlorine-containing gases (e.g. Cl) 2 、CHCl 3 、CCl 4 And/or BCl 3 ) Bromine-containing gases (e.g. HBr and/or CHBr 3 ) An oxygen-containing gas, an iodine-containing gas, other suitable gases and/or plasma, or combinations thereof. In some embodiments, an oxygen plasma is performed to etch the substrate 202. In some embodiments, the anisotropic etch is performed at a temperature of about 250 ℃ to 450 ℃ for a duration of about 20 seconds to about 300 seconds.
If not completely consumed in the etching process, after the recess 260 is formed, the patterned photoresist layer 220p and the patterned crosslinked coating layer 212p are removed, for example, by plasma ashing or wet stripping.
Fig. 7 is a flowchart illustrating a method 700 for forming a semiconductor device 200, according to some embodiments of the present disclosure. Fig. 8A-8E are cross-sectional views of a semiconductor device 200 at various stages of fabrication according to some embodiments of the present disclosure. The intermediate steps of method 700 are described with reference to cross-sectional views of semiconductor device 200 as shown in fig. 8A-8E. Unlike method 100, where coating layer 210 is formed as an underlayer below the photoresist layer, in method 700, coating layer 210 is formed as a top coating layer above photoresist layer 220. Unless otherwise indicated, the materials and methods of formation of the elements of these embodiments are substantially the same as their analogous elements, which are indicated by like reference numerals in the embodiments shown in fig. 2A-2F. Thus, detailed information about the formation process and the device materials shown in fig. 8A-8E is found in the discussion of the embodiments shown in fig. 2A-2F.
Referring to fig. 7 and 8A, in accordance with some embodiments, a method 700 includes an operation 702, forming a photoresist layer 220 over a substrate 202 in operation 702. Fig. 8A is a cross-sectional view of the semiconductor device 200 after a photoresist layer 220 is formed over the substrate 202, according to some embodiments. In some embodiments, the photoresist layer 220 includes an organometallic compound and is formed by the manufacturing process described above in fig. 2C.
Referring to fig. 7 and 8B, according to some embodiments, the method 700 proceeds to operation 704, where a coating layer 210 is formed over the photoresist layer 220 in operation 704. Fig. 8B is a cross-sectional view of the semiconductor device 200 after a coating layer 210 is formed over the photoresist layer 220, according to some embodiments. In some embodiments, coating layer 210 includes switchable polymer 302, acid generator 320, and quencher 330, and is formed by the manufacturing process described above in fig. 2A.
Referring to fig. 7 and 8C, according to some embodiments, the method 700 proceeds to operation 706, where the coating layer 210 is heated to form a crosslinked coating layer 212 in operation 706. Fig. 8C is a cross-sectional view of the semiconductor device 200 after formation of the crosslinked coating layer 212, in accordance with some embodiments. In some embodiments, the crosslinked coating layer 212 is formed by the manufacturing process described above in fig. 2B.
Referring to fig. 7 and 8D, according to some embodiments, the method 700 proceeds to operation 708, where the crosslinked coating layer 212 and the photoresist layer 220 are exposed to radiation 230 to form exposed regions 212e and unexposed regions 212u in the crosslinked coating layer 212, and exposed regions 220e and unexposed regions 220u in the photoresist layer 220. Fig. 8D is a cross-sectional view of the semiconductor device 200 after exposing the crosslinked coating layer 212 and the photoresist layer 220 to radiation 230, in accordance with some embodiments. In some embodiments, exposing the crosslinked coating layer 212 and the photoresist layer 220 to radiation 230 is performed by the manufacturing process described above in fig. 2D.
Upon irradiation, an acid generator 320, such as a PAG or TAG, in the exposed region 212e of the crosslinked coating layer 212 absorbs energy to generate an acid. The crosslinked switchable polymer in the acid self-crosslinking coating layer 212 generated during exposure to radiation 230 cleaves the acid labile groups (acid labile group, ALG) forming reactive functional groups such as-COOH or-OH in the exposed regions 212 e. The reactive functional groups in the crosslinked coating layer 212 then react with hydroxyl groups (OH) in the hydrolyzed organometallic compound (M-OH). The resulting covalent bond formed between the photoresist layer 220 and the crosslinked coating layer 212 helps to enhance the breakdown window, reduce LWR, and fine tune the resist profile shape.
Referring to fig. 7 and 8E, according to some embodiments, the method 700 proceeds to operation 710, where the cross-linked coating layer 212 and the photoresist layer 220 are developed to form a patterned cross-linked coating layer 212p and a patterned photoresist layer 220p in operation 710. Fig. 8E is a cross-sectional view of the semiconductor device 200 after developing the crosslinked coating layer 212 and the photoresist layer 220 to form a patterned crosslinked coating layer 212p and a patterned photoresist layer 220p, according to some embodiments. In some embodiments, the crosslinked coating layer 212 and the photoresist layer 220 are developed by the manufacturing process described above in fig. 2E.
Referring to fig. 7, according to some embodiments, the method 700 proceeds to operation 712, where the substrate 202 is etched using the patterned cross-linked coating layer 212p and the patterned photoresist layer 220p in operation 712. In some embodiments, the substrate 202 is etched by the fabrication process described above in fig. 2F to obtain an etched substrate as shown in fig. 2F.
One aspect of the present description relates to a method for forming a semiconductor device. The method includes the step of forming a coating layer on a substrate, the coating layer including a switchable polymer and an acid generator. The switchable polymer includes a polymer backbone and pendant groups attached to the polymer backbone. The pendant groups include acid labile groups and crosslinking groups. The method further includes the step of performing a baking process to crosslink the crosslinking groups, thereby forming a crosslinked coating layer. The method further includes the step of depositing a photoresist layer onto the crosslinked coating layer. The method further includes the step of selectively exposing the photoresist layer and the crosslinked coating layer to patterning radiation. The method further includes the step of developing the selectively exposed photoresist layer and the crosslinked coating layer to form an open pattern on the photoresist layer and the crosslinked coating layer.
In some embodiments, the acid generator comprises a photoacid generator or a thermal acid generator. In some embodiments, the baking process is performed at a temperature that causes crosslinking of the crosslinking groups but does not cause cleavage of the acid labile groups. In some embodiments, the temperature is in the range of 80 ℃ to 200 ℃. In some embodiments, the photoresist layer comprises an organometallic compound. In some embodiments, the coating layer further comprises a quencher. In some embodiments, the switchable polymer comprises 10 to 70wt.% of acid labile groups and 30 to 70wt.% of crosslinking groups. In some embodiments, the switchable polymer further comprises a plurality of pendant flotation groups attached to the polymer backbone. In some embodiments, the method further comprises removing portions of the substrate exposed by the openings.
Another aspect of the present specification relates to a method for forming a semiconductor device. The method includes the step of depositing a photoresist layer comprising an organometallic compound onto a substrate. The method further includes the step of forming a coating layer on the photoresist layer. The coating layer includes a switchable polymer, an acid generator, and a quencher. The switchable polymer includes a polymer backbone and pendant acid labile groups and crosslinking groups attached to the polymer backbone. The method further includes the step of heating the coating layer at a crosslinking temperature of the crosslinking group to form a crosslinked coating layer. The method further includes the step of selectively exposing the photoresist layer and the crosslinked coating layer to patterning radiation. The method further includes the step of developing the selectively exposed photoresist layer and the crosslinked coating layer to form a patterned crosslinked coating layer and a patterned photoresist layer.
In some embodiments, the patterning radiation is extreme ultraviolet or electron beam radiation, the patterning radiation causing the acid generator to generate an acid, the acid causing cleavage of the acid labile group. In some embodiments, the method further includes etching the substrate using the patterned crosslinked coating layer and the patterned photoresist layer as an etch mask.
Yet another aspect of the present specification relates to a method for forming a semiconductor device. The method includes the step of applying a coating composition to a substrate to form a coating layer. The coating composition includes a switchable polymer having a polymer backbone and pendant groups including one or more acid labile groups, one or more crosslinking groups, and one or more optional floating groups attached to the polymer backbone, an acid generator, and a solvent. The method further includes heating the substrate and the coating layer to a temperature at which one or more crosslinking groups react to crosslink the switchable polymer. The method further includes the step of forming a photoresist layer on the crosslinked coating layer. The method further includes the step of exposing the photoresist layer and the crosslinked coating layer to radiation through a photomask. The method further includes the step of removing the unexposed areas of the photoresist layer and the crosslinked coating layer with a developer to form a patterned photoresist layer and a patterned crosslinked coating layer.
In some embodiments, the switchable polymer has the following structure (I):wherein L is 1 、L 2 L and L 3 Independently at each occurrence is a straight chain or C 1-10 Alkylene, C 1-10 A heteroalkylene, arylene, heteroarylene, or heteroatom linker; ra, rb, and Rc are, at each occurrence, independently hydrogen, C 1-10 Alkyl or halogen; r is R 1 An acid labile group at each occurrence; r is R 2 At each occurrence is a crosslinking group; r is R 3 A floating group at each occurrence; m and n are independently integers of 1 or more; and p is an integer of 0 or more. In some embodiments, ra, rb, and Rc are independently hydrogen or methyl. In some embodiments, R 1 Has one of the following structures:
/>
in some embodiments, R 2 Has one of the following structures:
wherein R is hydrogen or an alkyl group having 1 to 10 carbon atoms at each occurrence; q is an integer from 1 to 300; and w is an integer of 1 to 6. In some embodiments, R 3 Has one of the following structures:
in some embodiments, L 1 、L 2 L and L 3 Independently an unsubstituted or halogen-substituted saturated C1-C9 cyclic or acyclic group, -S-, -P (O) 2 )-、-C(=O)S-、-C(=O)O-、-O-、-N-、-C(=O)N-、-SO 2 O-、-SO 2 S-、-SO-、-SO 2 -、-C 6 H 6 -O-、-C 6 H 6 -O-C (=o) O-, ether-, ketone-, ester-, or phenylene. In some embodiments, L 1 、L 2 L and L 3 Independently having one of the following structures: />
The foregoing has outlined features of several embodiments so that those skilled in the art may better understand the aspects of the disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims (10)

1. A method for forming a semiconductor device, comprising:
forming a coating layer over a substrate, the coating layer comprising a switchable polymer and an acid generator, the switchable polymer comprising a polymer backbone and a plurality of pendent groups attached to the polymer backbone, wherein the plurality of pendent groups comprise a plurality of acid-labile groups and a plurality of crosslinking groups;
performing a baking process to make the crosslinking groups undergo a crosslinking reaction so as to form a crosslinked coating layer;
Depositing a photoresist layer over the crosslinked coating layer;
exposing the photoresist layer and the crosslinked coating layer selectively to a patterning radiation; and
developing the selectively exposed photoresist layer and the crosslinked coating layer to form a pattern of openings in the photoresist layer and the crosslinked coating layer.
2. The method for forming the semiconductor device of claim 1, wherein the switchable polymer further comprises a plurality of pendant floating groups attached to the polymer backbone.
3. A method for forming a semiconductor device, comprising:
depositing a photoresist layer comprising an organometallic compound over a substrate;
forming a coating layer over the photoresist layer, the coating layer comprising a switchable polymer, an acid generator, and a quencher, the switchable polymer comprising a polymer backbone and a plurality of pendant acid labile groups and a plurality of crosslinking groups attached to the polymer backbone;
heating the coating layer at a crosslinking temperature of the plurality of crosslinking groups to form a crosslinked coating layer;
exposing the photoresist layer and the crosslinked coating layer selectively to a patterning radiation; and
Developing the selectively exposed photoresist layer and the crosslinked coating layer to form a patterned crosslinked coating layer and a patterned photoresist layer.
4. A method for forming a semiconductor device, comprising:
applying a coating composition to a substrate to form a coating layer, the coating composition comprising a switchable polymer having a polymer backbone and a plurality of pendent groups comprising one or more acid-labile groups, one or more crosslinking groups, and one or more optional float groups attached to the polymer backbone, an acid generator, and a solvent;
heating the substrate and the coating layer to a temperature at which the one or more crosslinking groups react to crosslink the switchable polymer, thereby forming a crosslinked coating layer;
forming a photoresist layer over the crosslinked coating layer;
exposing the photoresist layer and the crosslinked coating layer to radiation through a photomask; and
the photoresist layer and the plurality of unexposed areas of the crosslinked coating layer are removed by a developer to form a patterned photoresist layer and a patterned crosslinked coating layer.
5. The method for forming the semiconductor device of claim 4, wherein the switchable polymer has the following structure (I):
Wherein:
L 1 、L 2 l and L 3 Independently at each occurrence a straight chain or a C 1-10 Alkylene, C 1-10 A heteroalkylene, arylene, heteroarylene, or heteroatom linker;
ra, rb, and Rc are, at each occurrence, independently hydrogen, C 1-10 Alkyl or halogen;
R 1 an acid labile group at each occurrence;
R 2 at each occurrence is a crosslinking group;
R 3 at each occurrence is a drift group;
m and n are independently an integer of 1 or more; and is also provided with
p is an integer of 0 or more.
6. The method for forming the semiconductor device according to claim 5, wherein R 1 Has one of the following structures:
7. the method for forming the semiconductor device according to claim 5, wherein R 2 Has one of the following structures:
wherein:
r is hydrogen or a mono-alkyl group having 1 to 10 carbon atoms at each occurrence;
q is an integer from 1 to 300; and is also provided with
w is an integer from 1 to 6.
8. The method for forming the semiconductor device according to claim 5, wherein R 3 Has one of the following structures:
9. the method for forming the semiconductor device according to claim 5, wherein L 1 、L 2 L and L 3 Independently an unsubstituted or halogen-substituted, saturated C1-C9 cyclic or acyclic group, -S-, -P (O) 2 )-、-C(=O)S-、-C(=O)O-、-O-、-N-、-C(=O)N-、-SO 2 O-、-SO 2 S-、-SO-、-SO 2 -、-C 6 H 6 -O-、-C 6 H 6 -O-C (=o) O-, monoether-, mono-ketone-, mono-ester-or mono-phenylene.
10. The method for forming the semiconductor device according to claim 5, wherein L 1 、L 2 L and L 3 Independently having one of the following structures:
CN202310585916.7A 2022-12-09 2023-05-23 Method for forming semiconductor device Pending CN117826534A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US63/386,751 2022-12-09
US18/167,741 2023-02-10
US18/167,741 US20240210822A1 (en) 2022-12-09 2023-02-10 Switchable substrate for extreme ultraviolet or e-beam metallic resist

Publications (1)

Publication Number Publication Date
CN117826534A true CN117826534A (en) 2024-04-05

Family

ID=90514079

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202310585916.7A Pending CN117826534A (en) 2022-12-09 2023-05-23 Method for forming semiconductor device

Country Status (1)

Country Link
CN (1) CN117826534A (en)

Similar Documents

Publication Publication Date Title
US11822238B2 (en) Extreme ultraviolet photolithography method with developer composition
US12019375B2 (en) Photosensitive material and method of lithography
US20180315617A1 (en) Material composition and methods thereof
US10672610B2 (en) Grafting design for pattern post-treatment in semiconductor manufacturing
US20210364922A1 (en) Underlayer composition and method of manufacturing a semiconductor device
TW201937542A (en) Methods of forming semiconductor device structures
US10520821B2 (en) Lithography process with enhanced etch selectivity
US20230343582A1 (en) Spin on carbon composition and method of manufacturing a semiconductor device
US20180151351A1 (en) Photoresist with Gradient Composition for Improved Uniformity
CN105990104B (en) Method for manufacturing semiconductor device
TWI738924B (en) A method for integrated circuit fabrication
CN117826534A (en) Method for forming semiconductor device
US20240210822A1 (en) Switchable substrate for extreme ultraviolet or e-beam metallic resist
CN113296359A (en) Underlayer composition and method for manufacturing semiconductor device
TW202425330A (en) Method for forming semiconductor device
TWI829545B (en) Method of forming semiconductor structure
TWI843451B (en) Method of forming semiconductor device
US20230102166A1 (en) Method of manufacturing a semiconductor device
TWI777426B (en) Photoresist underlayer composition and method of manufacturing a semiconductor device
TWI833204B (en) Method for forming photoresist
US10879078B2 (en) Method of patterning resist layer and method of forming semiconductor structure using patterned resist layer
KR20240031093A (en) Method of manufacturing a semiconductor device
CN112864002A (en) Method for manufacturing semiconductor element
TW202414593A (en) A photoresist composition and method of manufacturing a semiconductor device
TW202309995A (en) Method of manufacturing a semiconductor device

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination