CN116190209A - 低介电常数介质层及金属互连结构的制作方法 - Google Patents

低介电常数介质层及金属互连结构的制作方法 Download PDF

Info

Publication number
CN116190209A
CN116190209A CN202310170157.8A CN202310170157A CN116190209A CN 116190209 A CN116190209 A CN 116190209A CN 202310170157 A CN202310170157 A CN 202310170157A CN 116190209 A CN116190209 A CN 116190209A
Authority
CN
China
Prior art keywords
dielectric layer
layer
dielectric
low
manufacturing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN202310170157.8A
Other languages
English (en)
Other versions
CN116190209B (zh
Inventor
张正
庄琼阳
贾晓峰
陈献龙
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Yuexin Semiconductor Technology Co ltd
Original Assignee
Yuexin Semiconductor Technology Co ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Yuexin Semiconductor Technology Co ltd filed Critical Yuexin Semiconductor Technology Co ltd
Priority to CN202310170157.8A priority Critical patent/CN116190209B/zh
Publication of CN116190209A publication Critical patent/CN116190209A/zh
Application granted granted Critical
Publication of CN116190209B publication Critical patent/CN116190209B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明提供一种低介电常数介质层及金属互连结构的制作方法,通过优化低介电常数介质层的制备方法中前驱物和含氧的反应气体的组分配比,使所述低介电常数介质层具有改善的机械强度同时其介电常数变化不大。本发明的金属互连结构的制作方法中包括根据前述的低介电常数介质层的制作方法制作金属间介质层,所述金属间介质层具有接近于氧化层的硬度,减轻机械强度失配导致的形变;同时,在后续的刻蚀工艺中金属间介质层的刻蚀抗性提升,所述金属间介质层相对于用作保护层的氧化层的刻蚀选择性降低,改善金属间介质层中刻蚀形成的通孔或沟槽形貌,避免刻蚀图形异常而增加后续金属填充工艺的难度和/或引入孔洞,有利于提升元件之间的电性能。

Description

低介电常数介质层及金属互连结构的制作方法
技术领域
本发明属于半导体制造领域,特别是涉及一种半导体器件的制作方法。
背景技术
在先进芯片制程的后段(Back End of Line;BEOL)工艺中,所使用的金属间介电质(IMD)的介电常数k与互连金属的电阻共同决定了芯片传输的RC延时性能。因此,使用低介电常数材料对于降低芯片的RC延时具有非常重要的意义。现有工艺中,降低介电常数的两种手段为:降低构成材料的极化率;降低单位体积中极化分子的密度。
黑金刚石(Black diamond;BD)薄膜材料,是常用的低介电常数材料之一,此种低介电常数材料的k值可以控制在2.7-3.0。由于低介电常数材料能够很好地减少电信号传播时电路本身的阻抗和容抗延迟所带来的信号衰减,即减少后端互连的RC延迟现象,满足130nm、90nm、65nm和45nm后段工艺的技术要求。
目前,现有互连工艺制作的多孔黑金刚石(BD)材料能够满足降低RC延时的要求,但是互连工艺中对黑金刚石(BD)介质层的刻蚀会出现刻蚀速率过快、易产生形变,由此带来了后续工艺的衔接以及缺陷的问题,这对工艺技术提出了越来越大的挑战。现阶段,常用的解决方式是调整刻蚀过程中诸如刻蚀剂和反应温度之类的工艺条件,但是这会使工艺流程变得复杂化,进而升高生产成本。
因此,本申请提出一种制作低介电常数介质层的改良方法以实现所期望电性能的金属互连结构实属必要。
发明内容
鉴于以上所述现有技术的缺点,本发明的目的在于提供一种低介电常数介质层及金属互连结构的制作方法,考虑到现有的互连工艺中常用的低介电常数间介质层在刻蚀过程中易发生形变,导致其中所形成的刻蚀图形异常互连结构中引入孔洞的问题。
为实现上述目的及其他相关目的,本发明提供一种低介电常数介质层的制作方法,包括:
提供一衬底;
提供气体混合物,所述气体混合物包括前驱物和含氧的反应气体;
将所述气体混合物通入反应腔室中,通过等离子体增强化学气相沉积工艺于所述衬底上形成低介电常数介质层,所述低介电常数介质层包含含碳的第一硅氧化物和第二硅氧化物;
其中,通过增加所述气体混合物中所述反应气体的含量百分比使所述金属间介质层中所述第一硅氧化物的组分含量降低以增强低介电常数介质层的机械强度。
可选地,所述反应气体包括O2、N2O和CO2,所述前驱物选自四甲基环化四硅氧烷、八甲基环化四硅氧烷、十甲基环化五硅氧烷、四乙烯基四甲基环化四硅氧烷和三甲基三乙烯基环化四硅氧烷中的任一种环结构有机硅。
进一步地,所述低介电常数介质层选用为黑金刚石Black Diamond,所述低介电常数介质层的介电常数介于2.7-3.0之间,所述第一硅氧化物包括SiOCH,所述第二硅氧化物以化学计量式表示为SiOx
进一步地,所述前驱物包括八甲基环化四硅氧烷,所述反应气体包括O2,通过具有射频源的化学气相沉积工艺形成所述低介电常数介质层的步骤包括调节八甲基环化四硅氧烷相对于O2之间的流量比介于15.6-16.9之间。
可选地,用于执行所述等离子体增强化学气相沉积工艺的设备包括电子回旋共振等离子体增强化学气相沉积装置和电感耦合放电等离子体增强化学气相沉积装置中的一种。
进一步地,采用所述电感耦合放电等离子体增强化学气相沉积装置执行所述等离子体增强化学气相沉积工艺包括:调节所述等离子体增强化学气相沉积工艺的射频功率介于100kW~150kW。
本发明还提供一种金属互连结构的制作方法,所述制作方法包括:
根据前述的低介电常数介质层的制作方法于所述衬底上形成金属间介质层,所述衬底上还形成有器件层;
于所述金属间介质层上形成硬掩膜层;
形成图形化的硬掩膜层,基于所述图形化的硬掩膜层选择性刻蚀所述金属间介质层以形成贯穿所述金属间介质层的互连通孔;
于所述互连通孔中依次形成扩散阻挡层、金属种子层和金属填充物以形成金属互连结构。
可选地,所述制作方法还包括:于形成硬掩膜层之前,于所述金属间介质层上形成保护层,所述保护层包括TEOS层和SiO2层中的一种。
进一步地,采用干法刻蚀工艺依次选择性刻蚀所述保护层和所述金属间介质层,用于所述干法刻蚀工艺的刻蚀气体包括CF4、C4F8、C5F8、C4F6和CHF3中的一种或多种。
如上所述,本发明的低介电常数介质层及金属互连结构的制作方法,具有以下有益效果:
本发明的低介电常数介质层的制作方法中,通过优化低介电常数介质层的制备方法中前驱物和含氧的反应气体的组分配比,使所述低介电常数介质层的机械强度得以改善,制作方法简单,能够满足大批量生产的需求。
本发明的金属互连结构的制作方法中包括根据前述的低介电常数介质层的制作方法制作金属间介质层,所述金属间介质层具有接近于氧化层的硬度,减轻机械强度失配导致的形变;同时,在后续的刻蚀工艺中金属间介质层的刻蚀抗性提升,所述金属间介质层相对于用作保护层的氧化层的刻蚀选择性降低,改善金属间介质层中刻蚀形成的通孔或沟槽形貌,避免刻蚀图形异常而增加后续金属填充工艺的难度和/或引入孔洞,有利于提升元件之间的电性能。
附图说明
图1显示为本发明对比例的低介电常数介质层的刻蚀剖面的SEM图像。
图2显示为本发明对比例的低介电常数介质层的刻蚀沟槽中金属填充的SEM图像。
图3显示为本发明对比例和实例的低介电常数介质层的材料特性表。
图4为图解本发明实施例中所形成的金属间介质层中两种硅氧化物随前驱物与反应气体的流量比而变化的图表。
图5为图解本发明实施例中所形成的金属间介质层中两种硅氧化物随射频功率而变化的图表。
图6A~图6E显示为本发明实施例中金属互连结构的制作方法各步骤所得的结构示意图。
图7显示为本发明实施例中金属互连结构的制作方法所得结构的SEM图像。
元件标号说明:
衬底-300;刻蚀停止层-310;金属间介质层-320;互连通孔-322;保护层-330;硬掩膜层-340;图形化的硬掩膜层-342;金属填充物-350。
具体实施方式
以下通过特定的具体实例说明本发明的实施方式,本领域技术人员可由本说明书所揭露的内容轻易地了解本发明的其他优点与功效。本发明还可以通过另外不同的具体实施方式加以实施或应用,本说明书中的各项细节也可以基于不同观点与应用,在没有背离本发明的精神下进行各种修饰或改变。
如在详述本发明实施例时,为便于说明,表示器件结构的剖面图会不依一般比例作局部放大,而且所述示意图只是示例,其在此不应限制本发明保护的范围。此外,在实际制作中应包含长度、宽度及深度的三维空间尺寸。
为了方便描述,此处可能使用诸如“之下”、“下方”、“低于”、“下面”、“上方”、“上”等的空间关系词语来描述附图中所示的一个元件或特征与其他元件或特征的关系。将理解到,这些空间关系词语意图包含使用中或操作中的器件的、除了附图中描绘的方向之外的其他方向。此外,当一层被称为在两层“之间”时,它可以是所述两层之间仅有的层,或者也可以存在一个或多个介于其间的层。
在本申请的上下文中,除非另有规定和限定,所描述的第一特征在第二特征“之上”的结构术语应做广义理解,例如,可以包括第一和第二特征形成为直接接触的实施例,也可以包括另外的特征形成在第一和第二特征之间的实施例,这样第一和第二特征可能不是直接接触。
需要说明的是,本实施例中所提供的图示仅以示意方式说明本发明的基本构想,遂图示中仅显示与本发明中有关的组件而非按照实际实施时的组件数目、形状及尺寸绘制,其实际实施时各组件的型态、数量及比例可为一种随意的改变,且其组件布局型态也可能更为复杂。
黑金刚石为多孔低介电常数材料,在实际应用中黑金刚石介质层密度偏低会出现存在热稳定性和机械强度不佳等问题,黑金刚石介质层质地柔软,将其应用于互连工艺中的介质材料,黑金刚石介质层的刻蚀过程中会发生变形,或者所形成的刻蚀剖面会产生图形异常,对后续的扩散阻挡层、种子层沉积步骤和电化学镀覆工艺造成困难,甚至会造成金属互连结构中出现孔洞(void),从而影响器件的性能和稳定性。如图1所示,对比例的低介电常数介质层的刻蚀剖面产生图形异常,刻蚀所形成的沟槽边缘出现弯曲,如图2所示,于对比例的低介电常数介质层中镀覆金属填充物,由于刻蚀剖面变形,沟槽侧壁的弯折处在填充过程中产生孔洞。
为了改善低介电常数间介质层的机械强度,同时将所述低介电常数间介质层制作成互连结构能够确保元件之间的电性能,本发明提供一种低介电常数介质层及金属互连结构的制作方法。
低介电常数介质层的制作方法
本发明提供一种低介电常数介质层的制作方法,包括:
S100:提供一衬底;
S110:提供气体混合物,所述气体混合物包括前驱物和含氧的反应气体;
S120:将所述气体混合物通入反应腔室中,通过等离子体增强化学气相沉积工艺于所述衬底上形成低介电常数介质层,所述低介电常数介质层包含含碳的第一硅氧化物和第二硅氧化物。
具体地,步骤S100中,衬底200可以包括硅衬底、锗衬底、硅锗衬底、或者绝缘体衬底,例如绝缘体上硅(“SOI”)衬底,包括但不限于蓝宝石上硅(“SOS”)衬底或玻璃上硅(“SOG”)衬底)、位于基底半导体基础上的外延硅层,或其它半导体或光电子材料,例如砷化镓(GaAs)、氮化镓(GaN)或磷化铟(InP)。
作为示例,步骤S110中,提供气体混合物,所述气体混合物包括前驱物和反应气体,所述前驱物可以选用链结构有机硅和环结构有机硅,所述反应气体选用为含氧气体,包括但不限于,例如O2、N2O和CO2,其中环结构有机硅源,包括但不限于:例如,选自四甲基环化四硅氧烷、八甲基环化四硅氧烷(OMCTS)、十甲基环化五硅氧烷、四乙烯基四甲基环化四硅氧烷、三甲基三乙烯基环化四硅氧烷中的任一种环结构有机硅源。
步骤S120包括:将所述衬底放入反应腔室中并将气体混合物通入,通过等离子体增强化学气相沉积工艺于所述衬底上形成低介电常数介质层,通过增加所述气体混合物中反应气体的含量百分比,使所述低介电常数介质层中所述第一硅氧化物的组分含量减少,以增强低介电常数(Low-K)介质层的机械强度。
具体地,用于执行所述等离子体增强化学气相沉积工艺的设备包括电子回旋共振(ECR)等离子体增强化学气相沉积装置和电感耦合放电(ICP)等离子体增强化学气相沉积装置中的一种。
作为示例,通过选择合适的前驱物向介质层引入了弱极化键的来源,而且O掺杂的引入有利于体系中包含Si-O的笼状结构和Si-O-Si网格结构的键合,由此产生极化率和孔隙率的变化。本实施例中,采用八甲基环化四硅氧烷(OMCTS)作为前驱物,O2作为反应气体。
作为示例,所述气体混合物还包括作为载气,例如氦气(He),用于运载前驱物。
作为示例,所述第一硅氧化物为SiOCH,所述第二硅氧化物以化学计量式表示为SiOx
可以调节所述低介电常数介质层中所述第一硅氧化物的组分含量来改变低介电常数介质层的硬度,同时其介电常数不会明显变化。具体地,通过使所述前驱物的流量相对于所述反应气体的流量减少,由于气体混合物中的前驱物会提供环结构有机硅源,O掺杂量的增加促使更多的Si-CH3转变为Si-O的笼状结构和Si-O-Si网格结构,使所述低介电常数介质层中所述第一硅氧化物的组分含量减少。本实施例中,通过减少八甲基环化四硅氧烷OMCTS相对于O2之间的流量比,使SiOCH的组分含量减少。随着所得的黑金刚石介质层中SiOx的组分含量升高,其具有更为接近于氧化物的硬度,避免刻蚀工艺中机械强度失配可能引起的Low-K介质层形变,还可以改善Low-K介质材料的刻蚀抗性。
具体地,通过调节八甲基环化四硅氧烷OMCTS相对于O2之间的流量比介于之间15.6-16.9,例如是16.0、16.4、16.8,多孔黑金刚石介质层中SiOCH和SiOx的组分含量得以优化,使得所述多孔黑金刚石介质层的机械强度与氧化物更为匹配,而多孔黑金刚石层的介电常数不明显变化。需要说明的是本文所称的“流量”可以采用本领域技术人员所熟知的质量流量与体积流量,其中前驱物和反应气体的流量可以是基于两者的物态及其实际工艺中采用常规的计量方式,故本文中前驱物和反应气体的流量比可以是前驱物的体积流量与反应气体的体积流量之比,也可以前驱物的质量流量与反应气体的体积流量之比。
作为示例,采用具有射频源的化学气相沉积工艺,即采用电感耦合放电(ICP)等离子体增强化学气相沉积装置执行等离子体增强化学气相沉积工艺包括:调节所述等离子体增强化学气相沉积工艺的射频功率介于100kW~150kW,以使所述金属间介质层中所述第一硅氧化物的组分含量减少。
所述等离子体增强化学气相沉积工艺所采用的反应温度和腔室压力可以基于Low-K介质层的膜层均匀性以及沉积速率进行确定。本实施例中,所述等离子体增强化学气相沉积工艺执行于300℃-370℃的反应温度、5Torr以下的腔室压力下。
实例
本文以黑金刚石介质层为例,说明通过等离子体增加化学气相沉积工艺形成低介电常数介质层的具体细节,该步骤于电感耦合放电(ICP)等离子体增加化学气相沉积装置中执行,通入反应腔室内的气体组合物包括:作为前驱物的八甲基环化四硅氧烷(OMCTS),作为反应气体的O2以及作为载气的氦气(He),采用的工艺参数如下:射频功率介于125W~150W之间,OMCTS/O2流量比介于15.6-16.9之间。
沉积过程中,OMCTS和O2两者的离解产物相互作用以沉积多孔黑金刚石(BlackDiamond),反应式可分别用表达式(1)和(2)表示,其中表达式(1)为形成SiOCH的主反应,而表达式(2)所得的反应产物为SiOx,其构成形成SiOCH的竞争反应。
Figure BDA0004097676750000061
Figure BDA0004097676750000062
由表达式(1)和(2)可知,参与主反应的化学结构包括环状基团、硅原子、碳原子和氢原子,参与竞争反应的化学结构包括环状基团和氧原子,所述环状基团包括碳原子和氢原子。利用X射线光电子图谱(XPS)测试低k介质层的化学成分以及化学键的变化,以所得的BD介质层的总量计SiOCH和SiOx的占比随OMCTS与O2的流量比以及射频功率的变化关系,示出于图4~图5,其中,其中此处的占比为原子比或称物质的量比。由于Si-O键的增加,Si-O的笼状结构和Si-O-Si网格结构的增加,所得黑金刚石介质层的材料性质的改变会使极化率和孔隙率均产生变化。
为了说明所设定的制作工艺的优势,对实例和对比例的黑金刚石介质层材料性质进行表征,所得测试结果示出于图3中。其中,实例的黑金刚石介质层所采用的具体工艺参数如下:以OMCTS的流量为2700mgm、O2的流量为160sccm将气体组合物通入反应腔室内,于350℃的反应温度、150kW的射频功率下执行等离子体增强化学气相沉积工艺。对比例的黑金刚石介质层的工艺参数大体上与实例相同,区别仅在于:射频功率为125kW下、OMCTS的流量为2500mgm。
结果分析:
如图3所示,通过优化选定的射频功率、前驱物与含氧的反应气体流量比,所得的BD介质层具有提升的机械强度,同时不明显影响其介电性质。具体而言,对比例和实例的BD介质层反射率(RI)从1.4316变化到1.4471,这表明了BD材料特性有明显改变,介电常数则未发生明显变化。
XPS图谱的峰位和峰强度可以用以表征Si-O与Si-(CH3)的键能,其中Si-(CH3)是代表SiOCH的特征键。由图4可见,随着OMCTS与O2的流量比增加,以所得的BD介质层的总量计SiOCH和SiOx的组分含量分别增加和减少。结合表达式(1)和(2),在同一体系中生成SiOCH和SiOx两种组分的反应存在竞争关系,减少OMCTS与O2的流量比,SiOCH的含量具有降低的趋势。
由图5可见,采用具有射频源的化学气相沉积工艺形成BD介质层的示例中,适当增加沉积工艺中射频功率的工艺参数,使离化率升高,有利于Si-CHy离解而倾向于增加产物中硅氧键的含量,使得减少所述金属间介质层中SiOCH的组分含量。结合图3,可以看出在等离子体增强化学气相沉积工艺中,相对于对比例中所采用的射频功率,将射频功率提升20%-36%,SiOx的含量具有上升的趋势,所得BD介质层的机械强度提高,而且介电常数未发生明显变化。
结果表明,通过控制OMCTS和O2两者的流量比介于15.6-16.9之间,以及PECVD工艺的射频功率介于125W~150W之间,使得以最终的薄膜产物的总量计SiOCH的含量在80%以上,其中Si-O键的占比在28%-41%范围内。
金属互连结构的制作方法
此后,参见图6A~图6E,本发明提供一种金属互连结构的制作方法,包括以下步骤:
S200:提供一衬底;
S210:通过等离子体增强化学气相沉积工艺于所述衬底上形成金属间介质层,所述金属间介质层包含含碳的第一硅氧化物和第二硅氧化物;
S220:通过物理气相沉积工艺沉积硬掩膜层,形成图形化的硬掩膜层,所述图形化的硬掩膜层中界定出通孔图形;
S230:基于所述图形化的硬掩膜层选择性刻蚀所述金属间介质层以形成互连通孔;
S240:于所述互连通孔中依次形成扩散阻挡层、金属种子层和金属填充物以形成金属互连结构。
如图6A所示,可以参照前述的步骤S110,执行步骤S210处的等离子体增强化学气相沉积工艺,于所述衬底300上制作金属间介质层320。
作为示例,步骤S210还包括:在形成金属间介质层320之前,于衬底300上形成刻蚀停止层310。举例而言,所述刻蚀停止层310可以是氮化硅、氮掺杂碳化硅(NDC)材料和多晶硅中的一种。
作为示例,步骤S220处,可以采用物理气相沉积工艺形成硬掩膜层340,举例而言,所述物理气相沉积工艺可以是反应溅射工艺,所述硬掩膜层340可以包括氮化钛硬掩膜层、氮化钽硬掩膜层和氮化硼硬掩膜层中的一种。
作为示例,步骤S220还包括:于形成硬掩膜层340之前,于所述金属间介质层320上形成保护层330,所述保护层330包括TEOS层和SiO2层中的一种。举例而言,可以采用例如是等离子体增强化学气相沉积(PECVD)工艺形成所述保护层330。
然后,参见图6B~图6C,进行步骤S230:形成图形化的硬掩膜层342,基于所述图形化的硬掩膜层342刻蚀所述金属间介质层320以形成贯穿所述金属间介质层的互连通孔。
具体地,步骤S230包括:通过光刻工艺和刻蚀工艺形成图形化的硬掩膜层342;采用干法刻蚀工艺,以图形化的硬掩膜层342为掩膜进行刻蚀,形成互连通孔322,其中用于所述干法刻蚀工艺的刻蚀气体包括CF4、C4F8、C5F8、C4F6和CHF3中的一种或多种。
接着,参见图6D,在步骤S230中,采用干法刻蚀工艺选择性刻蚀金属间介质层320,其中所述干法刻蚀工艺所采用的刻蚀剂具有对硬掩膜材料的高选择性,干法刻蚀中,金属层间介质材料的刻蚀速率数十倍、或数百倍于硬掩膜层的刻蚀速率,所述金属间介质层中具有优化的SiOCH和SiOx的组分含量,如图7所示,所得的刻蚀剖面边界清晰定义,所述金属间介质层中的通孔形貌几乎无变形。
返回参看图6D,金属间介质层320与硬掩膜层340之间还可以形成有保护层330,采用干法刻蚀工艺依次选择性刻蚀保护层330和金属间介质层320以形成互连通孔322,所述互连通孔322贯穿保护层330、金属间介质层320而停止于刻蚀停止层310中。本实施例中,采用增加金属间介质层中SiOx的含量百分比,所得的金属间介质层具有更接近于氧化物的组成以及机械强度,使得干法刻蚀工艺金属间介质层320与保护层310的刻蚀速率趋于一致,避免刻蚀过程中引入的图形异常。
作为示例,步骤S230还包括:形成图形化的硬掩膜层之后,通过灰化工艺去除残留的光刻胶。举例而言,可以采用氧自由基去除残留的光刻胶。在一些示例中,硬掩膜层340与金属间介质层320之间存在保护层330,形成图形化的硬掩膜层的步骤之后,晶圆之上未覆盖硬掩膜层的区域显露出保护层330,所述保护层330的存在可防止光刻胶灰化工艺所采用的氧自由基损伤所述金属间介质层320。
具体地,参见图6E,步骤S240包括:于所述互连通孔322中依次形成扩散阻挡层、金属种子层和金属填充物350以形成金属互连结构。作为示例,所述金属填充物的材质可以是任何合适的金属材料,例如钌(Ru)、钨(W)、钴(Co)、铝(Al)、铜(Cu)或类似金属。
使用本方案的金属互连结构的制作方法,可以实现边界清晰定义的通孔形貌,尤其是改善金属间介质层的机械强度,抑制机械强度失配引起金属间介质层的形变以及刻蚀图形异常,基于刻蚀图形改善的通孔或沟槽形成金属互连结构,降低后续金属填充工艺中引入孔洞的风险。
如上所述,本发明提供的低介电常数介质层的制作方法以及金属互连结构的制作方法,具有以下有益效果:
本发明的低介电常数介质层的制作方法中,通过优化低介电常数介质层的制备方法中前驱物和含氧的反应气体的组分配比,使所述低介电常数介质层的机械强度得以改善。
本发明的金属互连结构的制作方法中包括根据前述的低介电常数介质层的制作方法制作金属间介质层,所述金属间介质层具有接近于氧化层的硬度,减轻机械强度失配导致的形变;同时,在后续的刻蚀工艺中金属间介质层的刻蚀抗性提升,所述金属间介质层相对于用作保护层的氧化层的刻蚀选择性降低,改善金属间介质层中刻蚀形成的通孔或沟槽形貌,避免刻蚀图形异常而增加后续金属填充工艺的难度和/或引入孔洞,有利于提升元件之间的电性能。
所以,本发明有效克服了现有技术中的几种缺点而具高度产业利用价值。
上述实施例仅例示性说明本发明的原理及其功效,而非用于限制本发明。任何熟悉此技术的人士皆可在不违背本发明的精神及范畴下,对上述实施例进行修饰或改变。因此,举凡所属技术领域中具有通常知识者在未脱离本发明所揭示的精神与技术思想下所完成的一切等效修饰或改变,仍应由本发明的权利要求所涵盖。

Claims (9)

1.一种低介电常数介质层的制作方法,其特征在于:包括以下步骤:
提供一衬底;
提供气体混合物,所述气体混合物包括前驱物和含氧的反应气体;
将所述气体混合物通入反应腔室中,通过等离子体增强化学气相沉积工艺于所述衬底上形成低介电常数介质层,所述低介电常数介质层包含含碳的第一硅氧化物和第二硅氧化物;
其中,通过增加所述气体混合物中所述反应气体的含量百分比使所述低介电常数介质层中所述第一硅氧化物的组分含量降低以增强低介电常数介质层的机械强度。
2.根据权利要求1所述的制作方法,其特征在于:所述反应气体包括O2、N2O和CO2,所述前驱物选自四甲基环化四硅氧烷、八甲基环化四硅氧烷、十甲基环化五硅氧烷、四乙烯基四甲基环化四硅氧烷和三甲基三乙烯基环化四硅氧烷中的任一种环结构有机硅。
3.根据权利要求2所述的制作方法,其特征在于:所述低介电常数介质层选用为黑金刚石Black Diamond,所述低介电常数介质层的介电常数介于2.7-3.0之间,所述第一硅氧化物包括SiOCH,所述第二硅氧化物以化学计量式表示为SiOx
4.根据权利要求2所述的制作方法,其特征在于:所述前驱物包括八甲基环化四硅氧烷,所述反应气体包括O2,通过具有射频源的化学气相沉积工艺形成所述低介电常数介质层的步骤包括调节八甲基环化四硅氧烷相对于O2之间的流量比介于15.6-16.9之间。
5.根据权利要求1所述的制作方法,其特征在于:用于执行所述等离子体增强化学气相沉积工艺的设备包括电子回旋共振等离子体增强化学气相沉积装置和电感耦合放电等离子体增强化学气相沉积装置中的一种。
6.根据权利要求5所述的制作方法,其特征在于:采用所述电感耦合放电等离子体增强化学气相沉积装置执行所述等离子体增强化学气相沉积工艺包括:调节所述等离子体增强化学气相沉积工艺的射频功率介于100kw-150kw。
7.一种金属互连结构的制作方法,其特征在于,所述制作方法包括:
根据权利要求1至6任意一项所述的低介电常数介质层的制作方法于所述衬底上形成金属间介质层,所述衬底上还形成有器件层;
于所述金属间介质层上形成硬掩膜层;
形成图形化的硬掩膜层,基于所述图形化的硬掩膜层选择性刻蚀所述金属间介质层以形成贯穿所述金属间介质层的互连通孔;
于所述互连通孔中依次形成扩散阻挡层、金属种子层和金属填充物以形成金属互连结构。
8.根据权利要求7所述的制作方法,其特征在于,还包括:于形成硬掩膜层之前,于所述金属间介质层上形成保护层,所述保护层包括TEOS层和SiO2层中的一种。
9.根据权利要求8所述的制作方法,其特征在于,采用干法刻蚀工艺依次选择性刻蚀所述保护层和所述金属间介质层,用于所述干法刻蚀工艺的刻蚀气体包括CF4、C4F8、C5F8、C4F6和CHF3中的一种或多种。
CN202310170157.8A 2023-02-27 2023-02-27 低介电常数介质层及金属互连结构的制作方法 Active CN116190209B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202310170157.8A CN116190209B (zh) 2023-02-27 2023-02-27 低介电常数介质层及金属互连结构的制作方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202310170157.8A CN116190209B (zh) 2023-02-27 2023-02-27 低介电常数介质层及金属互连结构的制作方法

Publications (2)

Publication Number Publication Date
CN116190209A true CN116190209A (zh) 2023-05-30
CN116190209B CN116190209B (zh) 2024-03-22

Family

ID=86440154

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202310170157.8A Active CN116190209B (zh) 2023-02-27 2023-02-27 低介电常数介质层及金属互连结构的制作方法

Country Status (1)

Country Link
CN (1) CN116190209B (zh)

Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080070421A1 (en) * 2006-09-20 2008-03-20 Ping Xu Bi-layer capping of low-k dielectric films
US20080233366A1 (en) * 2007-03-23 2008-09-25 International Business Machines Corporation STRUCTURE AND METHOD FOR SiCOH INTERFACES WITH INCREASED MECHANICAL STRENGTH
US20110272812A1 (en) * 2010-05-04 2011-11-10 International Business Machines Corporation Structure and method for manufacturing interconnect structures having self-aligned dielectric caps
CN102446817A (zh) * 2010-10-14 2012-05-09 中芯国际集成电路制造(上海)有限公司 互连结构的制作方法
US20120190212A1 (en) * 2009-10-05 2012-07-26 Seiji Samukawa Low dielectric constant insulating film and method for forming the same
CN103839874A (zh) * 2012-11-21 2014-06-04 中芯国际集成电路制造(上海)有限公司 金属互连结构及其制作方法
US20140291817A1 (en) * 2013-04-02 2014-10-02 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device including porous low-k dielectric layer and fabrication method
US8927442B1 (en) * 2013-07-25 2015-01-06 International Business Machines Corporation SiCOH hardmask with graded transition layers
US20150097288A1 (en) * 2013-10-03 2015-04-09 Taiwan Semiconductor Manufacturing Co., Ltd. High Density Dielectric Etch Stop Layer
US20160024267A1 (en) * 2013-08-19 2016-01-28 Research & Business Foundation Sungkyunkwan University Porous film with high hardness and a low dielectric constant and preparation method thereof
CN105336725A (zh) * 2014-07-23 2016-02-17 中芯国际集成电路制造(上海)有限公司 互连结构及其形成方法
CN105720005A (zh) * 2014-12-04 2016-06-29 中芯国际集成电路制造(上海)有限公司 超低k介质层的形成方法
US9431292B1 (en) * 2015-04-29 2016-08-30 Globalfoundries Inc. Alternate dual damascene method for forming interconnects
CN113690174A (zh) * 2020-05-19 2021-11-23 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US20220084815A1 (en) * 2020-09-15 2022-03-17 Applied Materials, Inc. Systems and methods for depositing low-k dielectric films

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080070421A1 (en) * 2006-09-20 2008-03-20 Ping Xu Bi-layer capping of low-k dielectric films
US20080233366A1 (en) * 2007-03-23 2008-09-25 International Business Machines Corporation STRUCTURE AND METHOD FOR SiCOH INTERFACES WITH INCREASED MECHANICAL STRENGTH
US20120190212A1 (en) * 2009-10-05 2012-07-26 Seiji Samukawa Low dielectric constant insulating film and method for forming the same
US20110272812A1 (en) * 2010-05-04 2011-11-10 International Business Machines Corporation Structure and method for manufacturing interconnect structures having self-aligned dielectric caps
CN102446817A (zh) * 2010-10-14 2012-05-09 中芯国际集成电路制造(上海)有限公司 互连结构的制作方法
CN103839874A (zh) * 2012-11-21 2014-06-04 中芯国际集成电路制造(上海)有限公司 金属互连结构及其制作方法
US20140291817A1 (en) * 2013-04-02 2014-10-02 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device including porous low-k dielectric layer and fabrication method
US8927442B1 (en) * 2013-07-25 2015-01-06 International Business Machines Corporation SiCOH hardmask with graded transition layers
US20160024267A1 (en) * 2013-08-19 2016-01-28 Research & Business Foundation Sungkyunkwan University Porous film with high hardness and a low dielectric constant and preparation method thereof
US20150097288A1 (en) * 2013-10-03 2015-04-09 Taiwan Semiconductor Manufacturing Co., Ltd. High Density Dielectric Etch Stop Layer
CN105336725A (zh) * 2014-07-23 2016-02-17 中芯国际集成电路制造(上海)有限公司 互连结构及其形成方法
CN105720005A (zh) * 2014-12-04 2016-06-29 中芯国际集成电路制造(上海)有限公司 超低k介质层的形成方法
US9431292B1 (en) * 2015-04-29 2016-08-30 Globalfoundries Inc. Alternate dual damascene method for forming interconnects
CN113690174A (zh) * 2020-05-19 2021-11-23 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US20220084815A1 (en) * 2020-09-15 2022-03-17 Applied Materials, Inc. Systems and methods for depositing low-k dielectric films

Also Published As

Publication number Publication date
CN116190209B (zh) 2024-03-22

Similar Documents

Publication Publication Date Title
US6967405B1 (en) Film for copper diffusion barrier
US6417092B1 (en) Low dielectric constant etch stop films
KR100801369B1 (ko) 유전체 막을 증착시키는 방법
CN1518075B (zh) 有机绝缘膜、其制造方法、使用该有机绝缘膜的半导体器件及其制造方法
US7465676B2 (en) Method for forming dielectric film to improve adhesion of low-k film
US20090093100A1 (en) Method for forming an air gap in multilevel interconnect structure
US20020048969A1 (en) Method of forming film, method of manufacturing semiconductor device, and film forming apparatus
CN107564888B (zh) 互连结构及其制造方法
TWI810324B (zh) 半導體結構及其形成方法
KR20030011667A (ko) 반도체 장치 및 그 제조 방법
US9177918B2 (en) Apparatus and methods for low k dielectric layers
US20100216305A1 (en) Method for fabricating semiconductor device
US20080305609A1 (en) Method for forming a seamless shallow trench isolation
US6753269B1 (en) Method for low k dielectric deposition
JP4738349B2 (ja) 低kのcvd材料の勾配堆積
US20070269646A1 (en) Bond termination of pores in a porous diamond dielectric material
CN116190209B (zh) 低介电常数介质层及金属互连结构的制作方法
KR101015534B1 (ko) 저유전 상수를 갖는 절연막 및 이를 이용한 에어갭 제조 방법
KR20040101008A (ko) 반도체 장치의 제조 방법
KR100603703B1 (ko) 포토 레지스트 제거방법 및 이를 이용한 반도체 소자의금속배선 형성방법
CN1243378C (zh) 金属内连线的制造方法
Praveen et al. Conformal low-temperature dielectric deposition process below 200° C for TSV application
TWI750778B (zh) 積體電路結構及其形成方法
US11823984B2 (en) Method for fabricating semiconductor device with plug structure
US20060017166A1 (en) Robust fluorine containing Silica Glass (FSG) Film with less free fluorine

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant