CN115715421A - Rf电压与电流(v-i)传感器和测量方法 - Google Patents

Rf电压与电流(v-i)传感器和测量方法 Download PDF

Info

Publication number
CN115715421A
CN115715421A CN202180043083.0A CN202180043083A CN115715421A CN 115715421 A CN115715421 A CN 115715421A CN 202180043083 A CN202180043083 A CN 202180043083A CN 115715421 A CN115715421 A CN 115715421A
Authority
CN
China
Prior art keywords
tube
sensor
current
voltage
signal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180043083.0A
Other languages
English (en)
Inventor
贾斯廷·莫塞斯
巴顿·莱恩
麦里特·法克
切尔西·杜博斯
山泽阳平
迈克尔·胡梅尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN115715421A publication Critical patent/CN115715421A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/24Circuit arrangements not adapted to a particular application of the tube and not otherwise provided for
    • H01J37/241High voltage power supply or regulation circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge

Landscapes

  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Plasma Technology (AREA)
  • Measuring Instrument Details And Bridges, And Automatic Balancing Devices (AREA)
  • Measurement Of Current Or Voltage (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一种射频(RF)***包括:RF电源,该RF电源被配置成用RF信号给负载供电;RF管,该RF管包括内导体和连接到接地的外导体,这些导体将该RF电源耦合到该负载;以及电流传感器,该电流传感器与携载该RF信号的该RF管的中心轴线对齐。传感器外壳设置在该RF管周围,其中,该传感器外壳包括连接到该RF管的外导体的导电材料。廊道设置在该传感器外壳内并且设置在该RF管的外导体外部,其中,该电流传感器设置在该廊道中。该RF管的外导体中的狭缝使该电流传感器暴露于因该RF管的内导体中的该RF信号的电流而产生的磁场。

Description

RF电压与电流(V-I)传感器和测量方法
相关申请的交叉引用
本申请涉及2020年6月26日提交的代理人档案号为200391US01的共同未决美国非临时申请号16/913,545、2020年6月26日提交的代理人档案号为190883US01的美国非临时申请号16/913,548,并且要求2020年6月26日提交的代理人档案号为190907US01的美国非临时申请号16/913,526的优先权,这些申请案特此通过援引并入本文。
技术领域
本发明总体上涉及等离子体加工***和方法,并且在特定实施例中涉及射频(RF)电压与电流传感器和测量方法。
背景技术
通常,以减少的成本实现较高功能的需求推动了半导体集成电路(IC)的进步。以较低成本实现的较高功能主要通过以下方式提供的:通过小型化增加部件堆积密度。IC是由导线、触点和通孔的多级***互连的电子部件(例如,晶体管、电阻器和电容器)的网络。网络的元件通过使用包括比如化学气相沉积(CVD)、光学光刻和刻蚀等工艺步骤的制作流程在半导体衬底上方按顺序沉积和图案化电介质材料层、导电材料层和半导体材料层而集成在一起。通过利用比如浸入式光刻和多重图案化等创新来周期性地减小最小特征大小,已经增加了电路元件的堆积密度。进一步小型化是通过利用三维(3D)器件结构(例如,FinFET和堆叠式电容器存储器单元)减小器件占用面积来实现的。
比如反应离子刻蚀(RIE)、等离子体增强CVD(PECVD)、等离子体增强原子层刻蚀和沉积(PEALE和PEALD)以及循环等离子体工艺(例如,交替沉积与刻蚀的循环)等的等离子体工艺常规地用于半导体IC制作中所使用的沉积和图案化步骤中。然而,随着出现了缩小到几纳米的特征大小以及被控制在原子级尺寸下的结构特征,提供用于先进IC设计的可制造等离子体技术的挑战已愈演愈烈。期望可制造等离子体工艺提供具有以下项的结构:精确尺寸(例如,线宽、刻蚀深度和膜厚度)以及用于等离子体刻蚀的精确控制的特征(例如,侧壁角度、各向异性和对刻蚀停止层的选择性)和用于等离子体沉积的精确控制的特征(例如,保形性、纵横比选择性和自下而上图案化的区域选择性),以及跨宽(例如,300mm)晶片的均匀性。在用于IC制造中的许多等离子体工艺中,等离子体是由RF功率维持的。由于等离子体性质受输送到加工室的RF功率影响,因此对等离子体工艺的精确控制可能需要对RF信号进行不受干扰和准确的创新计量。
发明内容
根据本发明的实施例,一种射频(RF)***包括:射频(RF)电源,该射频电源被配置成用RF信号给负载供电;RF管,该RF管包括内导体和连接到接地的外导体,这些导体将该RF电源耦合到该负载;以及电流传感器,该电流传感器与携载该RF信号的该RF管的中心轴线对齐。该电流传感器被配置成监测该RF信号的电流,并且包括设置在该RF管附近的导电半环路,其中,该导电半环路包括第一端和相对第二端。该电流传感器被配置成在该第一端与该第二端之间输出输出信号。传感器外壳设置在该RF管周围,其中,该传感器外壳包括连接到该RF管的外导体的导电材料。廊道设置在该传感器外壳内并且设置在该RF管的外导体外部,其中,该电流传感器设置在该廊道中。该RF管的外导体中的狭缝使该电流传感器暴露于因该RF管的内导体中的该RF信号的电流而产生的磁场。
根据本发明的实施例,一种射频(RF)***包括:射频(RF)电源,该射频电源被配置成用RF信号给负载供电;RF管,该RF管包括内导体和连接到基准电位节点的外导体,这些导体将该RF电源耦合到该负载;以及第一电压传感器,该第一电压传感器轴对称地设置在携载该RF信号的该RF管的轴线周围,其中,该第一电压传感器被配置成监测该RF信号的电压。
根据本发明的实施例,一种测量射频(RF)信号的方法包括使电流传感器与携载RF信号的RF管的轴线对齐,其中,该电流传感器设置在廊道中,该廊道设置在传感器外壳内并且设置在该RF管的外导体外部。该传感器外壳设置在该RF管周围。该电流传感器包括导电半环路,其中,该导电半环路包括第一端和相对第二端。该方法包括基于测量该第一端与该第二端之间的输出信号来确定该RF信号的电流。
附图说明
为了更完整地理解本发明和其优点,现在参考结合附图进行的以下描述,在这些附图中:
图1A展示了用于半导体IC制作的通用等离子体加工***的框图;
图1B展示了根据实施例的用于RF管的V-I传感器的截面视图;
图1C展示了根据实施例的用于RF管的V-I传感器的电流传感器的顶部截面视图;
图2A展示了根据实施例的用于RF管的V-I传感器的立体图;
图2B展示了图2A中所展示的V-I传感器的剖视图;
图3展示了根据某个实施例的用于RF管的V-I传感器的剖视图;
图4展示了根据某个实施例的用于RF管的V-I传感器的剖视图;
图5展示了根据某个实施例的用于RF管的V-I传感器的剖视图;
图6A展示了根据某个实施例的用于RF管的V-I传感器的立体图;
图6B展示了图6A中所展示的V-I传感器的剖视图;
图6C展示了图6A中所展示的V-I传感器的截面视图;
图6D展示了根据一个实施例的在图6A中所展示的V-I传感器的电流传感器元件的立体图;
图7A展示了根据某个实施例的用于RF管的电流传感器组件的立体图;
图7B展示了图7A中所展示的电流传感器组件的分解视图;
图7C展示了图7A的电流传感器组件的剖视图的分解视图以及用于RF管的RF导体;
图7D展示了根据某个实施例的在图7C中所展示的电流传感器组件以及用于RF管的RF导体的剖视图;以及
图7E展示了图7C中所展示的电流传感器组件以及RF导体的底部部分的平面图。
图1A至图7E中的所有三位数附图标记中的最后两位数字总是表示类似部件。
具体实施方式
下文详细地讨论本披露内容的实施例的制作和使用。然而,应理解,本文所披露的概念可能体现在各种各样的具体情况中,并且本文所讨论的具体实施例仅是说明性的而非用于限制权利要求的范围。
本披露内容描述了用于准确地测量电压(V)、电流(I)以及射频(RF)电信号的电压与电流之间的相位角(Φ)的传感器设计和方法。本文所描述的电压与电流(V-I)传感器的实施例已应用于探测沿着被称为RF管的同轴传输线的RF电磁波的电场和磁场。
半导体制作中的等离子体工艺(例如,等离子体刻蚀工艺和沉积工艺)通常使用RF功率来维持等离子体。如本领域技术人员已知,维持等离子体室中的等离子体的RF信号会影响等离子体性质。等离子体性质(例如,电子密度、等离子体鞘层厚度、离子与自由基通量的比率等)继而影响等离子体工艺的刻蚀和/或沉积特性。
在各种实施例中,本申请描述了用于测量穿过RF管的RF信号的电流和电压的RFV-I传感器。术语RF管在这里是指将RF功率从等离子体反应器(被称为等离子体加工***)的一个部分携载到另一部分的同轴传输线。通过RF管传输的波形I和V是位置(x)和时间(t)的函数,即,I(x,t)和V(x,t)。当存在单个频率分量f时,电流和电压由紧凑地书写为Re(I(x)ejωt)和Re(V(x)ej(ωt+Φ))的正弦波形来描述,其中,ω=2πf,j2=-1,并且Re是复变函数的实数部分。如上文所提到,I和V各自具有量值并且彼此由相位角Φ分隔开。通常,波形I和V可以包括多个频率分量。电压V(x,t)是指RF管(或同轴传输线)的内导体(或芯)相对于经接地外导体(或屏蔽件)的电位,其中,接地表示RF***的基准电位。
如在各种实施例中所描述的,RF V-I传感器可以使用几何对称性和差分测量方法在传感器的位置处以高精度提供V和I。多个V-I传感器可以在沿着RF管的各种位置处使用以探测那里的RF信号。由于V和I是位置x的函数,因此每个V-I传感器可以被定位成尽可能靠近相应的期望测量位置。例如,如果期望使用提供到等离子体室的RF信号的电压和电流的准确测量值来监测和控制等离子体工艺,则用于该目的的V-I传感器可以被定位成靠近RF信号进入等离子体室的位置。本文所描述的各种实施例在不增加成本的情况下提高V-I传感器的测量准确性和敏感性,从而为等离子体加工***提供增强的能力,以在相同成本下提供具有更佳可重复性和更严格工艺控制的等离子体工艺。另外,RF探针被设计成不受干扰的以允许容易地利用改进的V-I传感器来改造现有等离子体加工装备,而无需在生产流程中对等离子工艺的既定配方进行耗时和昂贵的重新开发。
在本披露内容中,首先参考图1A中所展示的框图来解释等离子体加工***中的V-I传感器的用途。接下来,根据实施例,参考图1B中所展示的示意图来描述等离子体加工***中的V-I传感器的基本结构和操作原理。然后,参考图2A、图2B、图3、图4和图5中所展示的V-I传感器的示例实施例解释V-I传感器设计(类似于图1B中的示意图中的基本结构的设计)的一些创新方面。
如下文参考图1B详细地描述,图2A、图2B、图3、图4和图5中的实施例中的电压传感器具有轴对称性、被设计为放置在RF管内部的绕平行于电流方向延伸的中心纵向轴线环绕成圈的导电环。由轴对称设计提供的优点已在下文讨论中参考图2B进行了解释。
示例实施例中的相应电流传感器位于RF管外部的圆周周围的套筒或廊道中。廊道是传感器外壳内部的腔。传感器外壳具有覆盖廊道的导电壁,并且可以连接到RF管的外导体,从而连接到接地。如下文参考图1B详细地描述,电流传感器是具有两个敞开端的单个导电环路(被称为半环路);该环路是使用例如通过同轴电缆连接到电流传感器的外部V-I分析器的部件来完成的。V-I分析器是分析从电流传感器和电压传感器接收的原始信号的测量***。如下文进一步解释的,电流传感器的两个端可以连接到V-I分析器以进行差分测量以获得准确分析。然而,为了以准确性为代价简化***,电流传感器的端中的仅一个端可以连接到V-I分析器,并且另一端通过负载阻抗(例如,通过50欧姆负载)端接到接地或短接到接地。接地连接可以是与传感器外壳的直接连接。在这个配置中,廊道的外接地盖件位于半环路的两个端之间的电路中,这非常有助于完成环路。在使用本披露内容中所描述的电流传感器的实施例的替代RF***中,整个闭合环路可以包含在具有适当阻抗匹配和一个或多个外部信号连接的廊道内。
在参考图1B至图5所描述的示例实施例中,半环路电流传感器的电流环路具有包括三个导电元件的一个导电匝。电流传感器的半环路的三个导电元件是两个相同竖直分支由平行于RF管的中心轴线定向的一个水平分支连接。相应地,本文所讨论的电流传感器设计关于法向于RF管的中心轴线并且中途在两个竖直分支之间穿过的镜像平面具有镜像对称性。下文已参考图2B在讨论中解释了具有反射对称性的优点。然而,由于位于RF管的一个侧上,因此单匝半环路电流传感器缺少轴对称性。参考图6A至图7E描述轴对称多匝半环路电流传感器设计,其中,这些实施例利用环状芯轴机械地支撑多匝电流拾波器。
在本披露内容中所描述的V-I传感器设计的创新方面可以提供多个优点。例如,对电磁波的电场和磁场的非侵入式探测已用于允许对RF管中的RF信号执行干扰可忽略不计的V-I测量。此外,几何对称性和差分测量技术有利地用于传感器设计中以提供可能对因用于形成部件的工具的标准公差而产生的机加工误差以及在V-I传感器的组装期间的定位误差不敏感的测量。另外,已利用多种结构增强技术;例如,可以对V-I传感器的元件进行重复放置以增强几何对称性,并且可以放置被设计成提供额外机械支撑的零件以减少/抑制在组装期间因机械应力导致的关键传感器部件的形状的甚至小变形。因此,通过使用本披露内容中所描述的实施例,可以实现以改进精度进行RF V-I测量,而不会因更严格机加工公差而导致成本增加。
图1A是可以用于半导体IC制作的通用等离子体加工***的框图。
现在参考图1A,在等离子体加工***中,RF信号可以由高功率RF电源10(例如,耦合到RF功率放大器的RF振荡器)生成。RF信号波形(例如,频率、振幅、脉冲式/连续等)可以由可编程控制器20和相关联电子电路***调整。RF信号可以经由导管(例如,RF管110)来传输以将RF功率带到耦合到在图1A中指示为等离子体室30的方框内部的等离子体的电极。
如本领域技术人员已知的,RF管110中的RF信号可以表示为行进的RF电磁波的组合。RF电源10的输出阻抗与负载阻抗之间的阻抗不匹配导致从RF电源10朝向负载行进的RF功率的一部分被反射回到RF电源10。为了抑制这样的不期望反射,可以将包括匹配网络的匹配器40***在RF电源10与等离子体室30之间的RF信号路径中,如在图1A中所展示的。匹配网络的反射功率与入射功率的比率可以由匹配器40(例如,使用V-I传感器和分析器)感测并且提供到可编程控制器20。可编程控制器20可以通过使用例如反馈控制环路(在图1A中由匹配器40与可编程控制器20之间的两个箭头指示)调整匹配网络的阻抗来减小从该匹配网络反射回到RF电源10的RF功率。
可以使用例如通过RF管110从RF电源10输送到等离子体室30的电极的RF功率将等离子体维持在等离子体室30中。如在图1A中所展示的,V-I传感器100可以用于感测提供到电极的RF信号的电流和电压。在各种等离子体室设计中,电极可以在室壁内部,例如,电容耦合的等离子体(CCP)室中的圆盘状电极或室壁外部的天线。例如,在电感耦合的等离子体(ICP)室中,该天线可以是放置在电介质窗上的导电平面螺旋线或缠绕在电介质圆柱上的导电螺旋件。在图1A中指示为等离子体室30的方框包括耦合到等离子体的电极和天线。为了简单起见,在本披露内容中,术语电极是指电极和/或天线。等离子体室30包括至少两个电极,例如,电耦合到位于这些电极之间的等离子体的顶部电极和底部电极。在一些设计中,底部电极也是衬底支架可以是有利的。
尽管图1A中的框图示出了将RF功率从单个RF电源10输送到等离子体室30的RF管110,但可能存在向超过一个电极提供RF功率的超过一个RF电源。例如,使用相应RF管、匹配器和V-I传感器,RF电源10可以向等离子体室30的电极(例如,顶部电极)提供RF功率,并且第二RF偏置电源可以向等离子体室30的另一电极(例如,底部电极)供应RF偏置功率,该V-I传感器被定位成靠近等离子体室以感测提供到底部电极的RF信号的电压和电流。
在图1A中,靠近接收RF信号的电极的用于感测并测量RF信号的电流和电压的V-I传感器100连接到V-I分析器60。V-I分析器60可以从V-I传感器100接收反映V(t)和I(t)的原始输出波形,如由箭头所指示的。V-I分析器60可以是可以从原始波形提取各种RF信号特性的信号处理器(例如,数字信号处理器)。各种RF信号特性可以包括量值|V|、|I|、V与I之间的相位角(Φ)、以及峰值RF功率|V||I|cosΦ。另外,可以进行谐波分析以提取多个频率分量。所测量的RF信号特性可以反映等离子体阻抗和等离子体性质,比如自由电子和离子密度以及离子/自由基通量和能量。V-I分析器60可以使用例如在一定频率范围(例如,从大约0.4MHz到大约1GHz)和功率范围(例如,从大约0.015kW到大约30kW)内的RF校准信号、标准负载阻抗(例如,短路、开路、50欧姆等)和向量网络分析器(VNA)来预先校准。
如在图1A中由箭头所指示的,反映等离子体性质的各种RF信号特性可以由V-I传感器100和V-I分析器60提供到可编程控制器20并且用于例如工艺监测或端点检测。另外,可编程控制器20可以将接收到的RF测量值用于工艺控制。如本领域技术人员已知的,等离子体性质可以通过更改耦合到等离子体的RF信号来更改。可编程控制器20可以使用来自RF测量值的信息例如通过调整RF电源10的设置或通过调整匹配器40的匹配网络的阻抗来控制等离子体工艺。
在V-I传感器100用于估计和控制等离子体的参数的实施例中,将V-I传感器100定位成靠近等离子体室30可以是有利的。电极位置处的RF信号的V和I可以依据由定位在那里的V-I传感器100在不同位置处测量的V和I来估计。然而,电极处的V和I的测量误差可能随着电极与V-I传感器之间的距离增加而增加。理论上,用于变换两个位置之间的传感器信号的传递矩阵随着两个位置之间的距离增加而进一步偏离单位矩阵。相应地,针对电极位置估计的V和I变得对估计相应传递矩阵的任何误差越来越敏感。
现在参考图1B,根据一个实施例,V-I传感器100附接到RF管110,该RF管连接到等离子体室30。RF管110可以是包括围绕共享纵向轴线同心地放置的两个导电管(例如,铝或铜管)的同轴结构。被称为内导体120的内导电管可以电连接到由指向左边的箭头指示的匹配器40的输出端子。被称为外导体130的外导电管可以是连接到通常被称为接地的基准电位的经接地鞘层。RF管110可以被称为主同轴线,因为该RF管将RF功率从匹配器40携载到等离子体室30。本披露内容中的其他同轴线被称为同轴信号线(例如,可以用于将信号从V-I传感器100携载到VI分析器60的同轴线)。
V-I传感器100包括两个主要部件:电流传感器140和电压传感器150。电流传感器140可以设置在具有导电壁(例如,铝、黄铜、不锈钢或铜)的传感器外壳165内部的环形廊道160中。在图1B中示意性地示出的实施例中,廊道160是遵循外导体130外部的完整圆周并且关于RF管110的轴线轴对称的中空环形区。廊道160的轴对称设计提供防止在RF管110中传播的RF电磁波的附加反射和非轴对称波模式的优点。廊道160和导电传感器外壳165可以与RF管110整体形成或能够对称地附接在RF管110周围,并且在组装期间被定位成帮助避免RF管110的纵向轴线与电流传感器140之间的对齐误差。在任一情况下,导电传感器外壳165和外导体130都是电气地和物理地连接的。相应地,传感器外壳165可以被视为同轴RF管110的外导体130的延伸部。
尽管图1B中的实施例具有环形廊道160,但在某个其他实施例中,廊道可以不是环形的。在某个其他实施例中,RF管110的轴对称性可能已经不可避免地被例如RF管110中的弯曲破坏,并且因此,因V-I传感器设计中的不对称性而导致的附加轴对称损失可能是不显著的。则放宽V-I传感器设计中的轴对称性可能是合理的。例如,廊道可以部分地遵循外导体130的圆周而不形成RF管110的完整回路。
参考图1B和图1C,廊道160被示出为由传感器外壳165和外导体130的导电表面完全封围,除了连接廊道160和RF管110的中空区的狭缝132之外。电流传感器140的电流拾波器141被示出为位于在狭缝132正上方的廊道160中。在图1B中所展示的实施例中,电流拾波器141包括被布置为矩形的三条边的三个导电分支(被称为半环路):两个竖直分支142和一个水平分支143。在一个实施例中,两个竖直分支142各自旋拧到水平分支143中的开口中。
在图1B中的实施例中,电流拾波器141的竖直和水平分支是使用三个单独的零件形成的。在某个其他实施例中,可以使用不同数量(更少/更多)的零件。
狭缝132被设计成准许磁通量穿透到廊道160中。在内导体120中流动的电流致使磁通量在内导体120与外导体130之间的区中围绕纵向轴线LA1在内导体120周围循环。在不具有狭缝132的情况下,外导体130外部的磁通量将大致为零,因为根据安培定律,在外导体130的内表面上流动的相等但相反的返回电流将抵消因内导体120中的电流而产生的循环磁通量。狭缝132通过破坏圆柱形外导体130中的连续性使返回电流转向以沿着传感器外壳165的外导电本体的内表面流动。因此,含有半环路电流拾波器141的廊道160的中空区落在在内导体120中流动的电流与相应返回电流之间的区内部。按照安培定律,现在在廊道160内部存在穿过电流拾波器141的矩形半环路的磁场。在一个示例中,狭缝132可以沿着圆柱形外导体130的整个圆周延伸以帮助最大化穿过电流拾波器141的半环路的磁通量。
除了磁通量之外,还存在因内导体120与接地外导体130之间的电压差而从内导体120发出的电通量。不期望的电通量可能通过由在外导体130中形成的狭缝132提供的经接地鞘层中的间隙泄露到廊道160中。穿过电流拾波器141的半环路的变化磁通量诱发电信号,该电信号是该位置处的I(t)的度量。然而,进入廊道160的电通量可能与电流拾波器141耦合并且污染由磁通量产生的信号。相应地,如在图1C中所展示的,狭缝132已被设计成具有大约1mm到大约5mm的宽度(平行于LA1的尺寸)。狭缝132的宽度可以保持狭窄以帮助减少从RF管110内部进入廊道160的电通量。
尽管用于参考图1B至图5所描述的V-I传感器的实施例的狭缝设计形似沿着外导体的圆周的环,但应理解,各种其他设计也是可能的。例如,曲折狭缝设计已用于参考图7A至图7E所描述的电流传感器组件中。
电流传感器140的导电零件可以通过气隙(或其他绝缘体)并且通过用于机械支撑的绝缘部件(比如,图1b中的绝缘零件162)(和图2A至图5中所示出的类似其他零件)与外导体130和传感器外壳165的导电表面绝缘。
电流拾波器141在拓扑上是半环路(具有两个敞开端的环路),在具有矩形截面(具有两个竖直分支142和一个水平分支143)的区周围形成一匝。单匝半环路电流拾波器141可以在存在源自沿着RF管110行进的RF电磁波的时变磁场的情况下定位。按照法拉第定律,可能在电流拾波器141的两个端之间诱发与时变磁通量成比例的时变电压差。电流拾波器141的两个端可以附接到在图1B中示出为在传感器外壳165上面的一对对称端子144。在一个实施例中,端子144可以是用于连接同轴信号线的同轴电缆连接器。
如下文进一步详细地解释,针对电流拾波器141使用对称设计是有利的。由测量***(例如,图1A和图1B中的V-I分析器60)利用对称性以通过测量例如电流传感器140的两个端子144之间的差分电压来抵消两个竖直分支142中的寄生信号。对于这种测量方法,来自电流传感器140的差分信号是其输出信号,并且可以使用例如差分放大器来检测。
电流拾波器141的半环路在V-I传感器外部通过端接阻抗、初始检测***的输入阻抗以及电缆的阻抗(如果电缆用于将电流传感器140的输出信号传输到测量***的初始检测***)的组合来完成。如果初始检测***放置在电流传感器140自身处,则可以解除使检测器阻抗与电缆阻抗相匹配的要求。如果测量***远离电流传感器140,则可以使用包括例如同轴电缆的同轴信号线将端子144连接到测量***的初始检测***。同轴电缆的阻抗通常在大约20欧姆到大约300欧姆的范围内。有利的是,端接具有匹配阻抗的同轴信号线以便避免因阻抗不匹配而引起从测量***的反射。还有利的是,将电流传感器140的两个端部端子144连接到以对称方式端接的对称同轴信号线,从而保留电流传感器140的输出信号的对称性。例如,在一个实施例中,可以使用具有50欧姆端接部的一对相同50欧姆同轴电缆。
如上文所提到的,将差分信号用作电流传感器的输出信号在测量I时提供更高准确性。为了检测差分信号,必须使用例如一对同轴电缆将来自成对端子144的成对信号提供到初始检测***。然而,在准确性有一些损失的情况下,电流传感器还可以结合检测成对端子144中的一个端子处的信号的测量***来使用。在检测成对端子144中的第一端子处的信号(而非检测差分信号)的***中,成对端子144中的第二端子可以连接到尽可能接近地反射第一端子处的阻抗的阻抗。例如,第一端子可以连接到第一50欧姆同轴电缆以将信号传输到初始检测***的50欧姆输入端口,并且第二端子可以连接到在电缆的端处具有50欧姆端接部的第二相同50欧姆同轴电缆而非检测器。应注意,某个其他适当阻抗可以用于端接部;阻抗不需要为50欧姆。此外,可以省略第二同轴电缆并且适当阻抗的端接部可以直接固定到成对端子144中的第二端子。
包括用于连接电流传感器140的元件的测量***的设计还考虑了由于电子部件的寄生电容产生的对地阻抗。由于寄生电容阻抗的频率相关性,因此部件在RF频率下的阻抗可能与部件在低频率下或在DC(零频率)下的阻抗明显地不同。例如,由于与电阻器结构相关联的对地寄生电容,电阻器部件在DC下的阻抗可能随着电信号的频率增加到RF范围而减小。具有较高电阻值的电阻器的阻抗对RF信号的频率更敏感。由于对地寄生电容取决于几何结构以及放置电阻器的几何环境,因此如果电阻器具有高电阻值,则难以控制从单元到单元的阻抗变化。因此,为了维持测量准确性,即使当初始检测***被放置在传感器位置处时,将初始检测***的设计限制成使用电阻值小于其寄生RF电抗0.1的电阻器是有利的。
电压传感器150的电压拾波器151包括可以沿着外导体130的内表面放置的导电环。外导体130和导电电压拾波器151可以通过绝缘环152彼此绝缘,如在图1B中所展示的。绝缘环152可以包括特氟隆(Teflon)或一些其他塑料材料或某种其他合适的电介质。在一个实施例中,比如导电环等电压拾波器151可以暴露于内导体120与外导体130之间的空气(或其他绝缘体)。在另一实施例中,电压拾波器151可以嵌入在绝缘壳体中。在所有实施例中,电压拾波器151(例如,导电环)可以与外导体130电绝缘并且由绝缘结构机械地支撑。
在一个实施例中,电压拾波器151(例如,导电环)的内径可以与外导体130的内径相同。在某个其他实施例中,电压拾波器151(例如,导电环)的内径可以是不同的(小于或大于外导体130的内径)。当电压拾波器151(例如,导电环)的内径与外导体130的内径相等时,因***电压拾波器151而引起的对RF管110中的电场和磁场的扰动是相对最低的。来自电压拾波器151的输出信号随着电压拾波器151(例如,导电环)的内径减小而增加,如下文进一步详细地解释。到电压拾波器151的触点延伸到外导体130外部并且端接在附接在传感器外壳165上面的第三端子153(例如,第三同轴电缆连接器)处。电流拾波器141、电压拾波器151以及到相应端子144和153的触点可以包括具有高导电性的金属(例如,铜),并且可以全部与比如外导体130和导电传感器外壳165等其他导电元件绝缘。
对端接部阻抗以及将初始检测***连接到电压传感器150的端子153的同轴信号线的设计的考虑可以类似于对端接部阻抗以及将初始检测***连接到电流传感器140的端子144的同轴信号线的设计的考虑,如上文所讨论的。上文参考电流传感器140进行的讨论包括对保留差分输出信号的对称性的考虑。然而,所讨论的该部分不适用于电压传感器,因为在V-I传感器100的实施例中,电压传感器150仅具有一个环状电压拾波器151和一个端子153,而电流传感器140具有一对端子144。对称性考虑可以在另一实施例中是适用的,其中,两个电压拾波器环被对称地放置,并且可以例如在参考图3所描述的V-I传感器300中使用两个信号的算术平均值。
如在图1B和图1C中所展示的,RF管110的纵向轴线LA1处于电流拾波器141的平面P1中。纵向轴线LA1还平行于RF管110中的电流的方向。另外,如在图1C中更容易地观察到,沿着正交于RF管110的纵向轴线LA1的方向,电流拾波器141包括:第一镜像对称平面M1,该第一镜像对称平面包括RF管110的纵向轴线LA1;以及第二镜像对称平面M2,该第二镜像对称平面正交于第一镜像对称平面M1。在一个或多个实施例中,电流拾波器141的第一镜像对称平面M1与RF管110的纵向轴线LA1是共面的。
磁场线是围绕纵向轴线LA1大致同心的,竖直穿过半环路的平面P1。在这个配置中,磁场电感地耦合到电流拾波器141(如所期望的)。通过将电流拾波器141定位在外导体130外部而极大地削弱与电场的不期望耦合。电感耦合的振荡磁场在电流拾波器141(三边半环路)中诱发电动势(emf)。根据法拉第定律,诱发的emf与变化磁通量相关。由于携载电流的导体周围的磁场的强度反映相应电流,因此电流传感器140可以生成反映在RF管110中相应位置处的RF电流的时变电信号。电流传感器140的一个方面是,两个端子144处的电信号可以由检测***接收,并且两个端子144之间的差分电压用作电流传感器140的输出信号。下文参考图2B进一步详细地解释由差分输出技术提供的优点。
电位和电场量值轮廓大致是中心在RF管110的纵向轴线LA1上的圆圈。圆形轮廓包含在法向于纵向轴线LA1的平面系列中。因此,电场线竖直于纵向轴线LA1从内导体120被径向地引导。环状电压拾波器151大致位于圆形轮廓之一上。对于这个配置,内导体120外部的空间中的振荡电场电容地耦合到电压拾波器151,并且根据电磁学的物理定律,导电环获得与内导体在相应位置处的电位大致成比例的振荡电位。这个振荡电位可以用作电压传感器150的输出信号。根据高斯定律,内导体120与外导体130之间的径向电场的量值随着距纵向轴线LA1的径向距离增加而减小。相应地,电压传感器150的输出信号可以通过以下方式增加:例如通过减小电压拾波器环的内径来将该电压传感器的电压拾波器151定位成更靠近内导体120。
虽然电压拾波器151电容地耦合到电场,但几乎不存在与磁场的耦合,因为法向于环状电压拾波器151的平面的磁通量对于这种几何结构是可忽略不计的。由于导电管(在这个示例中为内导体120)周围的电场的强度反映导体的电位,因此电压传感器150可以生成反映在RF管110上相应位置处的RF电压的时变电信号。
原始输出信号(例如,一对来自电流传感器140并且另一对来自电压传感器150)可以传输到V-I分析器60,如由箭头所指示的(也参见图1A)。
图2A展示了V-I传感器200以及RF管的外导体230(外管)的立体图。图2B展示了同一V-I传感器200沿着轴线2B-2B’的剖视图。图2A和图2B中的V-I传感器200类似于图1B中的V-I传感器100。电流传感器240和电压传感器250放置在V-I传感器200的环形廊道260内。在图2A和图2B中,RF管的内导体已被移除以更好地展示外导体230内部的电压传感器250的电压拾波器环251。立体图(图2A)示出了V-I传感器200的三个端子(在这个示例中为同轴电缆连接器)。延伸到廊道260的顶部上面的成对端子244连接到电流传感器240的电流拾波器241,如在图2B中所示。第三端子253连接到电压传感器250的电压拾波器251。
参考图2B,电流传感器240的电流拾波器241是包括三个导体的半环路。电流拾波器241的两个导电竖直分支242通过塑料(或其他绝缘材料)与金属传感器外壳265绝缘。竖直分支242连接到水平分支243的两个端,该水平分支是水平地设置在外导体230上面的廊道260内部的第三导体。沿着外导体230的圆周的狭缝232允许磁场穿过半环路的平面并且在电流拾波器241的导电分支中诱发电动势。电流拾波器241的水平分支243可以沿着导体的侧附接到水平非导电(例如,塑料)零件262。在图2A和图2B中所展示的V-I传感器200的示例实施例中,水平分支243通过侧上的塑料零件262并且通过水平分支243的底部与外导体230的顶部之间的间隙中的空气与接地金属传感器外壳265和外导体230绝缘。在下文进一步详细地描述的另一实施例中,对水平分支243的机械支撑可以通过放置在水平导体下面的气隙中的附加塑料零件来加强。
电流拾波器(例如,图2B中的电流拾波器240)通过其与RF电磁场的相互作用提供电信号。如上文所解释的,磁场(而非电场)反映RF电流。狭缝232允许磁场从RF管穿透到电流拾波器241所处的廊道260中。电流拾波器241与电场的任何耦合都会使磁场的测量精度降级。电流传感器240可以抑制可能由与电场的不期望相互作用引起的测量误差,如本文所解释的。首先,V-I传感器200中的电流传感器240放置在接地外导体230外部,从而使用外导体230来屏蔽电场。如上文参考图1B和图1C所提到的,RF电场在径向方向(竖直于RF管的同轴轴线LA1)上,并且相应地,泄露到廊道中的电通量与在上文定义为平行于LA1的尺寸的狭缝宽度大致成正比。狭缝232的宽度可以被选择成相对较小以减少由于外导体230中的狭缝232形成的间隙而进入廊道260的电通量的量。其次,差分信号可以用作输出信号以便进一步减小不管外导体230如何都可能穿透到腔中的电场的部分的影响。理想地,根据电磁学理论,电流传感器的两个端子244之间的差分电压与振荡磁场大致成比例。但由于存在狭缝232,因此廊道260内部的弱电场可以电容地耦合到电流拾波器241。然而,电流拾波器241、狭缝232和廊道260可以被构造成关于穿过狭缝232的中心并且竖直于RF管的纵向轴线定向的平面是镜像对称的。由于上文提到的半环路电流拾波器241的这种几何镜像对称性,因此沿着竖直分支242的扰动与对出现在两个端子244处的电位的扰动在量值和相位上大致相等。可以有利地使用这种对称性质,因为这意味着,差分信号不受因与廊道260中的穿透电场相互作用而在电流拾波器241中引起的寄生信号的影响。换句话说,成对端子244中的第一端子与第二端子之间的电位差保持为不被扰动、在一阶上正确的。电流传感器240设计的这些方面可以有利地实现以高精度进行电流测量,特别是在比如向等离子体室中的静电衬底支架提供RF偏置信号等应用中,其中,负载阻抗可以使得在靠近RF信号进入等离子体室的点处电场的振幅相对较高并且磁场的振幅相对较低。
仍然参考图2B,靠近外导体230的内表面放置在该外导体内部的环状导体是电压传感器250的电压拾波器251。由电压拾波器251生成的信号的强度可以取决于其尺寸。虽然直径可以由外导体230的直径大致确定,但宽度和厚度是可调整设计参数。在这个实施例中,导电电压拾波器251是在一个点处电连接到V-I传感器200的第三端子253(例如,同轴电缆连接器)的环。导电电压拾波器251通过附接到电压拾波器251的环状电介质部件252与导电外导体230绝缘。
如上文所解释的,电压拾波器251在V-I传感器200的第三端子253处提供由于由RF电磁场诱发的电荷极化而产生的电信号。第三端子253处的电位反映内导体(出于清晰目的未在图2B中示出)的振荡电压。RF电场电容地耦合到电压拾波器251。然而,与磁场的相互作用是可忽略不计的,因为纵向轴线法向于环的平面,如上文参考图1B所解释的。
电压拾波器251的环状设计使用轴对称性来降低电压传感器250的输出对放置和大小误差中的一些误差的敏感性,如本文所解释的。首先,圆对称性可以消除精确放置电压拾波器251的需要,因为在一阶上,环的导电表面处的电位独立于环的中心位置从中心轴线(图2A中的轴线2B-2B’和图1B中的LA1)的偏移。相反,电压拾波器251的电位主要取决于环的尺寸(例如,内径、外径和厚度)。相比之下,在不对称电压拾波器设计中,电压拾波器导体将获取在一阶上取决于大小以及不对称电压拾波器的放置的电位。例如,蘑菇状电压拾波器可能不仅对蘑菇状头部处的导电表面的尺寸敏感,而且对其相对于内导体的位置敏感。在这样的设计中,电压拾波器与RF管的纵向轴线之间的距离可能必须在组装期间有时手动地使用螺旋测微计进行精确调整。其次,电压拾波器251的设计可以大致抵消在将电压传感器250组装到V-I传感器200中期间的任何定心误差。电压拾波器251的轴对称性确保在一阶上,即使电压拾波器251的圆心从内导体的纵向轴线轻微位移,总电通量也是不变的。环的可能被位移成更靠近内导体的一半中的电通量的增加是通过导电环的现在将由于环的圆形几何结构而距纵向轴线更远的另一半中的电通量的伴随减少来平衡的。
图3展示了具有位于传感器外壳365内部的廊道360中的电流传感器340的V-I传感器300的另一实施例的剖视图。水平分支343被示出为由绝缘零件362支撑并且连接到电流传感器340的两个竖直分支342。在镜像对称平面M2中绕行的狭缝332被示为在水平分支343上面。
类似于参考图2A和图2B所描述的V-I传感器200,第一电压传感器350被示出为在外导体330内部。另外,V-I传感器300具有对称地位于电流传感器340的相对侧上的第二电压传感器355。在这个实施例中,第一电压传感器350和第二电压传感器355的电压拾波器和壳体已凹入到外导体330的本体中以便使外导体330的内部表面保持尽可能地平滑。外导体330的平滑内表面提供减小因***电压传感器350和355而导致的对电磁场的扰动的优点。在这个实施例中,V-I传感器300引起对RF管中的电磁场的可忽略不计的扰动。
来自第一电压传感器350和电流传感器340的测量值由于这些传感器之间的测量位置的差异而具有相对的相位误差。在这个实施例中,相对放置的第二电压传感器355由于相对于电流传感器340的这个对称位置(即,电流传感器340的镜像对称平面M2与第一电压传感器350和第二电压传感器355等距)而具有相反相位误差。依据对称性,由第一电压传感器350感测的RF信号波形中的电压与电流之间的相对相位的误差和由第二电压传感器355感测的RF信号波形的相应误差至少在一阶上在两个经感测电压信号的总和中相抵消。相应地,更准确电压测量值可以通过将来自第一电压传感器350的信号与来自第二电压传感器355的信号组合来提供。通过使用例如来自第一电压传感器350和第二电压传感器355的测量值的算术平均值,可以减小或甚至消除相位误差以产生反映镜像对称平面处的电压的电压测量值。
另外,第二电压传感器355的存在帮助确保电流拾波器341的两个竖直分支342以及水平分支343的左半部分和右半部分经历相同的电场和磁场。如上文所解释的,寄生电信号可能因电流拾波器不期望地耦合到穿透到廊道360中的腔中的电场而生成。通过改进几何对称性,第二电压传感器351帮助确保通过使用差分电流测量更准确地抵消在电流传感器340的第一和第二端子344处所示的电位的扰动,如上文参考图2A和图2B所描述的。在一些实施例中,使用第二电压传感器355的输出可以是可选的。
图4展示了附接到包括内导体420和外导体430的RF管410的V-I传感器400的又一实施例。
类似于参考图2A和图2B所描述的V-I传感器200,V-I传感器400包括放置在廊道460中的电流传感器440和电压传感器450。V-I传感器400的设计已通过向电流传感器440的电流拾波器441的水平导体443提供附加机械支撑而相对于V-I传感器200的设计(参见图2A和图2B)得到改进。
在图4中所展示的V-I传感器400的设计中,支撑部件(例如,塑料零件462和470)与图2B中所展示的V-I传感器200中的相应零件(例如,塑料零件262)相比可以更稳固地固定水平分支443。例如,在一个实施例中,V-I传感器200中的水平分支243的两个端处的塑料零件262是具有可以将水平分支243放置在其中的一组孔的环,而在V-I传感器400的设计中,比如零件462和470等塑料零件包含更多的水平分支443并且可以具有紧紧地装配到金属传感器外壳465和外导体430的金属外表面中的匹配腔中的凸台。
如在图4中所展示的,除了绝缘支撑件462之外还放置的支撑结构470(例如,由塑料或其他非导电材料制成)从所有侧固持电流拾波器441的导电水平分支443。支撑结构470包括用于支撑水平分支的第一部分的第一部分以及用于支撑水平分支的第二部分的第二部分,并且由间隙分隔开。相比之下,如在图2B中所展示的,塑料零件262(类似于支撑件462)不从下面支撑水平分支。在图2B中,在电流传感器240的电流拾波器241的水平分支243与外导体230之间存在架空空间。当电流拾波器441的竖直分支442被放置成与水平分支443接触时,额外支撑防止水平导体443弯曲。另外,支撑结构470可以防止竖直分支442被过度紧固到水平分支443。耦合到电流拾波器441的磁通量的变化受电流拾波器441的半环路几何结构的形状和面积的变化影响。因此,使电流拾波器441的形状稳定减少了电流传感器440的电输出的变化并且提高了电流测量的精度。
图5展示了附接到包括内导体520和外导体530的RF管510的V-I传感器500。电流传感器540被示出为具有设置在传感器外壳565上方的一对端子544以及设置在廊道560内部的单匝半环路电流拾波器541。电流拾波器541包括附接到水平分支543的两个竖直分支542。类似于图4中的V-I传感器400,塑料零件570已用于在电流传感器540的组装期间防止竖直分支542的过度紧固以及电流拾波器541的水平分支543的弯曲。
V-I传感器500包括减小机加工复杂性的改进,从而相对于V-I传感器400(在图4中所示出的)减少制造成本。通过使用电压传感器550(其中,使RF管510的内导体520居中的绝缘体件555还用于支撑电压传感器550的导电电压拾波器环),V-I传感器500的设计相比于V-I传感器400的设计得到改进,如在图5中所展示的。出于多个目的使用相同塑料零件555允许消除例如在V-I传感器400中使用的一些塑料零件。这降低了V-I传感器500的机加工复杂性和制造成本。
图5中的电压传感器550的导电电压拾波器环已通过将电压拾波器环的直径设计成小于外导体530的直径来被定位成更靠近内导体520。电压拾波器环的较小直径提高了电压传感器550的输出信号强度,如上文参考图1B和图1C所解释的。
尽管单匝半环路电流拾波器已用于上文参考图1至图5所描述的V-I传感器中,但应理解,多个匝也可用于电流传感器的电流拾波器的设计中。例如,图1至图5中所展示的V-I传感器中的电流拾波器可以在连接到电流传感器的两个端子的电流拾波器的两个端之间包括多个矩形匝。如上文所提到的,多匝电流拾波器也可以通过将导电线缠绕在芯轴(例如,环状芯轴)上来构造。导电线可以以线圈的形式缠绕在甜甜圈状绝缘材料的圆轴上,该甜甜圈状绝缘材料围绕竖直穿过环形线圈的中心孔的RF管的内导体对称地盘绕。下文参考图6A至图7E描述了使用环状芯轴的多匝电流拾波器。
应理解,芯轴可能不会完全地符合环形线圈的数学定义,但其通常形似具有用于附接线圈、形成与端子的连接等的结构的环形线圈。
图6A展示了V-I传感器600的立体图,图6B展示了剖视图,并且图6C展示了沿着V-I传感器600的轴线A-A’的截面视图。
图6A示出了V-I传感器600的导电传感器外壳665。在图6A中不可见的电流和电压拾波器被装纳在由导电传感器外壳665封围的空间内。在图6A至图6C中,内导体将穿过中心孔621。出于清晰目的从图6A至图6C中的V-I传感器600的各种视图省略了内导体自身。RF管的外导体将连接到导电传感器外壳665的顶部和底部处的凸缘。两个颈部区631被示出为与图6A中的传感器外壳665的顶部和底部部分处的凸缘相邻。颈部区631的形状和尺寸可以被设计成类似于RF管的外导体的形状和尺寸。因此,传感器外壳665可以被解释为外导体的延伸部,该延伸部从颈部区631扩展到包括具有较大直径的导电壁的顶部盖件663和底部盖件666的较宽中心部分中。传感器外壳665和外导体形成同轴结构的外屏蔽件并且可以连接到接地。如下文参考图6B和图6C所描述的,传感器外壳665的较宽中心部分容纳穿过中心孔621的内导体周围的环形电介质腔661。
图6A中的立体图还示出了组装在传感器外壳665外部的三个同轴电缆连接器。三个同轴电缆连接器是V-I传感器600的三个端子。同轴连接器645的外侧对连接到电流传感器641的端子,这些端子连接到电流拾波器,并且中间的同轴连接器连接到中心端子654,该中心端子连接到电压传感器的电压拾波器651。电流和电压拾波器位于顶部盖件663与底部盖件666之间。
图6B中的剖视图以及图6C中的沿着切割平面A-A’(在图6A中所示出的)的截面视图展示了V-I传感器600的内部结构。出于清晰目的省略了RF管的内导体。如在图6B和图6C中的颈部区631内部所示出的中心孔621的内表面638形成可以被解释为RF管的主同轴结构内部的侧壁。颈部区631扩展到传感器外壳665的较宽直径顶部盖件663和较宽直径底部盖件666中,从而将电介质腔661封围在围绕V-I传感器组件600的中心孔621的区段中。内壁638继续作为顶部盖件663和底部盖件666的表面627直到该内壁被狭缝671打断为止。如在图6C中所展示的,电介质腔661沿着从中心孔621的中心的径向方向在第一主要外表面627与第二主要外表面628之间。第一主要外表面627包括与中心孔621物理接触的连续圆环状区。竖直地,环状第一主要外表面627被狭缝区671分隔成两个部分。第二主要外表面628位于大于第一主要外表面627的半径的径向距离处。
腔661包括狭缝区671。如在图6B和图6C中所展示的,狭缝区671包括中心孔621的圆柱形壁的内表面627中的物理断裂,该物理断裂形成传感器外壳665的顶部盖件663与底部盖件666之间的接合部中的间隙。表面627是中心孔621的圆柱形壁的表面638的延伸部。当从中心孔621的中心径向地观看时,狭缝区671具有与中心孔621物理接触的绝缘环的外观。进一步径向向外,狭缝区671呈现绕过环状导电电压拾波器651的曲折形状,如由图6B和图6C中的曲折虚线所指示的。腔661的径向地设置在与中心孔621的物理接触部跟环状电流传感器641的内半径之间的连续绝缘环形区被称为V-I传感器600的狭缝区671。如在图6B和图6C中所展示的,狭缝区671形成插置在导电电压拾波器651和电流传感器641与传感器外壳665之间的电介质势垒。在径向地位于第一主要外表面627与第二主要外表面628之间的区中,腔661(包括绝缘狭缝区671)使顶部盖件663与底部盖件666电隔离。对于小于第一主要外表面627的径向距离,顶部盖件663通过中心孔621与底部盖件666电隔离。顶部盖件663通过径向地在电介质腔661的第二主要外表面628之外的耦合区629电耦合到底部盖件666。
利用这个设计,在接地传感器外壳665中流动的RF电流中几乎都不可以在由环状电流传感器641环绕的区中流动。电流将沿着内壁638在颈部区631中竖直地流动并且然后由于由电介质狭缝671形成的内表面638中的物理断裂而围绕电流传感器641绕行。由于狭缝671,电流将围绕环状电流传感器641向外径向地转向,从而沿着环形电介质腔661的导电壁横向地流动,径向地返回并且然后竖直地沿着颈部区631的内壁638继续。
参考图6B和图6C,电流传感器641是环形电介质腔661内部的在该腔的外部分(即,距中心孔621较远的区)中的环状结构。电流传感器641包括导电线圈647和环状芯轴642。线圈647包括缠绕在环状芯轴642的中心圆轴上的连续导电线的多个匝。线圈647的两个相对端可以附接到同轴连接器645,如在图6B中所展示的。线圈647的导电线可以是裸导体、漆包导体或涂覆有绝缘体的导体。下文参考图6D进一步详细地描述环状芯轴642。电流传感器641与导电传感器外壳665电绝缘。
如在图6B和图6C中所展示的,电压传感器的导电电压拾波器651形似导电环。电压拾波器651被示出为设置在环形电介质腔661的区中在环状电流传感器641与中心孔621之间。固体电介质材料(例如,塑料)可以用于用来为电压拾波器651提供机械支撑的零件。腔661的狭缝区671和电介质支撑零件使导电电压拾波器651与导电传感器外壳665电隔离。在图6B中展示了电压拾波器651与中心端子654之间的连接。(同轴连接器645和中心端子654不包括在切割平面A-A’中;因此在图6C中所示出的截面视图中不可见。)
电压拾波器651的功能是通过感测RF管的内导体与外导体之间的径向电场来感测中心孔的中心处的内导体的RF电压。通常,RF管的外导体和导电传感器外壳665是接地的。相应地,如果电压拾波器651的导电环由于例如放置在RF管的内导体与电压拾波器651之间的环形电介质区中的接地金属环而与该内导体屏蔽,则电压拾波器651可能无法正常发挥作用。在过度屏蔽的情况下,电压传感器输出将太弱而不可用。如在图6B和图6C中所展示的,电压拾波器651在环形电介质腔661的狭缝区671上面和下面部分地竖直延伸到腔661中。腔在上面由金属顶部盖件663的凹槽形成并且在下面由金属底部盖件666中的相应凹槽形成。虽然这些凹槽的接地导电内壁插置在电压拾波器651的导电环与用于内导体的中心孔621的中心轴线之间,但接地金属不会完全屏蔽电压拾波器651。存在图6B和图6C中所展示的将顶部盖件663与底部盖件666分隔开的电介质狭缝671。狭缝671被视为在镜像平面M(由图6C中的虚线指示)中形似圆柱形盘的电介质区,因为在中心孔621中不存在内导体。当内导体将就位时,电介质狭缝671将形似围绕内导体的环形盘。电介质狭缝671中的未屏蔽径向电场将通过内导体与环状电压拾波器651的中心部分之间的电容耦合来感测。电压拾波器651现在可以提供与内导体在该位置处的RF电压成比例的可用电信号。
电流拾波器线圈647的功能是通过感测在平行于环状芯轴642的中心圆轴的方向上穿过线圈的循环磁场来感测中心孔的中心处的内导体中的RF电流。按照法拉第定律,在线圈中诱发振荡电信号,该振荡电信号与封围在线圈647的导电线的匝内的环状芯轴642中的振荡磁通量成比例。根据安培定律,穿过电流传感器641的磁场的强度与横穿封围在环状电流传感器的中心孔(类比于甜甜圈的甜甜圈状孔)中的中心孔内的平面区域的总电流成比例。如对任何同轴结构适用,在RF管的任何位置处穿过内导体的电流完全等于外导体中的相反电流。V-I传感器600的传感器外壳665可以被视为RF管的等效外导体,其中,内导体穿过中心孔621。因此,电流传感器641可能不会正常发挥作用,除非传感器外壳665中的RF电流被约束为在由环状芯轴642的外圆周封围的圆盘状区外部流动。例如,如果顶部盖件663与底部盖件666在距中心轴线的短于环状芯轴642的内半径的径向距离处形成电接触,则导电外壳中的电流的一部分可以流动穿过该触点。这个电流与内导体中的电流相反将减小由电流传感器641封围的总电流的量值,因此减小了穿过线圈647的磁通量。如果穿过由环状电流传感器641封围的区域内部的触点的总电流太低,则磁场可能不足以在电流拾波器线圈647中诱发可用电信号。此外,电介质狭缝区671在小于环状电流传感器641的内半径的径向距离处防止顶部盖件663与底部盖件666之间的电接触,如在图6B和图6C中所展示的。
一直到环状电流传感器641的外圆将顶部盖件663与底部盖件666分隔开的不中断、连续电介质区是通过将电压拾波器651设计成小于腔661的竖直高度来实现的。电压拾波器651的导电环可以通过包括绝缘材料的支撑零件大致对称地定位在顶部盖件663与底部盖件666之间。因此,在所有方向上,在紧邻导电电压拾波器651的地方都是绝缘材料。如上文所描述的,这种绝缘材料在腔661的狭缝区671内。电压拾波器651的导电环上面的电介质的形状由图6B中的曲折虚线描绘。可以注意,如在图6C中由虚线所展示的,曲折电介质狭缝区671存在于电压拾波器651上面和下面两者,因为电压拾波器651的导电环必须与接地传感器外壳665电隔离。
通常通过接地导电零件屏蔽电流传感器的电流拾波器以使其免受RF电场的影响。屏蔽电流拾波器在比如靠近高阻抗负载处电场强而磁场弱的应用中是有利的。在V-I传感器600中,电流拾波器线圈647位于传感器外壳665内部的电介质腔661中。在从环状电流传感器641径向向内地移动到内导体时遇到的导电零件首先包括导电电压拾波器651,其次包括导电传感器外壳665的内壁的一部分,如在图6B和图6C中所示并且还在上文所描述的。这些插置的导电零件可以帮助屏蔽电流传感器641以使其免受径向电场的影响。从内导体发出的一些电场线可以端接在导电传感器外壳665的接地内壁上。另外,导电电压拾波器651通过部分地屏蔽线圈647以使其免受RF电场的影响来用于双重目的。由于电压拾波器651不短路到接地,因此由导电环提供的电场的减小取决于在中心端子654处的对地阻抗的量值。
V-I传感器600的电流传感器641和电压拾波器651两者的结构相对于穿过中心的共享轴线并且在法向于中心孔621的平面的方向上是轴对称的。此外,电流传感器641和电压拾波器651两者共享竖直于纵向轴线的同一镜像平面(在图6C中由虚线M指示)。V-I传感器600的结构的对称性帮助减小/消除电压与电流之间的相位角(Φ)的任何测量差异。此外,归因于轴对称性的一阶抵消效应使V-I传感器600的传感器输出信号对组装期间的机加工公差和定位误差不太敏感。
图6D展示了可以用作图6B中所示出的环状电流传感器641的芯轴642的示例环状结构。环状芯轴642在其外表面上具有连续凹槽,在该连续凹槽中可以放置导电线以形成线圈647(在图6B中示出)。线圈647的两个相对端可以穿过在图6D中所展示的两个开口643,并且随后附接到成对同轴连接器645(参见图6B)。电压拾波器651(参见图6B)可以由穿过环状芯轴642中的孔以及要附接到中心端子654的开口653的导电元件来连接。
环状芯轴642包括塑料和其他绝缘材料并且可以使用例如3D打印技术来制作。在线圈647已被安装在带凹槽的环状芯轴642上之后,该结构可以使用例如嵌入树脂技术可选地包裹在树脂涂层中。树脂囊封牢固地固定卷绕的多匝电流拾波器647。
上文所描述的包括电流传感器641和组合电场屏蔽件和电压拾波器651的V-I传感器600的集成组件提供紧凑V-I传感器设计的优点。
图7A至7E展示了在设计上类似于V-I传感器600的设计的电流传感器组件701。与V-I传感器600不同,电流传感器组件701不感测电压。此外,用于电流传感器组件701的环状芯轴742的设计与带凹槽的环状芯轴642不同,如下文进一步所描述的。
图7A展示了使用环状电流传感器741的电流传感器组件701的立体图,该环状电流传感器放置在传感器外壳765的顶部盖件782与底部盖件784之间的电介质腔中。下文参考图7C至图7E进一步描述电流传感器741。顶部盖件782和底部盖件784可以包括金属(例如,铜或铝)。电流传感器组件701具有中心孔710。电流传感器组件701可以使用的同轴传输线(例如,RF管)的内导体将穿过中心孔710。因此,电流传感器组件701将围绕同轴传输线的纵向轴线对称地定位。
图7B展示了电流传感器组件701的分解视图。在图7B中,电流传感器741已从传感器外壳765被移除以示出电介质腔720和底部盖件784的下半部的结构。(下文参考图7C进一步描述了该结构的上半部。)电介质腔720可以被分割成外电介质区723以及被称为曲折电介质狭缝725的内电介质区。外电介质区是在底部盖件784的底板中的最外凹槽上面的区。在这个最外凹槽的外圆外部,金属顶部盖件782和金属底部盖件784可以被物理地和电气地连接在一起,但在最外凹槽的外圆内部,不可以在顶部盖件782与底部盖件784之间形成任何电接触。
曲折电介质狭缝725包括形似从底部盖件784的底板突出的环的导电脊750的两侧上的两个凹槽上方的电介质区。包括导电脊750的电介质腔720的导电底面将通过不间断的连续电介质区与电介质腔720的相应导电顶板电气地和物理地分隔开。相应地,导电脊750的顶部可以突出到顶部金属盖件782中的相应凹槽中,但不可以与顶板进行接触。因此,曲折电介质狭缝725的组合顶部部分和底部部分将是绕行并且在导电脊750上方的曲折状电介质区,如在图7B中由曲折虚线所指示的。
图7C展示了RF***700的一部分,该RF***包括穿过电流传感器组件701的中心孔710的RF管的内导体711并且围绕内导体711对称地定位。接地外导体将从上面物理地和电气地附接到顶部盖件782并且从下面物理地和电气地附接到底部盖件784,从而使传感器外壳765接地。类似于V-I传感器600的传感器外壳665,该传感器外壳将充当用于穿过中心孔710的内导体711的部分的接地外导体。
在图7C中,电流传感器组件701由包括电流传感器741的分解视图的剖视图展示。电流传感器741包括环状芯轴742以及导电电流拾波器线圈747。环状芯轴742包括具有绕组通道的固体电介质材料。可以通过环状芯轴742的表面上的各种位置处的进入孔749进入绕组通道。具有两个孔的附接件743已被放置在进入孔749之一上方。线圈747的导电线的两个相对端被示出为穿过附接件743中的孔向上突出。环状芯轴742的一部分已被切掉以示出隧穿通过环状芯轴742的固体电介质材料中的绕组通道的线圈747的导电线。线圈747镶嵌在芯轴742内部。芯轴742的设计相对于芯轴642的带凹槽设计提供更大的机械支撑,从而消除了前文参考图6D所描述的树脂囊封步骤。
在图7C中,环状芯轴742已被放置在外电介质区723以及底部盖件784的底板上的相应凹槽(参见图7B)中。电流传感器组件701的分解视图展示了环状芯轴742的上半部可以在电介质腔720的外电介质区723中装配到顶部盖件782中的凹槽中。导电脊750可以同样地延伸到顶部盖件782中的相邻凹槽中、电介质腔720的曲折电介质狭缝725中。导电脊750是插置在环状电流传感器741与内导体711之间的连续环可以有效地屏蔽电流传感器741以使其免受RF电场的影响。
如上文所解释的,在接地顶部盖件782与接地底部盖件784之间、在由环状电流传感器741包围的区中的电接触将减弱穿过电流拾波器线圈747的磁场的强度并且可能过度地减弱电流信号的输出信号。因此,导电脊750的顶部通过曲折电介质狭缝725与顶部盖件782电隔离。在图7C中由曲折虚线指示电介质区的曲折形状。
在图7D中所展示的RF***700的一部分的剖视图示出了电流传感器组件701,其中,顶部盖件782装配在底部盖件784上方。已在图7D中由曲折虚线指示电介质狭缝725的曲折形状。
图7E展示了电流传感器组件701以及RF管的穿过电流传感器组件的中心孔710的内导体711的底部部分的平面图。包括环状芯轴742和电流拾波器线圈747的电流传感器741被示出为在底部盖件784上方。线圈747的两个相对端穿过附接件743中的孔。附接件743可以被放置在类似于进入孔749的开口上方。环状导电脊750被视为插置在内导体711与电流传感器741之间。电介质狭缝725被视为在导电脊750的任一侧上。
比如芯轴642和742等芯轴的使用准许电流传感器设计将具有许多匝的线圈作为电流拾波器。较大数量的匝增加相应电流传感器的敏感性。增加的敏感性允许每个匝具有较小截面,并且因此整个电流传感器的大小可以减小,从而允许将电流传感器放置在其他不可进入区域中。
尽管在本披露内容中所描述的芯轴形似环形线圈,但应理解,可以使用其他形状,例如,正方形或具有任何数量的边的正多边形。此外,可以在不使用芯轴的情况下实施各种形状的拾波器。
在本披露内容中所描述的实施例的各个方面可以应用于使用各种其他制造技术来制作V-I传感器。例如,电流拾波器可以比如用印刷电路板(PCB)技术在通过通孔连接的电介质和导电材料层中制造。
上文所描述的环状电流传感器的实施例提供以下优点:环面的轴对称性、对多匝电流拾波器的噪声的较高抗扰性以及利用紧凑结构获得的易用性。
在本披露内容中所描述的V-I传感器和测量方法提供了可以以低制造成本实现极高精度测量的实施例。可以通过包括旨在降低V-I测量对机加工误差和组装误差的敏感性的设计特征来以低制造成本实现高精度。电流传感器的精度取决于引起确定电流拾波器的几何结构(例如,由矩形半环路封围的区域)的尺寸的变化的机加工公差。测量电流的精度还可能受限于组装公差,例如,可以放置电流拾波器的精度,包括距纵向轴线的径向距离以及半环路的平面与纵向轴线之间的角度。电压测量的精度同样地取决于机加工公差(例如,电压拾波器环的直径和圆周的准确性)和组装公差(例如,环的平面与纵向轴线之间的角度)。发明人已对V-I传感器信号对电流和电压拾波器的尺寸和放置变化的敏感性执行详细计算机模拟,并且发现对于标准机器可以实现1%的高精度并且可以实现0.005英寸的放置公差值。计算机模拟是在等离子体加工中使用的宽范围的RF功率、RF频率和负载阻抗内对麦克斯韦方程使用校准过的3D有限元求解器进行的。
这里总结了本申请的示例实施例。从说明书全文以及本文提交的权利要求中也可以理解其他实施例。
示例1.一种射频(RF)***包括:射频(RF)电源,该射频(RF)电源被配置成用RF信号给负载供电;RF管,该RF管包括内导体和连接到接地的外导体,这些导体将该RF电源耦合到该负载;以及电流传感器,该电流传感器与携载该RF信号的该RF管的中心轴线对齐。该电流传感器被配置成监测该RF信号的电流,并且包括设置在该RF管附近的导电半环路,其中,该导电半环路包括第一端和相对第二端。该电流传感器被配置成在该第一端与该第二端之间输出输出信号。传感器外壳设置在该RF管周围,其中,该传感器外壳包括连接到该RF管的外导体的导电材料。廊道设置在该传感器外壳内并且设置在该RF管的外导体外部,其中,该电流传感器设置在该廊道中。该RF管的外导体中的狭缝使该电流传感器暴露于因该RF管的内导体中的该RF信号的电流而产生的磁场。
示例2.如示例1之一所述的***,其中该狭缝具有沿着该外导体的内圆周的长度以及平行于该RF管的中心轴线的宽度,并且其中,该宽度在0.5mm与5mm之间。
示例3.如示例1或2之一所述的***,其中,沿着正交于该RF管的中心轴线的方向,该导电半环路包括:第一镜像对称平面,该第一镜像对称平面包括该RF管的中心轴线;以及第二镜像对称平面,该第二镜像对称平面正交于该第一镜像对称平面,并且其中,该导电半环路的第一镜像对称平面与该RF管的中心轴线是共面的。
示例4.如示例1至3之一所述的***,其中,该导电半环路包括:平行于该RF管的轴线对齐的分支;耦合在该第一分支的第一端处的第二分支,该第二分支正交于该第一分支;以及耦合在该第一分支的第二端处的第三分支,该第三分支正交于该第一分支并且平行于该第二。
示例5.如示例1至4之一所述的***,进一步包括:绝缘支撑结构,这些绝缘支撑结构用于支撑该导电半环路的各种分支。
示例6.如示例1至5之一所述的***,其中,该RF管包括:内导体,该内导体电耦合到该RF电源和该负载;以及外导体,该外导体电耦合到基准电位节点。
示例7.如示例1至6之一所述的***,进一步包括:第一电压传感器,该第一电压传感器用于监测该RF信号的电压,该第一电压传感器轴对称地设置在该RF管周围。
示例8.如示例1至7之一所述的***,其中,该第一电压传感器包括:导电环,该导电环沿着该RF管的外导体的内表面设置;以及绝缘环,该绝缘环设置在该导电环与该RF管外导体之间,其中,该绝缘环使该导电环与该RF管电绝缘。
示例9.如示例1至8之一所述的***,进一步包括:第二电压传感器,该第二电压传感器对称地设置在该RF管周围,其中,该第一电压传感器位于该RF管的轴线上的第一位置处,该第二电压传感器位于该RF管的轴线上的第二位置处,并且其中,该第一位置与该电流传感器的镜像对称平面之间的第一距离跟该第二位置与该镜像对称平面之间的第二距离大约相同。
示例10.一种射频(RF)***,包括:射频(RF)电源,该射频电源被配置成用RF信号给负载供电;RF管,该RF管包括内导体和连接到基准电位节点的外导体,这些导体将该RF电源耦合到该负载;以及第一电压传感器,该第一电压传感器轴对称地设置在携载该RF信号的该RF管的轴线周围,该第一电压传感器被配置成监测该RF信号的电压。
示例11.如示例10所述的***,进一步包括:第二电压传感器,该第二电压传感器对称地设置在该RF管周围,其中,该第一电压传感器位于该RF管的轴线上的第一位置处,该第二电压传感器位于该RF管的轴线上的第二位置处。
示例12.如示例10或11之一所述的***,进一步包括:电流传感器,该电流传感器设置在该RF管周围的第三位置处,该电流传感器与携载该RF信号的RF管的轴线对齐,该电流传感器被配置成监测该RF信号的电流。
示例13.如示例10至12之一所述的***,其中,该第三位置设置在该第一位置与该第二位置之间。
示例14.如示例10至13之一所述的***,其中,该电流传感器包括导电半环路,该导电半环路包括第一端和相对第二端,其中,沿着正交于该RF管的轴线的方向,该导电半环路包括:第一镜像对称平面,该第一镜像对称平面包括该RF管的轴线;以及第二镜像对称平面,该第二镜像对称平面正交于该第一镜像对称平面,并且其中,该导电半环路的第一镜像对称平面与该RF管的轴线是共面的。
示例15.如示例10至14之一所述的***,其中,该第一位置与该镜像对称平面之间的第一距离跟该第二位置与该镜像对称平面之间的第二距离大约相同。
示例16.一种测量射频(RF)信号的方法,该方法包括:使电流传感器与携载RF信号的RF管的轴线对齐,该电流传感器设置在廊道中,该廊道设置在传感器外壳内并且设置在该RF管的外导体外部,该传感器外壳设置在该RF管周围,该电流传感器包括导电半环路,该导电半环路包括第一端和相对第二端;以及基于测量该第一端与该第二端之间的输出信号来确定该RF信号的电流。
示例17.如示例16所述的方法,其中,该RF管包括电耦合到RF电源和负载的内导体,以及外导体,其中,该方法进一步包括使该外导体接地。
示例18.如示例16或17之一所述的方法,进一步包括:使第一电压传感器轴对称地设置在该RF管周围;以及基于测量该第一电压传感器的端子处的电信号来确定该RF信号的电压。
示例19.如示例16至18之一所述的方法,进一步包括:使第二电压传感器对称地设置在该RF管周围,其中,该第一电压传感器位于该RF管的轴线上的第一位置处,该第二电压传感器位于该RF管的轴线上的第二位置处;以及测量该第二电压传感器的端子处的另一电信号,其中,该RF信号的电压是基于该电信号和该另一电信号来确定的。
示例20.如示例16至19之一所述的方法,其中,该导电半环路包括:第一镜像对称平面,该第一镜像对称平面包括该RF管的轴线;以及第二镜像对称平面,该第二镜像对称平面正交于该第一镜像对称平面,并且其中,该导电半环路的第一镜像对称平面与该RF管的轴线是共面的。
尽管已参考说明性实施例描述了本发明,但此描述并非旨在以限制性意义来解释。参考描述,说明性实施例以及本发明的其他实施例的各种修改和组合对于本领域的技术人员将是显而易见的。因此所附权利要求旨在涵盖任何这样的修改或实施例。

Claims (20)

1.一种射频(RF)***,包括:
射频(RF)电源,该射频电源被配置成用RF信号给负载供电;
RF管,该RF管包括内导体和连接到接地的外导体,这些导体将该RF电源耦合到该负载;
电流传感器,该电流传感器与携载该RF信号的该RF管的中心轴线对齐,该电流传感器被配置成监测该RF信号的电流,该电流传感器包括设置在该RF管附近的导电半环路,该导电半环路包括第一端和相对第二端,该电流传感器被配置成在该第一端与该第二端之间输出输出信号;
传感器外壳,该传感器外壳设置在该RF管周围,其中,该传感器外壳包括连接到该RF管的外导体的导电材料;
廊道,该廊道设置在该传感器外壳内并且设置在该RF管的外导体外部,其中,该电流传感器设置在该廊道中;以及
在该RF管的外导体中的狭缝,该狭缝用于使该电流传感器暴露于因该RF管的内导体中的该RF信号的电流而产生的磁场。
2.如权利要求2所述的***,其中,该狭缝具有沿着该外导体的内圆周的长度以及平行于该RF管的中心轴线的宽度,并且其中,该宽度在0.5mm与5mm之间。
3.如权利要求1所述的***,其中,沿着正交于该RF管的中心轴线的方向,该导电半环路包括:第一镜像对称平面,该第一镜像对称平面包括该RF管的中心轴线;以及第二镜像对称平面,该第二镜像对称平面正交于该第一镜像对称平面,并且其中,该导电半环路的第一镜像对称平面与该RF管的中心轴线是共面的。
4.如权利要求1所述的***,其中,该导电半环路包括:
平行于该RF管的轴线对齐的分支;
耦合在该第一分支的第一端处的第二分支,该第二分支正交于该第一分支;以及
耦合在该第一分支的第二端处的第三分支,该第三分支正交于该第一分支并且平行于该第二。
5.如权利要求4所述的***,进一步包括:
绝缘支撑结构,这些绝缘支撑结构用于支撑该导电半环路的各种分支。
6.如权利要求1所述的***,其中,该RF管包括:
内导体,该内导体电耦合到该RF电源和该负载;以及
外导体,该外导体电耦合到基准电位节点。
7.如权利要求1所述的***,进一步包括:
第一电压传感器,该第一电压传感器用于监测该RF信号的电压,该电压传感器轴对称地设置在该RF管周围。
8.如权利要求7所述的***,其中,该第一电压传感器包括:
导电环,该导电环沿着该RF管的外导体的内表面设置;以及
绝缘环,该绝缘环设置在该导电环与该RF管外导体之间,其中,该绝缘环使该导电环与该RF管电绝缘。
9.如权利要求8所述的***,进一步包括:
第二电压传感器,该第二电压传感器对称地设置在该RF管周围,其中,该第一电压传感器位于该RF管的轴线上的第一位置处,该第二电压传感器位于该RF管的轴线上的第二位置处,并且其中,该第一位置与该电流传感器的镜像对称平面之间的第一距离跟该第二位置与该镜像对称平面之间的第二距离大约相同。
10.一种射频(RF)***,包括:
射频(RF)电源,该射频电源被配置成用RF信号给负载供电;
RF管,该RF管包括内导体和连接到基准电位节点的外导体,这些导体将该RF电源耦合到该负载;以及
第一电压传感器,该第一电压传感器轴对称地设置在携载该RF信号的该RF管的轴线周围,该第一电压传感器被配置成监测该RF信号的电压。
11.如权利要求10所述的***,进一步包括:
第二电压传感器,该第二电压传感器对称地设置在该RF管周围,其中,该第一电压传感器位于该RF管的轴线上的第一位置处,该第二电压传感器位于该RF管的轴线上的第二位置处。
12.如权利要求11所述的***,进一步包括:
电流传感器,该电流传感器设置在该RF管周围的第三位置处,该电流传感器与携载该RF信号的该RF管的轴线对齐,该电流传感器被配置成监测该RF信号的电流。
13.如权利要求12所述的***,其中,该第三位置设置在该第一位置与该第二位置之间。
14.如权利要求12所述的***,其中,该电流传感器包括导电半环路,该导电半环路包括第一端和相对第二端,其中,沿着正交于该RF管的轴线的方向,该导电半环路包括:第一镜像对称平面,该第一镜像对称平面包括该RF管的轴线;以及第二镜像对称平面,该第二镜像对称平面正交于该第一镜像对称平面,并且其中,该导电半环路的第一镜像对称平面与该RF管的轴线是共面的。
15.如权利要求14所述的***,其中,该第一位置与该镜像对称平面之间的第一距离跟该第二位置与该镜像对称平面之间的第二距离大约相同。
16.一种测量射频(RF)信号的方法,该方法包括:
使电流传感器与携载RF信号的RF管的轴线对齐,该电流传感器设置在廊道中,该廊道设置在传感器外壳内并且设置在该RF管的外导体外部,该传感器外壳设置在该RF管周围,该电流传感器包括导电半环路,该导电半环路包括第一端和相对第二端;以及
基于测量该第一端与该第二端之间的输出信号来确定该RF信号的电流。
17.如权利要求16所述的方法,其中,该RF管包括电耦合到RF电源和负载的内导体,以及外导体,其中,该方法进一步包括使该外导体接地。
18.如权利要求16所述的方法,进一步包括:
使第一电压传感器轴对称地设置在该RF管周围;以及
基于测量该第一电压传感器的端子处的电信号来确定该RF信号的电压。
19.如权利要求18所述的方法,进一步包括:
使第二电压传感器对称地设置在该RF管周围,其中,该第一电压传感器位于该RF管的轴线上的第一位置处,该第二电压传感器位于该RF管的轴线上的第二位置处;以及
测量该第二电压传感器的端子处的另一电信号,其中,该RF信号的电压是基于该电信号和该另一电信号来确定的。
20.如权利要求19所述的方法,其中,该导电半环路包括:第一镜像对称平面,该第一镜像对称平面包括该RF管的轴线;以及第二镜像对称平面,该第二镜像对称平面正交于该第一镜像对称平面,并且其中,该导电半环路的第一镜像对称平面与该RF管的轴线是共面的。
CN202180043083.0A 2020-06-26 2021-06-17 Rf电压与电流(v-i)传感器和测量方法 Pending CN115715421A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/913,526 US11600474B2 (en) 2020-06-26 2020-06-26 RF voltage and current (V-I) sensors and measurement methods
US16/913,526 2020-06-26
PCT/US2021/037752 WO2021262513A1 (en) 2020-06-26 2021-06-17 Rf voltage and current (v-i) sensors and measurement methods

Publications (1)

Publication Number Publication Date
CN115715421A true CN115715421A (zh) 2023-02-24

Family

ID=79031339

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180043083.0A Pending CN115715421A (zh) 2020-06-26 2021-06-17 Rf电压与电流(v-i)传感器和测量方法

Country Status (6)

Country Link
US (1) US11600474B2 (zh)
JP (1) JP2023534624A (zh)
KR (1) KR20230027087A (zh)
CN (1) CN115715421A (zh)
TW (1) TW202215908A (zh)
WO (1) WO2021262513A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11817296B2 (en) * 2020-06-26 2023-11-14 Tokyo Electron Limited RF voltage and current (V-I) sensors and measurement methods
US20230132660A1 (en) * 2021-10-29 2023-05-04 Tokyo Electron Limited Radio frequency (rf) system with embedded rf signal pickups
US20230358790A1 (en) * 2022-05-05 2023-11-09 Applied Materials, Inc. Rf measurement from a transmission line sensor
TWI806771B (zh) * 2022-09-16 2023-06-21 英業達股份有限公司 抗靜電型電子裝置
CN115825529B (zh) * 2022-12-25 2023-11-17 北京屹唐半导体科技股份有限公司 射频探头、射频匹配器、射频电源及射频测量仪

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5834931A (en) 1996-10-31 1998-11-10 Sematech, Inc. RF current sensor
US6449568B1 (en) 1998-02-27 2002-09-10 Eni Technology, Inc. Voltage-current sensor with high matching directivity
WO2003058699A1 (en) 2001-12-31 2003-07-17 Tokyo Electron Limited Method of fault detection for material process system
AU2003217595A1 (en) 2002-02-28 2003-09-16 Tokyo Electron Limited Integrated vi probe
US7102345B2 (en) 2002-02-28 2006-09-05 Tokyo Electron Limited Portable VI probe
TWI328164B (en) 2002-05-29 2010-08-01 Tokyo Electron Ltd Method and apparatus for monitoring tool performance
US7084369B2 (en) 2002-08-20 2006-08-01 Tokyo Electron Limited Harmonic multiplexer
US7403764B2 (en) * 2004-11-30 2008-07-22 Turner Terry R RF power delivery diagnostic system
WO2006135515A1 (en) * 2005-06-10 2006-12-21 Bird Technologies Group Inc. System and method for analyzing power flow in semiconductor plasma generation systems
KR20100067413A (ko) 2008-12-11 2010-06-21 삼성전자주식회사 비접촉식 플라즈마 모니터링 장치, 플라즈마 처리 장치 및 비접촉식 플라즈마 모니터링 방법
US8847711B2 (en) 2012-08-07 2014-09-30 Harris Corporation RF coaxial transmission line having a two-piece rigid outer conductor for a wellbore and related methods
US10621265B2 (en) * 2013-05-09 2020-04-14 Lam Research Corporation Systems and methods for tuning an impedance matching network in a step-wise fashion
KR101632603B1 (ko) 2014-09-30 2016-06-24 세메스 주식회사 전류 측정 센서 및 플라즈마 기판 처리 장치
US9508529B2 (en) * 2014-10-23 2016-11-29 Lam Research Corporation System, method and apparatus for RF power compensation in a plasma processing system
KR101917523B1 (ko) 2017-06-27 2018-11-09 명지대학교 산학협력단 신호 출력 감도 조절이 가능한 알에프 센서
US11282679B2 (en) * 2019-05-22 2022-03-22 Samsung Electronics Co., Ltd. Plasma control apparatus and plasma processing system including the same
JP7253985B2 (ja) * 2019-06-12 2023-04-07 東京エレクトロン株式会社 マイクロ波供給機構、プラズマ処理装置およびプラズマ処理方法

Also Published As

Publication number Publication date
US20210407775A1 (en) 2021-12-30
TW202215908A (zh) 2022-04-16
US11600474B2 (en) 2023-03-07
JP2023534624A (ja) 2023-08-10
WO2021262513A1 (en) 2021-12-30
KR20230027087A (ko) 2023-02-27

Similar Documents

Publication Publication Date Title
US11600474B2 (en) RF voltage and current (V-I) sensors and measurement methods
US20240038496A1 (en) RF Voltage and Current (V-I) Sensors and Measurement Methods
US5867020A (en) Capacitively coupled RF voltage probe having optimized flux linkage
US5793214A (en) Electromagnetic inductive probe
US5834931A (en) RF current sensor
US5227730A (en) Microwave needle dielectric sensors
US8493053B2 (en) System and device for measuring voltage in a conductor
US8040141B2 (en) Orthogonal radio frequency voltage/current sensor with high dynamic range
US7482814B2 (en) Electric/magnetic field sensor
CN205263204U (zh) 瞬态电场传感器
US20130134964A1 (en) Coil comprising a winding comprising a multi-axial cable
US6239587B1 (en) Probe for monitoring radio frequency voltage and current
KR20230162594A (ko) 전자기장 감지 디바이스
US20070075908A1 (en) Electromagnetic measurement probe and method
US10782320B2 (en) Method and sensor for measuring an alternating current
US20130328564A1 (en) Nmr rf probe coil exhibiting double resonance
US20230132660A1 (en) Radio frequency (rf) system with embedded rf signal pickups
JP2019078563A (ja) 方向性結合器
Lamm Observations of standing waves on an inductive plasma coil modeled as a uniform transmission line
JP3516766B2 (ja) 電磁誘導式プローブ
WO2020131966A1 (en) Shielded-loop-resonator based gradiometer probe
McLean et al. Unintentional radiation from shielded transformers

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination