CN115702474A - High-selectivity doped hard mask film - Google Patents

High-selectivity doped hard mask film Download PDF

Info

Publication number
CN115702474A
CN115702474A CN202280005128.XA CN202280005128A CN115702474A CN 115702474 A CN115702474 A CN 115702474A CN 202280005128 A CN202280005128 A CN 202280005128A CN 115702474 A CN115702474 A CN 115702474A
Authority
CN
China
Prior art keywords
layer
molybdenum
substrate
containing layer
group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202280005128.XA
Other languages
Chinese (zh)
Inventor
索纳尔·巴达乌里亚
拉格什·普顿科维拉卡姆
卡普·斯里什·雷迪
塞沙萨耶·瓦拉达拉简
施卢蒂·维维克·托姆贝尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN115702474A publication Critical patent/CN115702474A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

The present disclosure relates to highly selectively doped hard mask films, and methods of providing and using such films. In a specific example, the highly selectively doped hardmask film may be used as a hardmask, an intermediate layer, or a capping layer.

Description

High-selectivity doped hard mask film
Is incorporated by reference
The PCT application form is filed concurrently with this specification as part of this application. Each application identified in the concurrently filed PCT application form to which this application claims rights or priority is hereby incorporated by reference in its entirety and for all purposes.
Technical Field
The present disclosure relates to highly selectively doped hardmask films, and methods of providing and using such films. In a specific example, the highly selectively doped hardmask film may be used as a hardmask, an intermediate layer, or a capping layer.
Background
The background description provided herein is for the purpose of generally presenting the context of the disclosure. Work of the presently named inventors, to the extent it is described in this background section, as well as aspects of the description that may not otherwise qualify as prior art at the time of filing, are neither expressly nor impliedly admitted as prior art against the present disclosure.
Some technology nodes require advanced semiconductor processing to achieve the desired characteristics. In particular, the film may be used as a hard mask for etching high aspect ratio features during substrate processing. In three-dimensional (3D) memory applications, the hard mask film should have high etch selectivity.
Disclosure of Invention
The present disclosure relates to molybdenum (Mo) -containing layers (e.g., mo-doped layers), and methods of providing and using such layers. In certain non-limiting embodiments, the Mo-containing layer is provided by a Plasma Enhanced Chemical Vapor Deposition (PECVD) process. In use, such Mo-containing layers may serve as at least one of a hard mask, an intermediate layer (e.g., an etch stop layer), or a capping layer (e.g., a conformal layer or a step capping layer) within the stack. In particular implementations, the Mo-containing layer allows for improved etch selectivity within the stack, which may further extend the technology scale.
In a first aspect, the present disclosure includes a method of providing a metal-containing layer (e.g., a Mo-containing layer). In some embodiments, the method comprises: providing a substrate on a substrate holder in a process chamber; exposing a top surface portion of the substrate to a metal-containing precursor (e.g., a Mo-containing precursor) and one or more optional deposition precursors; and depositing a metal-containing layer (e.g., a Mo-containing layer) on a top surface portion of the substrate in the presence or absence of a plasma within the process chamber. In some embodiments, the depositing comprises using a plasma. As used herein, the terms "Mo-containing layer", "molybdenum-containing layer" and "metal-containing layer" are used interchangeably.
In some embodiments, the processing chamber comprises a Plasma Enhanced Chemical Vapor Deposition (PECVD) chamber, a Chemical Vapor Deposition (CVD) chamber, a Plasma Enhanced Atomic Layer Deposition (PEALD) chamber, or an Atomic Layer Deposition (ALD) chamber.
In particular embodiments, the exposing comprises delivering the Mo-containing precursor and the one or more deposition precursors sequentially or simultaneously. In other embodiments, the exposing further comprises delivering a reactive gas, a reducing agent, or a carrier gas to the process chamber.
In further embodiments, the method comprises (e.g., prior to said depositing): an interfacial layer is provided on a top surface portion of the substrate. In some embodiments, the providing provides for disposing an interfacial layer between the substrate and the Mo-containing layer after the depositing. In other embodiments, the interface layer comprises an adhesion layer, an initiation layer, or a growth layer. In other embodiments, the interfacial layer comprises boron (B), carbon (C), titanium (Ti), tungsten (W), tantalum (Ta), nickel (Ni), molybdenum (Mo), nitrides thereof, silicides thereof, oxynitrides thereof, carbides thereof, or carbonitrides thereof.
In some embodiments, the method further comprises (e.g., prior to said depositing): a top surface portion of the substrate is pretreated. In a particular embodiment, the pre-treatment thereby provides a pre-treated surface disposed between the substrate and the Mo-containing layer after the depositing.
In other embodiments, the substrate further comprises a material layer disposed on a top surface portion of the substrate, wherein the depositing comprises depositing a Mo-containing layer on the top surface portion of the material layer. In some embodiments, the layer of material comprises at least one of an oxide or a nitride (e.g., any of those described herein).
In some embodiments, the depositing includes applying at least one of a Low Frequency (LF) radio frequency component or a High Frequency (HF) radio frequency component.
In a second aspect, the present disclosure includes a method of providing a Mo-containing layer. In some embodiments, the method comprises: providing a substrate in a process chamber; and depositing a Mo-containing layer on a surface portion of the substrate by a Plasma Enhanced Chemical Vapor Deposition (PECVD) process.
In a third aspect, the present disclosure includes a method for processing a substrate. In some embodiments, the method comprises: depositing a Mo-containing layer on a top surface portion of a substrate; forming a defined pattern within the Mo-containing layer; and transferring the defined pattern onto a material layer disposed below the Mo-containing layer.
In some embodiments, the depositing comprises: the top surface portion of the substrate is exposed to a Mo-containing precursor and one or more optional deposition precursors, thereby forming a Mo-containing layer. In other embodiments, the exposing comprises sequentially delivering a Mo-containing precursor and one or more deposition precursors. Optionally, the method may include purging in at least one of: after delivery of the Mo-containing precursor or after delivery of one or more deposition precursors. In still other embodiments, the exposing comprises delivering the Mo-containing precursor and the one or more deposition precursors simultaneously. In some embodiments, the deposition comprises chemical vapor deposition, atomic layer deposition, or plasma-enhanced forms thereof.
In some embodiments, the exposing further comprises delivering a reactive gas, a reducing agent, or an inert gas.
In some embodiments, the forming a defined pattern comprises: depositing a resist layer on a top surface portion of the Mo-containing layer to form a patterned resist mask; and transferring the pattern of the resist mask to a top surface portion of the Mo-containing layer. In a particular embodiment, the transfer thereby forms a hard mask having one or more openings to provide a defined pattern. In other embodiments, the transferring the defined pattern comprises: the material layer is etched through the one or more openings of the hard mask. In still other embodiments, the transferring the defined pattern comprises: the material layer is etched through a defined pattern within the Mo-containing layer.
In further embodiments, the method comprises (e.g., prior to said depositing the Mo-containing layer): an interface layer is provided on a top surface portion of the substrate such that the interface layer is disposed between the substrate and the Mo-containing layer.
In any of the embodiments herein, the interface layer is present on a top surface portion of the substrate. In certain embodiments, the deposition of the Mo-containing layer provides a stack of layers in which an interfacial layer is disposed between the substrate and the Mo-containing layer. In some embodiments, the interface layer comprises an adhesion layer, an initiation layer, or a growth layer. In other embodiments, the interfacial layer comprises boron (B), carbon (C), titanium (Ti), tungsten (W), tantalum (Ta), nickel (Ni), molybdenum (Mo), nitrides thereof, silicides thereof, oxynitrides thereof, carbides thereof, or carbonitrides thereof.
In some embodiments, the method further comprises (e.g., prior to said transferring the defined pattern to the layer of material): the interfacial layer is etched through a defined pattern within the Mo-containing layer.
In other embodiments, the method further comprises (e.g., prior to said depositing the Mo-containing layer): a top surface portion of the substrate is pretreated to provide a pretreated surface disposed between the substrate and the Mo-containing layer.
In other embodiments, the method further comprises (e.g., prior to said transferring the defined pattern to the layer of material): the pre-treated surface is etched through a defined pattern within the Mo-containing layer.
In a fourth aspect, the present disclosure includes a method for processing a substrate. In some embodiments, the method comprises: providing a substrate having a layer of material disposed on a top surface portion of the substrate; depositing a Mo-containing layer on a top surface portion of a material layer, wherein the depositing comprises delivering a Mo-containing precursor and one or more optional deposition precursors; forming a patterned mask over the Mo-containing layer; transferring the pattern of the patterned mask to the Mo-containing layer, thereby forming a defined pattern within the Mo-containing layer; the defined pattern is transferred to the material layer.
In a fifth aspect, the present disclosure includes an apparatus for processing a substrate. In some embodiments, the device comprises: a process chamber comprising a substrate holder; a process gas source connected to the process chamber and associated flow control hardware; substrate handling hardware coupled to the process chamber.
In some embodiments, the apparatus further comprises a controller having a processor and a memory, wherein the processor and the memory are communicatively coupled to each other. In certain embodiments, a processor is operably connected to at least the flow control hardware and the substrate handling hardware. In other embodiments, the memory stores computer-executable instructions for performing operations in any of the methods described herein.
In still other embodiments, the computer-executable instructions include instructions configured to: exposing a top surface portion of the substrate to a Mo-containing precursor and one or more deposition precursors within a process chamber; and results in the deposition of a Mo-containing layer on a top surface portion of the substrate.
In a further embodiment, the apparatus comprises: a plasma source connected to the process chamber.
In other embodiments, the computer-executable instructions include instructions configured to: exposing a top surface portion of the substrate to a Mo-containing precursor and one or more deposition precursors within a process chamber; causing a plasma to be supplied to the process chamber; and causing a Mo-containing layer to be deposited on the top surface portion of the substrate.
In any of the embodiments herein, the Mo-containing precursor comprises an organomolybdenum compound, a molybdenum halide compound, a molybdenum oxyhalide compound, an inorganic molybdenum compound, or a compound comprising a structure having one of formulas (I) - (V), or a salt thereof.
In any of the embodiments herein, the one or more deposition precursors are selected from the group consisting of carbon-containing precursors, silicon-containing precursors, and boron-containing precursors.
In any of the embodiments herein, the carbon-containing precursor comprises a hydrocarbon, methane (CH) 4 ) Acetylene (C) 2 H 2 ) Ethylene (C) 2 H 4 ) Propylene (C) 3 H 6 ) Propyne (C) 3 H 4 ) Allene (C) 3 H 4 ) Cyclopropene (C) 3 H 4 ) Butane (C) 4 H 10 ) Cyclohexane (C) 6 H 12 ) Benzene (C) 6 H 6 ) Or toluene (C) 7 H 8 ) At least one of (1).
In any of the embodiments herein, the silicon-containing precursor comprises a silane compound, an organosilane compound, an alkylsilane compound, an alkoxysilane compound, a silanol compound, a siloxane compound, an aminosilane compound, a cyclic azasilane compound, a halosilane compound, or an inorganic silane compound.
In any of the embodiments herein, the boron-containing precursor comprises an organoborane compound, a halogenated boron compound, a borate compound, or an inorganic boron compound.
In any embodiment herein, the Mo-containing layer comprises Mo x C y 、Mo x Si y 、Mo x B y 、Mo x C y O z 、Mo x Si y B z 、Mo x C y N z 、Mo x Si y C z 、Mo x B y C z 、Mo x N y Or Mo x O y N z And combinations thereof.
In any embodiment herein, the Mo-containing layer is a hard mask, an etch stop layer, a conformal layer, or a step cap layer.
In any of the embodiments herein, the Mo-containing layer has a low fluorine (F) content. Non-limiting fluorine content may include about 0-2at.% or less than about 2at.%.
In any of the embodiments herein, the Mo-containing layer has a low hydrogen (H) content. Non-limiting hydrogen content may include about 0-5at.% or less than about 5at.%.
In any of the embodiments herein, the Mo-containing layer has a high molybdenum (Mo) content. Non-limiting molybdenum contents may include about 5-65at.%, about 3-60at.%, or greater than about 3at.%.
In any of the embodiments herein, the Mo-containing layer has a low stress. Non-limiting stresses may include +/-100MPa, +/-500MPa, or ranges therebetween, as determined, for example, by measuring wafer bow before and after deposition of the Mo-containing layer.
In any of the embodiments herein, the Mo-containing layer has a high etch selectivity. Non-limiting high etch selectivity can be determined by evaluating the blanket etch rate, which can be collected by measuring the amount of etch after a fixed time etch. Additional embodiments are described herein.
Definition of
As used herein, the term "about" means +/-10% from any recited value. As used herein, the term modifies any recited value, range of values, or end point of one or more ranges.
As used herein, the terms "top," "bottom," "upper," "lower," "above," and "below" are used to provide a relative relationship between structures. The use of these terms does not denote or require that a particular structure be located at a particular position in a device.
As used herein, the term "surface portion" may include the entire surface or a portion of the surface.
The term "acyl" or "alkanoyl" as used interchangeably herein means a group of 1,2,3, 4,5,6, 7, 8 or more carbon atoms or hydrogen attached to the parent molecular group through a carbonyl group as defined herein, in a straight chain, branched chain, cyclic configuration, saturated, unsaturated and aromatic, and combinations thereof. Examples of such groups are formyl, acetyl, propionyl, isobutyryl, butyryl and the like. In some embodiments, an acyl or alkanoyl group is-C (O) -R, wherein R is hydrogen, an aliphatic group, or an aromatic group, as defined herein.
"acyl halide" means-C (O) X, where X is a halogen, such as Br, F, I or Cl.
"aldehyde" means a-C (O) H group or a compound containing such a group. Examples of aldehydes may comprise RC (O) H, wherein R is selected from aliphatic, haloaliphatic, haloheteroaliphatic, heteroaliphatic, aromatic, aliphatic-aromatic, heteroaliphatic-aromatic, or any combination thereof, as defined herein.
"aldeylalkyl" means an aldehyde group, as defined herein, attached to the parent molecular group through an alkyl group, as defined herein. In some embodiments, the aldehydic alkyl group is-L-C (O) H, wherein L is an alkyl group as defined herein.
"aliphatic" means a hydrocarbon group having at least one carbon atom to 50 carbon atoms (C1-50), for example 1 to 25 carbon atoms (C) 1-25 ) Or 1 to 10 carbon atoms (C) 1-10 ) And which comprises alkanes (or alkyls), alkenes (or alkenyls), alkynes (or alkynyls), including cyclic forms thereof, and further comprising linear and branched arrangements, as well as all stereo and positional isomers.
"aliphatic-aryl" means an aryl group coupled or coupleable to a compound disclosed herein, wherein the aryl group is coupled or becomes coupled through an aliphatic group as defined herein. In some embodiments, an aliphatic-aryl group is-L-R, wherein L is an aliphatic group as defined herein and R is an aryl group as defined herein.
"aliphatic-heteroaryl" means a heteroaryl coupled or coupleable to a compound disclosed herein, wherein the heteroaryl is coupled or becomes coupled through an aliphatic group as defined herein. In some embodiments, the aliphatic-heteroaryl is L-R, wherein L is an aliphatic group as defined herein and R is a heteroaryl group as defined herein.
"alkyl-aryl", "alkenyl-aryl" and "alkynyl-aryl" mean an aryl group, as defined herein, coupled or couplable (or linked) to a parent molecular group through an alkyl, alkenyl or alkynyl group, as defined herein. Alkyl-aryl, alkenesThe aryl-or alkynyl-aryl groups may be substituted or unsubstituted. For example, an alkyl-aryl, alkenyl-aryl, or alkynyl-aryl group may be substituted with one or more substituents, as described herein for alkyl, alkenyl, alkynyl, or aryl groups. Exemplary unsubstituted alkyl-aryl groups have 7 to 16 carbons (C) 7-16 Alkyl-aryl), and those having an alkyl group with 1 to 6 carbons and an aryl group with 4 to 18 carbons (i.e., C) 1-6 alkyl-C 4-18 Aryl). Exemplary unsubstituted alkenyl-aryl groups have 7 to 16 carbons (C) 7-16 Alkenyl-aryl), and those having an alkenyl group of 2 to 6 carbons and an aryl group of 4 to 18 carbons (i.e., C) 2-6 alkenyl-C 4-18 Aryl). Exemplary unsubstituted alkynyl-aryl groups have 7 to 16 carbons (C) 7-16 Alkynyl-aryl), and those having an alkynyl group with 2 to 6 carbons and an aryl group with 4 to 18 carbons (i.e., C) 2-6 alkynyl-C 4-18 Aryl). In some embodiments, alkyl-aryl is-L-R, wherein L is alkyl as defined herein and R is aryl as defined herein. In some embodiments, alkenyl-aryl is-L-R, wherein L is alkenyl as defined herein and R is aryl as defined herein. In some embodiments, alkynyl-aryl is-L-R, wherein L is alkynyl as defined herein and R is aryl as defined herein.
By "alkyl-cycloalkyl" is meant a cycloalkyl group as defined herein linked to the parent molecular group through an alkyl group as defined herein. Alkyl-cycloalkyl groups may be substituted or unsubstituted. For example, an alkyl-cycloalkyl group may be substituted with one or more substituents, as described herein for alkyl. In some embodiments, alkyl-cycloalkyl is-L-R, wherein L is alkyl as defined herein and R is cycloalkyl as defined herein.
"alkenyl" means having at least two carbon atoms up to 50 carbon atoms (C) 2-50 ) (e.g., two to 25 carbon atoms (C) 2-25 ) Or two to ten carbon atoms (C) 2-10 ) And at least one carbon-carbon double bond, wherein the unsaturated monovalent hydrocarbon can be derived by removing a hydrogen atom from a carbon atom of a parent olefin. Alkene(s)The group may be branched, straight chain, cyclic (e.g., cycloalkenyl), cis or trans (e.g., E or Z). Exemplary alkenyl groups comprise optionally substituted C with one or more double bonds 2-24 An alkyl group. An alkenyl group can be monovalent or multivalent (e.g., divalent) by removing one or more hydrogens to form a suitable linkage to the parent molecular group or a suitable linkage between the parent molecular group and another substituent. The alkenyl group may also be substituted or unsubstituted. For example, an alkenyl group may be substituted with one or more substituents, as described herein for alkyl.
By "alkyl-heteroaryl" is meant a heteroaryl group as defined herein attached to the parent molecular group through an alkyl group as defined herein. In some embodiments, the alkyl-heteroaryl is-L-R, wherein L is alkyl as defined herein and R is heteroaryl as defined herein.
"alkyl-heterocyclyl", "alkenyl-heterocyclyl" and "alkynyl-heterocyclyl" mean a heterocyclyl group as defined herein that is coupled or couplable (or linked) to a parent molecule via an alkyl, alkenyl or alkynyl group, respectively, as defined herein. The alkyl-heterocyclyl, alkenyl-heterocyclyl or alkynyl-heterocyclyl groups may be substituted or unsubstituted. For example, an alkyl-heterocyclyl, alkenyl-heterocyclyl, or alkynyl-heterocyclyl may be substituted with one or more substituents, as described herein for alkyl, alkenyl, alkynyl, or heterocyclyl. Exemplary unsubstituted alkyl-heterocyclyl groups have 2 to 16 carbons (C) 2-16 Alkyl-heterocyclyl), and those having an alkyl group with 1 to 6 carbons and a heterocyclyl group with 1 to 18 carbons (i.e., C) 1-6 alkyl-C 1-18 A heterocyclic group). Exemplary unsubstituted alkenyl-heterocyclyl groups have 3 to 16 carbons (C) 3-16 Alkenyl-heterocyclic group), and those having an alkenyl group having 2 to 6 carbons and a heterocyclic group having 1 to 18 carbons (i.e., C) 2-6 alkenyl-C 1-18 A heterocyclic group). Exemplary unsubstituted alkynyl-heterocyclyl groups have 3 to 16 carbons (C) 3-16 Alkynyl-heterocyclyl), and those having an alkynyl group with 2 to 6 carbons and a heterocyclyl group with 1 to 18 carbons (i.e., C) 2-6 alkynyl-C 1-18 A heterocyclic group). In some embodiments, the alkyl-heterocyclyl is-L-R, wherein L is alkyl as defined herein and R is heterocyclyl as defined herein. In some embodiments, alkenyl-heterocyclyl is-L-R, wherein L is alkenyl as defined herein and R is heterocyclyl as defined herein. In some embodiments, alkynyl-heterocyclyl is-L-R, wherein L is alkynyl as defined herein and R is heterocyclyl as defined herein.
"alkoxy" means-OR, wherein R is an optionally substituted aliphatic group, as described herein. Exemplary alkoxy groups include, but are not limited to, methoxy, ethoxy, n-propoxy, isopropoxy, n-butoxy, tert-butoxy, sec-butoxy, n-pentoxy, trihaloalkoxy, e.g., trifluoromethoxy, and the like. The alkoxy group may be substituted or unsubstituted. For example, an alkoxy group may be substituted with one or more substituents, as described herein for alkyl. Exemplary unsubstituted alkoxy groups comprise C 1-3 、C 1-6 、C 1-12 、C 1-16 、C 1-18 、C 1-20 Or C 1-24 An alkoxy group.
"alkoxyalkyl (alkoyakyl)" means an alkyl group, as defined herein, substituted with an alkoxy group, as defined herein. Exemplary unsubstituted alkoxyalkyl groups contain 2 to 12 carbons (C) 2-12 Alkoxyalkyl), and those having an alkyl group with 1 to 6 carbons and an alkoxy group with 1 to 6 carbons (i.e., C) 1-6 alkoxy-C 1-6 Alkyl groups). In some embodiments, alkoxyalkyl is-L-O-R, wherein L and R are each independently alkyl as defined herein.
"alkyl" means having at least one carbon atom up to 50 carbon atoms (C) 1-50 ) (e.g., 1 to 25 carbon atoms (C) 1-25 ) Or 1 to 10 carbon atoms (C) 1-10 ) Wherein the saturated monovalent hydrocarbon may be derived from the removal of one hydrogen atom from one carbon atom of a parent compound (e.g., an alkane). The alkyl group can be branched, straight chain, or cyclic (e.g., cycloalkyl). Exemplary alkyl groups include branched or unbranched saturated hydrocarbon groups having 1 to 24 carbon atoms, e.g., methyl, ethylN-propyl, isopropyl, n-butyl, isobutyl, sec-butyl, tert-butyl, n-pentyl, isopentyl, sec-pentyl, neopentyl, hexyl, heptyl, octyl, nonyl, decyl, dodecyl, tetradecyl, hexadecyl, eicosyl, tetracosyl and the like. The alkyl group may also be substituted or unsubstituted. The alkyl group can be monovalent or multivalent (e.g., divalent) by removing one or more hydrogens to form a suitable linkage to the parent molecular group or a suitable linkage between the parent molecular group and another substituent. For example, an alkyl group can be substituted with one, two, three, or (in the case of an alkyl group having two or more carbons) four substituents independently selected from the group consisting of (1) C 1-6 Alkoxy (e.g., -O-R where R is C 1-6 Alkyl groups); (2) C 1-6 Alkylsulfinyl (e.g., -S (O) -R where R is C 1-6 Alkyl groups); (3) C 1-6 Alkylsulfonyl (e.g., -SO) 2 -R, wherein R is C 1-6 Alkyl groups); (4) Amines (e.g., -C (O) NR) 1 R 2 or-NHCOR 1 Wherein each of R1 and R2 is independently selected from hydrogen, aliphatic, heteroaliphatic, haloaliphatic, haloheteroaliphatic, aromatic, or any combination thereof, or R 1 And R 2 Together with the nitrogen atom to which each is attached may form a heterocyclic group as defined herein); (5) an aryl group; (6) Arylalkoxy (e.g., -O-L-R, wherein L is alkyl and R is aryl); (7) Aroyl (e.g., -C (O) -R, where R is aryl); (8) an azido group (e.g., -N3); (9) cyano (e.g., -CN); (10) aldehyde groups (e.g., -C (O) H); (11) C 3-8 A cycloalkyl group; (12) halogen; (13) Heterocyclyl (e.g., as defined herein, such as a 5-, 6-, or 7-membered ring containing one, two, three, or four non-carbon heteroatoms); (14) Heterocycloxy (e.g., -O-R, wherein R is heterocyclyl as defined herein); (15) Heterocycloyl (e.g., -C (O) -R, wherein R is heterocyclyl as defined herein); (16) hydroxy (e.g., -OH); (17) N-protected amino; (18) nitro (e.g., -NO 2); (19) oxo (e.g., = O); (20) C 1-6 Thioalkoxy (e.g., -S-R where R is alkyl); (21) thiol groups (e.g., -SH); (22) -CO 2 R 1 Wherein R is 1 Selected from the group consisting of (a) hydrogen, (b) C 1-6 Alkyl group, (C) C 4-18 Aryl and (d) C 1-6 alkyl-C 4-18 Aryl (e.g., -L-R where L is C 1-6 Alkyl and R is C 4-18 Aryl); (23) -C (O) NR 1 R 2 Wherein each of R1 and R2 is independently selected from the group consisting of (a) hydrogen, (b) C 1-6 Alkyl group, (C) C 4-18 Aryl and (d) C 1-6 alkyl-C 4-18 Aryl (e.g., -L-R, where L is C 1-6 Alkyl and R is C 4-18 Aryl groups); (24) -SO 2 R 1 Wherein R is 1 Selected from the group consisting of (a) C 1-6 Alkyl group, (b) C 4-18 Aryl and (C) C 1-6 alkyl-C 4-18 Aryl (e.g., -L-R, where L is C 1-6 Alkyl and R is C 4-18 Aryl groups); (25) -SO 2 NR 1 R 2 Wherein R is 1 And R 2 Each of which is independently selected from the group consisting of (a) hydrogen, (b) C 1-6 Alkyl group, (C) C 4-18 Aryl and (d) C 1-6 alkyl-C 4-18 Aryl (e.g., -L-R, where L is C 1-6 Alkyl and R is C 4-18 Aryl groups); and (26) -NR 1 R 2 Wherein R is 1 And R 2 Each of which is independently selected from the group consisting of (a) hydrogen, (b) an N-protecting group, (C) C 1-6 Alkyl group, (d) C 2-6 Alkenyl, (e) C 2-6 Alkynyl, (f) C 4-18 Aryl group, (g) C 1-6 alkyl-C 4-18 Aryl (e.g., -L-R, where L is C 1-6 Alkyl, R is C 4-18 Aryl group), (h) C 3-8 Cycloalkyl group, and (i) C 1-6 alkyl-C 3-8 Cycloalkyl (e.g., -L-R, where L is C 1-6 Alkyl and R is C 3-8 Cycloalkyl), wherein in one embodiment no two groups are bound to the nitrogen atom through the carbonyl or sulfonyl group. Alkyl groups may be primary, secondary or tertiary alkyl groups substituted with one or more substituents (e.g., one or more halogens or alkoxy groups). In some embodiments, the unsubstituted alkyl is C 1-3 、C 1-6 、C 1-12 、C 1-16 、C 1-18 、C 1-20 Or C 1-24 An alkyl group.
As used herein, the term "alkylamino" refers to-NR 1 R 2 Group, wherein R 1 Is optionally substituted alkyl, and R 2 Is H or optionally substituted alkyl. Examples of alkylamino substituents include dimethylamino and diethylamino substituents.
As used herein, "alkylene" refers to a polyvalent (e.g., divalent form) of an alkyl, alkenyl, or alkynyl group. Exemplary alkylene groups include methylene, ethylene, ethenylene, ethynylene, propylene, propenylene, propynyl, butylene, butenylene, butynyl, and the like. In some embodiments, alkylene is C 1-3 、C 1-6 、C 1-12 、C 1-16 、C 1-18 、C 1-20 、C 1-24 、C 2-3 、C 2-6 、C 2-12 、C 2-16 、C 2-18 、C 2-20 Or C 2-24 . The alkylene group may be branched or unbranched. The alkylene groups may also be substituted or unsubstituted. For example, the alkylene group may be substituted with one or more substituents, as described herein for alkyl.
As used herein, the term "alkylsilyl" refers to-SiR 1 R 2 R 3 Group, wherein R 1 Is optionally substituted alkyl, and wherein R 2 And R 3 Each of which is independently selected from H and optionally substituted alkyl. Alkylsilyl groups include mono-, di-and trialkylsilyl groups. Examples of alkylsilyl groups include trimethylsilyl, dimethylsilyl, methylsilyl, triethylsilyl, diethylsilyl, ethylsilyl, and the like.
"alkylsulfinyl" means an alkyl group, as defined herein, appended to the parent molecular group through an-S (O) -group. In some embodiments, the unsubstituted alkylsulfinyl group is C 1-6 Or C 1-12 An alkylsulfinyl group. In other embodiments, alkylsulfinyl is-S (O) -R, wherein R is alkyl as defined herein.
"alkylsulfinylalkyl" means an alkyl group, as defined herein, substituted with an alkylsulfinyl group. In some embodiments, the unsubstituted alkylsulfinylalkyl group is C 2-12 Or C 2-24 Alkylsulfinylalkyl (e.g. C) 1-6 alkylsulfinyl-C 1-6 Alkyl or C 1-12 alkylsulfinyl-C 1-12 Alkyl groups). In other embodiments, alkylsulfinylalkyl is-L-S (O) -R, wherein L and R are each independently alkyl as defined herein.
"alkylsulfonyl" means through-SO 2 -an alkyl group as defined herein attached to the parent molecular group. In some embodiments, the unsubstituted alkylsulfonyl group is C 1-6 Or C 1-12 An alkylsulfonyl group. In other embodiments, alkylsulfonyl is-SO 2 -R, wherein R is optionally substituted alkyl (e.g., comprising optionally substituted C, as described herein) 1-12 Alkyl, haloalkyl, or perfluoroalkyl).
"alkylsulfonylalkyl" means an alkyl group, as defined herein, substituted with an alkylsulfonyl group. In some embodiments, the unsubstituted alkylsulfonylalkyl is C 2-12 Or C 2-24 Alkylsulfonylalkyl (e.g., C) 1-6 alkylsulfonyl-C 1-6 Alkyl or C 1-12 alkylsulfonyl-C 1-12 Alkyl). In other embodiments, alkylsulfonylalkyl is-L-SO 2 -R, wherein L and R are each independently alkyl as defined herein.
"alkynyl" means having at least two carbon atoms to 50 carbon atoms (C) 2-50 ) (e.g., two to 25 carbon atoms (C) 2-25 ) Or two to ten carbon atoms (C) 2-10 ) And at least one carbon-carbon triple bond, wherein the unsaturated monovalent hydrocarbon can be derived from a carbon atom of a parent alkyne with the removal of a hydrogen atom. Alkynyl groups can be branched, straight chain, or cyclic (e.g., cycloalkynyl). Exemplary alkynyl groups include optionally substituted C having one or more triple bonds 2-24 An alkyl group. Alkynyl groups may be cyclic or acyclic, such as ethynyl, 1-propynyl, and the like. The alkynyl group can be monovalent or polyvalent (e.g., divalent) by removal of one or more hydrogens to form a suitable linkage to the parent molecular group or a suitable linkage between the parent molecular group and another substituent. Alkynyl groups may also be substituted or unsubstituted. For example, the alkynyl group can be substituted with one or more substituents, as described herein for alkyl.
By "ambient temperature" is meant a temperature ranging from 16 ℃ to 26 ℃, such as from 19 ℃ to 25 ℃ or from 20 ℃ to 25 ℃.
"amide" means-C (O) NR 1 R 2 or-NHCOR 1 Wherein R is 1 And R 2 Each independently selected from hydrogen, aliphatic, heteroaliphatic, haloaliphatic, haloheteroaliphatic, aromatic, or any combination thereof, as defined herein, or wherein R is 1 And R 2 Together with the nitrogen atom to which each is attached, may form a heterocyclic group as defined herein.
"amine" means-NR 1 R 2 Wherein R is 1 And R 2 Each independently selected from hydrogen, aliphatic, heteroaliphatic, haloaliphatic, haloheteroaliphatic, aromatic, or any combination thereof, as defined herein; or wherein R 1 And R 2 Together with the nitrogen atom to which each is attached, may form a heterocyclic group as defined herein.
"aminoalkyl" means an alkyl group, as defined herein, substituted with an amino group, as defined herein. In some embodiments, aminoalkyl is-L-NR 1 R 2 Wherein L is alkyl as defined herein and R 1 And R 2 Each independently selected from hydrogen, aliphatic, heteroaliphatic, haloaliphatic, haloheteroaliphatic, aromatic, or any combination thereof, as defined herein; or R 1 And R 2 Together with the nitrogen atom to which each is attached, may form a heterocyclic group as defined herein. In other embodiments, the aminoalkyl is-L-C (NR) 1 R 2 )(R 3 )-R 4 Wherein L is a covalent bond or an alkyl group as defined herein; r is 1 And R 2 Each independently selected from hydrogen, aliphatic, heteroaliphatic, haloaliphatic, haloheteroaliphatic, aromatic, or any combination thereof, as defined herein; or R 1 And R 2 Together with the nitrogen atom to which each is attached may form a heterocyclic group as defined herein; and R is 3 And R 4 Each independently is H or alkyl as defined herein.
"aromatic" means a cyclic conjugated group or moiety of 5 to 15 (unless otherwise specified) ring atoms having a single ring (e.g., phenyl) or multiple fused rings, wherein at least one ring is aromatic (e.g., naphthyl, indolyl, or pyrazolopyridinyl)); that is, at least one ring and optionally a plurality of fused rings have a continuous and delocalized pi-electron system. Generally, the number of out-of-plane pi electrons corresponds to the Huckel rule (4n + 2). The point of attachment to the parent structure is typically through the aromatic portion of the fused ring system.
"aryl" means a compound containing at least five carbon atoms to 15 carbon atoms (C) 5-15 ) (e.g., five to ten carbon atoms (C) 5-10 ) Aromatic carbocyclic groups having a single ring or multiple fused rings, the fused rings of which may or may not be aromatic, provided that the point of attachment to the remainder of the compounds disclosed herein is through an atom of the aromatic carbocyclic group. The aryl group can be substituted with one or more groups other than hydrogen (e.g., aliphatic, heteroaliphatic, aromatic, other functional groups, or any combination thereof). Exemplary aryl groups include, but are not limited to, benzyl, naphthalene, phenyl, biphenyl, phenoxybenzene, and the like. The term aryl also includes heteroaryl, which is defined as a group that includes an aromatic group having at least one heteroatom incorporated into the ring of the aromatic group. Examples of heteroatoms include, but are not limited to, nitrogen, oxygen, sulfur, and phosphorus. Likewise, the term non-heteroaryl, also included in the term aryl, defines a group that contains an aromatic group and no heteroatoms. The aryl group may be substituted or unsubstituted. The aryl group may be substituted with one, two, three, four or five substituents independently selected from the group consisting of (1) C 1-6 Alkanoyl (e.g. -C (O) -R, wherein R is C 1-6 Alkyl groups); (2) C 1-6 An alkyl group; (3) C 1-6 Alkoxy (e.g., -O-R where R is C 1-6 Alkyl groups); (4) C 1-6 alkoxy-C 1-6 Alkyl (e.g., -L-O-R where L and R are each independently C 1-6 Alkyl groups); (5) C 1-6 Alkylsulfinyl (e.g., -S (O) -R, wherein R is C 1-6 Alkyl groups); (6) C 1-6 alkylsulfinyl-C 1-6 Alkyl (e.g., -L-S (O) -R wherein L and R are each independently C 1-6 Alkyl groups); (7) C 1-6 Alkylsulfonyl radicals (e.g. -SO) 2 -R, wherein R is C 1-6 Alkyl); (8) C 1-6 alkylsulfonyl-C 1-6 Alkyl (e.g., -L-SO) 2 -R, wherein L and R are each independently C 1-6 Alkyl groups); (9) an aryl group; (10) Amines (e.g., -NR) 1 R 2 Wherein R is 1 And R 2 Each independently selected from hydrogen, aliphatic, heteroaliphatic, haloaliphatic, haloheteroaliphatic, aromatic, or any combination thereof, as defined herein; or R 1 And R 2 Together with the nitrogen atom to which each is attached may form a heterocyclic group as defined herein); (11) C 1-6 Aminoalkyl radicals (e.g., -L) 1 -NR 1 R 2 or-L 2 -C(NR 1 R 2 )(R 3 )-R 4 Wherein L is 1 Is C 1-6 An alkyl group; l is a radical of an alcohol 2 Is a covalent bond or C 1-6 An alkyl group; r 1 And R 2 Each independently selected from hydrogen, aliphatic, heteroaliphatic, haloaliphatic, haloheteroaliphatic, aromatic, or any combination thereof, as defined herein; or R 1 And R 2 Together with the nitrogen atom to which each is attached may form a heterocyclic group as defined herein; r 3 And R 4 Each independently is H or C 1-6 Alkyl groups); (12) heteroaryl; (13) C 1-6 alkyl-C 4-18 Aryl (e.g., -L-R, where L is C 1-6 Alkyl and R is C 4-18 Aryl groups); (14) Aroyl (e.g., -C (O) -R, where R is aryl); (15) Azido (e.g., -N) 3 ) (ii) a (16) cyano (e.g., -CN); (17) C 1-6 Azidoalkyl (e.g., -L-N) 3 Wherein L is C 1-6 Alkyl groups); (18) aldehydes (e.g., C (O) H); (19) aldehyde-C 1-6 Alkyl (e.g., -L-C (O) H, where L is C 1-6 Alkyl groups); (20) C 3-8 A cycloalkyl group; (21) C 1-6 alkyl-C 3-8 Cycloalkyl (e.g., -L-R, where L is C 1-6 Alkyl and R is C 3-8 Cycloalkyl groups); (22) halogen; (23) C 1-6 Haloalkyl (e.g., -L) 1 -X or-L 2 -C(X)(R 1 )-R 2 Wherein L is 1 Is C 1-6 An alkyl group; l is a radical of an alcohol 2 Is a covalent bond or C 1-6 An alkyl group; x is fluorine, bromine, chlorine or iodine; and R is 1 And R 2 Each independently is H or C 1-6 Alkyl groups); (24) Heterocyclyl (e.g., as defined herein, e.g., a 5-, 6-, or 7-membered ring containing one, two, three, or four non-carbon heteroatoms); (25) Heterocycloxy (e.g., -O-R, wherein R is heterocyclyl as defined herein); (26) Heterocycloyl (e.g., -C (O) -R, wherein R is heterocyclyl as defined herein); (27) hydroxyl (-OH); (28) C 1-6 Hydroxyalkyl (e.g., -L) 1 -OH or-L 2 -C(OH)(R 1 )-R 2 Wherein L is 1 Is C 1-6 An alkyl group; l is a radical of an alcohol 2 Is a covalent bond or an alkyl group; and R is 1 And R 2 Each independently is H or C as defined herein 1-6 Alkyl); (29) a nitro group; (30) C 1-6 Nitroalkyl (e.g., -L 1 -NO or-L 2 -C(NO)(R 1 ) -R, wherein L 1 Is C 1-6 An alkyl group; l is a radical of an alcohol 2 Is a covalent bond or an alkyl group; and R is 1 And R 2 Each independently is H or C as defined herein 1-6 Alkyl groups); (31) N-protected amino; (32) N-protected amino-C 1-6 An alkyl group; (33) oxo (e.g., = O); (34) C 1-6 Thioalkoxy (e.g., -S-R where R is C 1-6 Alkyl groups); (35) thio-C 1-6 alkoxy-C 1-6 Alkyl (e.g., -L-S-R where L and R are each independently C 1-6 Alkyl groups); (36) - (CH) 2 ) r CO 2 R 1 Wherein R is an integer of 0 to 4, and R 1 Selected from the group consisting of (a) hydrogen, (b) C 1-6 Alkyl group, (C) C 4-18 Aryl group, and (d) C 1-6 alkyl-C 4-18 Aryl (e.g., -L-R, where L is C 1-6 Alkyl and R is C 4-18 Aryl groups); (37) - (CH) 2 ) r CONR 1 R 2 Wherein R is an integer of 0 to 4, and wherein R 1 And R 2 Each independently selected from the group consisting of (a) hydrogen, (b) C 1-6 Alkyl group, (C) C 4-18 Aryl, and (d) C 1-6 alkyl-C 4-18 Aryl (e.g., -L-R, where L is C 1-6 Alkyl and R is C 4-18 Aryl groups); (38) - (CH) 2 ) r SO 2 R 1 Wherein R is an integer of 0 to 4, and wherein R 1 Selected from the group consisting of (a) C 1-6 Alkyl group, (b) C 4-18 Aryl, and (C) C 1-6 alkyl-C 4-18 Aryl (e.g., -L-R where L is C 1-6 Alkyl and R is C 4-18 Aryl groups); (39) - (CH) 2 ) r SO 2 NR 1 R 2 Wherein R is an integer of 0 to 4 and wherein R 1 And R 2 Each independently selected from the group consisting of (a) hydrogen, (b) C 1-6 Alkyl group, (C) C 4-18 Aryl, and (d) C 1-6 alkyl-C 4-18 Aryl (e.g., -L-R, where L is C 1-6 Alkyl and R is C 4-18 Aryl groups); (40) - (CH) 2 ) r NR 1 R 2 Wherein R is an integer of 0 to 4 and wherein R 1 And R 2 Each independently selected from the group consisting of (a) hydrogen, (b) an N-protecting group, (C) C 1-6 Alkyl group, (d) C 2-6 Alkenyl, (e) C 2-6 Alkynyl, (f) C 4-18 Aryl group, (g) C 1-6 alkyl-C 4-18 Aryl (e.g., -L-R where L is C 1-6 Alkyl and R is C 4-18 Aryl group), (h) C 3-8 Cycloalkyl group, and (i) C 1-6 alkyl-C 3-8 Cycloalkyl (e.g., -L-R where L is C 1-6 Alkyl and R is C 3-8 Cycloalkyl), wherein in one embodiment no two groups are bound to the nitrogen atom through a carbonyl or sulfonyl group; (41) thiols (e.g., -SH); (42) Perfluoroalkyl (e.g., - (CF) 2 )nCF 3 Wherein n is an integer of 0 to 10); (43) Perfluoroalkoxy (e.g., -O- (CF) 2 )nCF 3 Wherein n is an integer of 0 to 10); (44) Aryloxy (e.g., -O-R, where R is aryl); (45) CycloalkanesOxy (e.g., -O-R, where R is cycloalkyl); (46) Cycloalkylalkoxy (e.g., -O-L-R, where L is alkyl and R is cycloalkyl); and (47) arylalkoxy (e.g., -O-L-R, wherein L is alkyl and R is aryl). In a particular embodiment, unsubstituted aryl is C 4-18 、C 4-14 、C 4-12 、C 4-10 、C 6-18 、C 6-14 、C 6-12 Or C 6-10 And (4) an aryl group.
"arylalkyloxy" means an alkyl-aryl group, as defined herein, appended to the parent molecular group through an oxygen atom. In some embodiments, arylalkoxy is-O-L-R, wherein L is alkyl as defined herein and R is aryl as defined herein.
"aryloxy" means-OR, wherein R is an optionally substituted aryl group as described herein. In some embodiments, the unsubstituted aryloxy group is C 4-18 Or C 6-18 An aryloxy group.
By "aryloxycarbonyl" is meant an aryloxy group, as defined herein, attached to the parent molecular group through a carbonyl group. In some embodiments, the unsubstituted aryloxycarbonyl group is C 5-19 An aryloxycarbonyl group. In other embodiments, the aryloxycarbonyl group is-C (O) O-R, wherein R is aryl as defined herein.
"aroyl" means an aryl group attached to the parent molecular group through a carbonyl group. In some embodiments, the unsubstituted aroyl is C 7-11 Aroyl or C 5-19 An aroyl group. In other embodiments, aroyl is-C (O) -R, wherein R is aryl as defined herein.
"azido (azido)" means-N 3 A group.
"azidoalkyl" means an azido group attached to a parent molecular group through an alkyl group as defined herein. In some embodiments, the azidoalkyl group is-L-N 3 Wherein L is alkyl as defined herein.
"azo" means an-N = N-group.
"carbonyl" means a-C (O) -group, which may also be indicated as > C = O.
"carboxyl (carboxyl)" means-CO 2 H group or anion thereof.
"cyano" means a-CN group.
By "cycloaliphatic" is meant a cyclic aliphatic group as defined herein.
"cycloalkoxy (cycloalkakyloxy)" means a cycloalkyl group, as defined herein, attached to a parent molecular group through an oxygen atom. In some embodiments, the cycloalkoxy group is-O-R, wherein R is cycloalkyl as defined herein.
"cycloalkylalkoxy (cycloalkylalkoxxy)" means an alkyl-cycloalkyl group, as defined herein, attached to the parent molecular group through an oxygen atom. In some embodiments, cycloalkylalkoxy is-O-L-R, where L is alkyl as defined herein and R is cycloalkyl as defined herein.
"cycloalkyl" means a three to eight carbon (unless otherwise specified) monovalent saturated or unsaturated non-aromatic cyclic hydrocarbon group such as cyclopropyl, cyclobutyl, cyclopentyl, cyclohexyl, cycloheptyl, bicyclo [ 2.2.1.heptyl ], and the like. Cycloalkyl groups may also be substituted or unsubstituted. For example, the cycloalkyl group can be substituted with one or more groups, including those described herein for alkyl.
By "cycloheteroaliphatic" is meant a cyclic heteroaliphatic group as defined herein.
"disulfide (disulphide)" means a SSR wherein R is selected from hydrogen, aliphatic, heteroaliphatic, haloaliphatic, haloheteroaliphatic, aromatic, or any combination thereof, as defined herein.
"ester" means-C (O) OR OR-OC (O) R, wherein R is selected from aliphatic, heteroaliphatic, haloaliphatic, haloheteroaliphatic, aromatic, OR any combination thereof, as defined herein.
"halo (halo)" means F, cl, br or I.
"haloaliphatic" means an aliphatic group as defined herein having one or more hydrogen atoms (e.g., 1 to 10 hydrogen atoms) independently substituted with a halogen atom (e.g., fluorine, bromine, chlorine, or iodine).
"haloaliphatic-aryl" means an aryl group as defined herein coupled or coupleable to a compound disclosed herein, wherein the aryl group is coupled or becomes coupled through a haloaliphatic group as defined herein. In some embodiments, the halogenated aliphatic-aryl group is-L-R, wherein L is a halogenated aliphatic group as defined herein and R is an aryl group as defined herein.
"haloaliphatic-heteroaryl" means a heteroaryl group as defined herein coupled or couplable to a compound disclosed herein, wherein the heteroaryl group is coupled or becomes coupled through a haloaliphatic group as defined herein. In some embodiments, the halogenated aliphatic-heteroaryl is-L-R, wherein L is a halogenated aliphatic group as defined herein and R is heteroaryl as defined herein.
"haloalkyl" means an alkyl group, as defined herein, having one or more hydrogen atoms (e.g., 1 to 10 hydrogen atoms) independently substituted with a halogen atom (e.g., fluorine, bromine, chlorine, or iodine). In a separate embodiment, the haloalkyl group may be-CX 3 Wherein each X may be independently selected from fluorine, bromine, chlorine or iodine. In some embodiments, haloalkyl is-L-X, wherein L is alkyl as defined herein and X is fluorine, bromine, chlorine, or iodine. In other embodiments, haloalkyl is-L-C (X) (R) 1 )-R 2 Wherein L is a covalent bond or an alkyl group as defined herein; x is fluorine, bromine, chlorine or iodine; and R is 1 And R 2 Each independently H or alkyl as defined herein.
"haloheteroaliphatic" means a heteroaliphatic group, as defined herein, in which one or more hydrogen atoms (e.g., 1 to 10 hydrogen atoms) are independently replaced by a halogen atom (e.g., fluorine, bromine, chlorine, or iodine).
"Heteroaliphatic" means an aliphatic group as defined herein that contains at least one heteroatom, which may be selected from, but not limited to, oxygen, nitrogen, sulfur, silicon, boron, selenium, phosphorus, and oxidized forms thereof within the group, to 20 heteroatoms (e.g., 1 to 15 heteroatoms, or 1 to 5 heteroatoms).
"heteroaliphatic-aryl" means an aryl group as defined herein coupled or coupleable to a compound disclosed herein, wherein the aryl group is coupled or becomes coupled through a heteroaliphatic group as defined herein. In some embodiments, the heteroaliphatic-aryl is-L-R, wherein L is a heteroaliphatic group as defined herein and R is an aryl group as defined herein.
"heteroalkyl" (heteroalkenyl) "and" heteroalkynyl "(heteroalkynyl)" respectively mean an alkyl, alkenyl or alkynyl group (which may be branched, straight chain or cyclic) as defined herein, containing at least one heteroatom selected from, but not limited to, oxygen, nitrogen, sulfur, silicon, boron, selenium, phosphorus and oxidised forms thereof within the group to 20 heteroatoms (e.g. 1 to 15 heteroatoms or 1 to 5 heteroatoms).
"Heteroalkylene" refers to a multivalent (e.g., divalent form) of heteroalkyl, heteroalkenyl, or heteroalkynyl, as described herein. The heteroalkylene group may be branched or unbranched. Heteroalkylene groups may also be substituted or unsubstituted. For example, the heteroalkylene can be substituted with one or more substituents, such as described herein for alkyl.
"heteroalkyl-aryl", "heteroalkenyl-aryl" and "heteroalkynyl-aryl" mean an aryl group as defined herein coupled or coupleable to a compound disclosed herein, wherein the aryl group is coupled or becomes coupled through a heteroalkyl, heteroalkenyl or heteroalkynyl, respectively, as defined herein. In some embodiments, the heteroalkyl-aryl is-L-R, wherein L is heteroalkyl, as defined herein, and R is aryl, as defined herein. In some embodiments, a heteroalkenyl-aryl is-L-R, wherein L is heteroalkenyl as defined herein and R is aryl as defined herein. In some embodiments, a heteroalkynyl-aryl group is-L-R, where L is a heteroalkynyl group as defined herein and R is an aryl group as defined herein.
"heteroalkyl-heteroaryl", "heteroalkenyl-heteroaryl" and "heteroalkynyl-heteroaryl" mean heteroaryl as defined herein coupled or couplable to a compound disclosed herein, wherein heteroaryl is coupled or becomes coupled through heteroalkyl, heteroalkenyl or heteroalkynyl, respectively, as defined herein. In some embodiments, the heteroalkyl-heteroaryl is-L-R, wherein L is heteroalkyl as defined herein and R is heteroaryl as defined herein. In some embodiments, the heteroalkenyl-heteroaryl is-L-R, wherein L is heteroalkenyl as defined herein and R is heteroaryl as defined herein. In some embodiments, heteroalkynyl-heteroaryl is-L-R, where L is heteroalkynyl as defined herein and R is heteroaryl as defined herein.
"heteroaromatic" refers to an aromatic group as defined herein, comprising at least one heteroatom to 20 heteroatoms, for example 1 to 15 heteroatoms, or 1 to 5 heteroatoms, which may be selected from, but is not limited to, oxygen, nitrogen, sulfur, silicon, boron, selenium, phosphorus, and oxidized forms thereof within a family.
"heteroaryl" means an aryl group containing at least one heteroatom to six heteroatoms (e.g., one to four heteroatoms), which may be selected from, but is not limited to, oxygen, nitrogen, sulfur, silicon, boron, selenium, phosphorus, and oxidized forms thereof within a ring. Such heteroaryl groups may have a single ring or multiple fused rings, wherein the fused rings may or may not be aromatic or may contain heteroatoms, provided that the point of attachment is through an atom of the aromatic heteroaryl group. The heteroaryl group can be substituted with one or more groups other than hydrogen, such as aliphatic, heteroaliphatic, aromatic, other functional groups, or any combination thereof. Exemplary heteroaryl groups comprise a subset of heterocyclyl groups as defined herein that are aromatic, i.e., that contain 4n +2 pi electrons within a monocyclic or polycyclic ring system.
"heteroatom" means an atom other than carbon, such as oxygen, nitrogen, sulfur, silicon, boron, selenium, or phosphorus. In particularly disclosed embodiments, the heteroatom does not include a halogen atom, such as when valence limitations do not permit.
"heterocyclyl" means a 5-, 6-, or 7-membered ring containing one, two, three, or four non-carbon heteroatoms (e.g., independently selected from nitrogen, oxygen, phosphorus, sulfur, or halogen), unless otherwise specified. The five-membered ring has zero to two double bonds, and the six-membered ring and the seven-membered ring have zero to three double bonds. The term "heterocyclyl" also encompasses bicyclic, tricyclic, and tetracyclic groups in which any of the above-described heterocycles are fused to one, two, or three rings independently selected from the group consisting of an aromatic ring, a cyclohexane ring, a cyclohexene ring, a cyclopentane ring, a cyclopentene ring, and another monocyclic heterocycle (e.g., indolyl, quinolinyl, isoquinolinyl, tetrahydroquinolinyl, benzofuranyl, benzothienyl, and the like). <xnotran> (thiiranyl), (thietanyl), (tetrahydrothienyl), (thianyl), (thiepanyl), (aziridinyl), (azetidinyl), (pyrrolidinyl), (homopiperidinyl), (azepanyl), (pyrrolyl), (pyrrolinyl), (pyrazolyl), (pyrazolinyl), (pyrazolidinyl), (imidazolyl), (imidazolinyl), (imidazolidinyl), (pyridyl), (homopiperidinyl), (pyrazinyl), (piperazinyl), (pyrimidinyl), (pyridazinyl), (oxazolyl), (oxazolidinyl), (oxazolidonyl), (isoxazolyl), (isoxazolidiniyl), (morpholinyl), (thiomorpholinyl), (thiazolyl), (thiazolidinyl), (isothiazolyl), (isothiazolidinyl), (indolyl), (quinolinyl), (isoquinolinyl), (benzimidazolyl), (benzothiazolyl), (benzoxazolyl), (furyl), (thienyl), </xnotran> Thiazolidinyl (thiazolidinyl), isothiazolyl (isothiazolyl), isoindolyl (isoindolinyl), triazolyl (triazolyl), tetrazolyl (tetrazolyl), oxadiazolyl (oxadiazolyl), uracilyl (uricyl), thiadiazolyl (thiadiazolyl), pyrimidinyl (pyrimidyl), tetrahydrofuryl (tetrahydrofuryl), dihydrofuranyl (dihydrofuranyl), dihydrothienyl (dihydrothienyl), dihydroindolyl (dihydroindolyl), tetrahydroquinolyl (tetrahydroquinolyl), tetrahydroisoquinolyl (tetrahydroquinolyl), pyranyl (pyranyl), dihydropyranyl (dihydropyranyl), tetrahydropyranyl (dihydropyranyl), benzothiazolyl (benzothiazolyl), dithianyl (diazenyl), triazinyl (oxazoyl), thiazyl (oxazoyl), thiazoyl (oxazoyl), thiazothienyl), and the like.
"heterocyclyloxy" means a heterocyclic group, as defined herein, attached to a parent molecular group through an oxygen atom. In some embodiments, the heterocycloxy group is-O-R, wherein R is heterocyclyl as defined herein.
"heterocycloyl" means a heterocyclic group, as defined herein, attached to the parent molecular group through a carbonyl group. In some embodiments, heterocyclyl is-C (O) -R, wherein R is heterocyclyl as defined herein.
"hydroxy" means-OH.
"hydroxyalkyl" means an alkyl group as defined herein substituted with one to three hydroxyl groups, provided that no more than one hydroxyl group can be attached to a single carbon atom of the alkyl group, exemplified by hydroxymethyl, dihydroxypropyl, and the like. In some embodiments, hydroxyalkyl is-L-OH, wherein L is alkyl as defined herein. In other embodiments, the hydroxyalkyl group is-L-C (OH) (R) 1 )-R 2 Wherein L is a covalent bond or an alkyl group as defined herein, and R 1 And R 2 Each independently is H or alkyl as defined herein.
"imino" means an = NR group, wherein R is selected from H, aliphatic, heteroaliphatic, aromatic, or any combination thereof, as defined herein.
"Ketone" means-C (O) R or a compound containing such a group, wherein R is selected from the group consisting of the lipids as defined hereinAliphatic, heteroaliphatic, aromatic, or any combination thereof. Examples of ketones may include R 1 C (O) R, wherein R and R 1 Each independently selected from aliphatic, haloaliphatic, haloheteroaliphatic, heteroaliphatic, aromatic, aliphatic-aromatic, heteroaliphatic-aromatic, or any combination thereof, as defined herein.
"Nitro" means-NO 2 A group.
By "nitroalkyl" is meant an alkyl group as defined herein substituted with one to three nitro groups. In some embodiments, the nitro alkyl group is-L-NO, wherein L is an alkyl group as defined herein. In other embodiments, the nitroalkyl is-L-C (NO) (R) 1 )-R 2 Wherein L is a covalent bond or an alkyl group as defined herein, and R 1 And R 2 Each independently is H or alkyl as defined herein.
"oxo (oxo)" or "oxide (oxide)" means an = O group.
"oxy (oxy)" means-O-.
"perfluoroalkyl" means an alkyl group, as defined herein, substituted with a fluorine atom for each hydrogen atom. Exemplary perfluoroalkyl groups include trifluoromethyl, pentafluoroethyl, and the like. In some embodiments, the perfluoroalkyl is- (CF) 2 ) n CF 3 Wherein n is an integer of 0 to 10.
"perfluoroalkoxy" means an alkoxy group, as defined herein, substituted on each hydrogen atom with a fluorine atom. In some embodiments, the perfluoroalkoxy group is-O-R, where R is perfluoroalkyl as defined herein.
By "salt" is meant an ionic form of a compound or structure (e.g., any of the formulae, compounds, or compositions described herein) that comprises a cationic or anionic compound to form a charge neutral compound or structure. Salts are well known in the art. For example, non-toxic salts are described in Berge s.m.et al, "Pharmaceutical salts," j.pharm.sci.1977 January;66 1 to 19; <xnotran> "Handbook of Pharmaceutical Salts: properties, selection, and Use," Wiley-VCH, april 2011 (2nd rev.ed., eds.P.H.Stahl and C.G.Wermuth . , ( ) ( ) . (acetate), (adipate), (alginate), (ascorbate), (aspartate), (benzenesulfonate), (benzoate), (bicarbonate), (bisulfate), (bitartrate), (borate), (bromide), (butyrate), (camphorate), (camphorsulfonate), (chloride), (citrate), (cyclopentanepropionate), (digluconate), (dihydrochloride), (diphosphate), (dodecylsulfate), (edetate), (ethanesulfonate), (fumarate), (glucoheptonate), (gluconate), (glutamate), (glycerophosphate), (hemisulfate), </xnotran> Heptanoate (heptanate), hexanoate (hexanoate), hydrobromide (hydrobromide), dihydrochloride (hydrochloride), hydroiodide (hydroiodide), hydroxyethanesulfonate (hydroxyethanesulfonate), hydroxynaphthoate (hydroxynaphthalenesulfonate), iodide (iodide), lactate (lactate), lactobionate (lactobionate), laurate (laurate), lauryl sulfate (laurylsulfate), malate (malate), maleate (malonate), malonate (malonate), mandelate (mandelate), methanesulfonate (mesylate), methanesulfonate (methanesulfonate), methyl bromide (methylbromide), methylnitrate (methylnitrate) methyl sulfate (methyl sulfate), mucate (mucate), 2-naphthalenesulfonate (2-naphthalenesulfonate), nicotinate (nicotinate), nitrate (nitrate), oleate (oleate), oxalate (oxalate), palmitate (palmate), pamoate (palmitate), pectinate (pectinate), persulfate (persulfate), 3-phenylpropionate (3-phenylpropionate), phosphate (phosphate), picrate (picrate), pivalate (pivalate), polygalacturonate (polygalacturonate), propionate (propionate), salicylate (salicylate), stearate (stearate), subacetate (succinate), succinate (succinate), sulfates (sulfate), tannins (tanate), tartrates (tartrate), theophyllite (theophyllinate), thiocyanates (thiocyanates), triiododes (triethiododes), tosylates (toluenesulfonates), undecanoates (uncarbonates), valerate (valerate salts), and the like. Representative cationic salts include metal salts, e.g., alkali metal salts or alkaline earth metal salts, such as barium, calcium (e.g., calcium edetate), lithium, magnesium, potassium, sodium, and the like; other metal salts, such as aluminum, bismuth, iron, and zinc; and nontoxic ammonium salts, quaternary ammonium salts, and amine cations including, but not limited to, ammonium, tetramethylammonium, tetraethylammonium, methylamine, dimethylamine, trimethylamine, triethylamine, ethylamine, pyridinium, and the like. Other cationic salts include organic salts such as chloroprocaine (chloroprocaine), choline (choline), dibenzylethylenediamine, diethanolamine, ethylenediamine, methylglucamine, and procaine (procaine). Still other salts include ammonium, sulfonium (sulfonium), sulfoxonium (sulfonium), phosphonium (phosphonium), iminium, imidazolium, benzimidazolium, amidinium, guanidinium, phosphonium phosphate, phosphazenium, pyridinium, and the like, as well as other cationic groups described herein (e.g., optionally substituted isoxazolium (isoxazolium), optionally substituted oxazolium (oxozolium), optionally substituted thiazolium (thiazolium), optionally substituted pyrrolium (pyrrolium), optionally substituted furanylium (furanium), optionally substituted thiophenium (thiophenium), optionally substituted imidazolium (imidazolium), optionally substituted pyrazolium (pyrazolium), optionally substituted isothiazolium (isothiazolium), optionally substituted triazolium (triazolium), optionally substituted tetrazolium (tetrazolium), optionally substituted furazolium (furanium), optionally substituted pyridinium (pyridinium), optionally substituted pyrimidinium (pyrimidinium), optionally substituted pyrazinium (pyrizinium), optionally substituted triazinium (triazinium), optionally substituted tetrazinium (tetrazinium), optionally substituted pyridazinium (pyridazinium), optionally substituted oxazinium (oxazinium), optionally substituted pyrrolidinium (pyrinolidinium), or, optionally substituted pyrazolidinium (pyrazolidinium), optionally substituted imidazolinium (imidazolium), optionally substituted isoxazolidinium (isoxazolidinium), optionally substituted oxazolidinium (oxazolidinium), optionally substituted piperazinium (piperazinium), optionally substituted piperidinium (piperadinium), optionally substituted morpholinium (morpholinonium), optionally substituted azepanium (azepanium), optionally substituted azepinium (azepinium), optionally substituted indolium (indolium), optionally substituted isoindolium (isoindolium), optionally substituted imidazolinium (azoindolium), optionally substituted imidazolinium (piperazinium), optionally substituted piperazinium (indolium), optionally substituted isoindolinium (isoindolium), or mixtures thereof optionally substituted indolizinium (indolizinium), optionally substituted indazolium (indolizolium), optionally substituted benzimidazolium (benzimidazonium), optionally substituted isoquinolinium (isoquinonium), optionally substituted quinolizinium (quinolizinium), optionally substituted dehydroquinolizinium (dihydroquinolizinium), optionally substituted quinolinium (quinolinium), optionally substituted isoindolinium, optionally substituted benzimidazolium (benzimidazonium), and optionally substituted purinium (purinium).
By "silyl ether" is meant a functional group comprising a silicon atom covalently bonded to an alkoxy group as defined herein. In some embodiments, the silyl ether is-Si-O-R or Si-O-R, wherein R is alkyl as defined herein.
"sulfinyl" means an-S (O) -group.
"sulfonic acid group (sulfo)" means-S (O) 2 An OH group.
"Sulfonyl" or "sulfonate" means-S (O) 2 A group or-SO 2 R, wherein R is selected from hydrogen, aliphatic, heteroaliphatic, haloaliphatic, haloheteroaliphatic, aromatic, or any combination thereof, as defined herein。
"thioalkoxy" means an alkyl group, as defined herein, attached to the parent molecular group through a sulfur atom. Exemplary unsubstituted thioalkoxy groups comprise C 1-6 A thioalkoxy group. In some embodiments, thioalkoxy is-S-R, wherein R is alkyl as defined herein.
"thioalkoxyalkyl (thioalkoxyalkyl)" means an alkyl group as defined herein substituted with a thioalkoxy group as defined herein. Exemplary unsubstituted thioalkoxyalkyls contain from 2 to 12 carbons (C) 2-12 Thioalkoxyalkyl), and those having an alkyl group with 1 to 6 carbons and a thioalkoxy group with 1 to 6 carbons (i.e., C) 1-6 thioalkoxy-C 1-6 Alkyl groups). In some embodiments, thioalkoxyalkyl is-L-S-R, wherein L and R are each independently alkyl as defined herein.
"thiol (thiol)" means an-SH group.
Any of the functional groups or chemical moieties described herein can be used in the ligand (e.g., for a Mo-containing precursor, a C-containing precursor, a Si-containing precursor, or a B-containing precursor).
One skilled in the art will appreciate that the definitions provided above are not intended to encompass impermissible substitution patterns (e.g., methyl substituted with 5 different groups and the like). Those skilled in the art will readily recognize such impermissible substitution patterns. Any functional group disclosed herein and/or defined above may be substituted or unsubstituted, unless otherwise indicated herein.
Other features and advantages of the invention will be apparent from the following description and from the claims.
Drawings
FIG. 1 provides a schematic illustration of a stack for patterning and etching operations.
Fig. 2 is a process flow diagram depicting operations performed in accordance with certain disclosed embodiments for providing a Mo-containing layer.
Figures 3A-3B illustrate process flow diagrams depicting operations performed in accordance with certain disclosed embodiments. A diagram of (a) a non-limiting operation for processing a substrate and (B) yet another non-limiting operation for processing a substrate is provided.
Fig. 4 is a schematic view of an exemplary process chamber for performing certain disclosed embodiments.
FIG. 5 is a schematic diagram of an exemplary process installation for carrying out certain disclosed embodiments.
Fig. 6 is a block diagram of a processing system suitable for performing a thin film deposition process according to certain embodiments.
Detailed Description
Reference is made in detail herein to specific embodiments of the disclosure. Examples of specific embodiments are illustrated in the accompanying drawings. While the disclosure will be described in conjunction with these specific embodiments, it will be understood that it is not intended to limit the disclosure to these specific embodiments. On the contrary, it is intended to cover alternatives, modifications, and equivalents as may be included within the spirit and scope of the disclosure. In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present disclosure. The present disclosure may be practiced without some or all of these specific details. In other instances, well known process operations have not been described in detail in order not to unnecessarily obscure the present disclosure.
Certain technology nodes require advanced semiconductor processing that can provide more selective patterning or etching operations. The present disclosure is directed, in part, to Mo-containing layers that can provide enhanced selectivity. Such layers may be used to extend technology scaling, for example by providing selective hard mask materials. Thus, in certain embodiments, the Mo-containing layer exhibits certain characteristics (e.g., density, etch selectivity, hydrogen content, fluorine content, and the like, as well as combinations thereof) that are indicative of desired film behavior for use as a hardmask.
Conventional deposition of molybdenum may involve the use of fluorine-containing precursors, such as MoF 6 . However, moF is used 6 Resulting in significant fluorine incorporation into the deposited Mo-containing film. The presence of fluorine can lead to electromigration or diffusion of fluorine into adjacent components and damage to the contacts, thereby reducing the performance of the device. As devices shrink and features become smaller, the adverse effects of electromigration and ion diffusion become more pronouncedThe protrusion is added, resulting in failure of the device. Mo films with increased fluorine content can therefore cause integration and reliability problems, as well as device performance problems associated with underlying film or device structures (e.g., vias and gates). Described herein is a process with minimal fluorine content. In one case, a Mo-containing precursor that does not contain fluorine may be used. In another case, a fluorine-containing Mo-containing precursor is used, but the deposition process can minimize the fluorine content in the growing film.
FIG. 1 provides a non-limiting use of a stack with a hard mask. For example, the stack may include a resist layer 114, a Mo-containing layer 113, a material layer 112, and a substrate layer 111. In some cases, the term "substrate" is used to denote a general surface on which a Mo-containing layer may be deposited. Thus, in fig. 1, the substrate may include a material layer 112 and a substrate layer 111. In some cases, such a substrate may be considered a stack.
As can be seen, the material layer 112 is disposed between the Mo-containing layer 113 and the substrate layer 111; and a resist layer 114 may be disposed on a top surface portion of the Mo-containing layer 113. A method of processing a stack (or substrate, which may be used interchangeably) may include patterning 101 a resist layer 114 to form a patterned resist mask. As can be seen, the resist mask may include patterned features 114a/b/c/d having openings 105 disposed therein.
Turning again to fig. 1, the method can further include transferring 102 the pattern of the resist mask to the underlying Mo-containing layer 113. The Mo-containing layer itself has a defined pattern when transferring the pattern from the resist mask to the Mo-containing layer. In some embodiments, the Mo-containing layer acts as a hard mask, including the patterned features 113a/b/c/d and having one or more openings 106 disposed therein.
The defined pattern provided by the Mo-containing layer may then be transferred to the material layer. Turning to fig. 1, the method may further include transferring 103 a defined pattern of the Mo-containing layer (used as a hard mask) to an underlying material layer 112. After patterning, the material layer may have patterned features 112a/b/c/d and have one or more openings 107 disposed therein.
In addition to using the Mo-containing layer as a hard mask, the Mo-containing layer may be used for other purposes. For example, the Mo-containing layer can be used as an etch stop layer, a conformal layer, a planar layer, a step cap layer, a barrier layer, an isolation layer, or any other useful layer within a stack.
The Mo-containing layer may be provided in any useful manner. As shown in fig. 2, one non-limiting method may include providing 210 a substrate and exposing 270 a surface portion of the substrate to one or more Mo-containing precursors and one or more deposition precursors. The non-limiting Mo-containing precursor can be any of the precursors described herein. The deposition precursor may include any precursor that does not include Mo. Such non-limiting deposition precursors may include C-containing precursors, si-containing precursors, or B-containing precursors.
The providing and exposing operations can include using a process chamber having a substrate holder. The providing operation may include providing the substrate to a substrate holder, which may be a susceptor.
The exposing operation may comprise delivering the Mo-containing precursor and the one or more deposition precursors sequentially or simultaneously. Such delivery may include Atomic Layer Deposition (ALD), chemical Vapor Deposition (CVD), and plasma-enhanced versions thereof.
Turning again to fig. 2, the method can include depositing 290 a Mo-containing layer, optionally in the presence of a plasma. In some embodiments, the depositing may include a Plasma Enhanced Chemical Vapor Deposition (PECVD) process. Processing conditions for providing a plasma are described herein.
The exposing or depositing operation may include the use of another reagent, such as a reactant gas, a reducing agent, a carrier gas, or a combination thereof. Non-limiting agents can include those in gaseous form, such as hydrogen (H) 2 ) Argon (Ar), nitrogen (N) 2 ) Helium (He), and combinations thereof.
Optionally, the stack can include an interfacial layer disposed between the Mo-containing layer and the substrate. In some cases, the interface layer may provide an adhesion layer, an initiation layer, or a growth layer. As shown in fig. 2, the method may include an optional operation 230 of providing an interfacial layer on a top surface portion of the substrate. Thus, exposing the substrate to the Mo-containing precursor will provide a Mo-containing layer on the top surface portion of the interfacial layer.
Furthermore, in other options, the top surface portion of the substrate may be pretreated. As shown in fig. 2, the method may include an optional operation 250 of pre-treating a top surface portion of the substrate. Thus, exposing the substrate to the Mo-containing precursor will provide a Mo-containing layer on the top surface portion of the pre-treated surface. Without wishing to be bound by mechanism, such pre-treatment may increase adhesion of the Mo-containing layer to the interface layer or to the top surface portion of the substrate. Non-limiting pretreatment conditions may include the use of helium (He), argon (Ar), nitrogen (N) 2 ) Or hydrogen (H) 2 ) And combinations thereof, which can be used to pretreat the surface (e.g., prior to introduction of the Mo-containing precursor or other deposition precursor described herein).
The operations 230, 250 may be performed sequentially in any order. In one example, the method includes providing an interface layer and then pre-treating a top surface portion of the interface layer, thereby providing a pre-treated surface on the interface layer. In another example, the method includes pretreating a top surface portion of a substrate, thereby providing a pretreated surface; an interfacial layer is then provided on the pretreated surface. In yet another example, only one of operation 230 or operation 250 is performed.
The present disclosure also relates to a method for processing a substrate. Such processing may include transferring a pattern to a portion of the substrate. As used herein, the term substrate may refer to a particular material or layer, a combination of materials or layers, a stack, or any other useful combination of materials and devices. Thus, the pattern may be transferred to any material, layer, stack, device, or portion thereof.
Semiconductor manufacturing processes involve the manufacture of memory and logic devices. Examples include 3D NAND and Dynamic Random Access Memory (DRAM) applications, as well as middle of line (MEOL) and back end of line (BEOL) process logic applications. The fabrication of memory and logic devices typically involves etching features, such as contact holes, on a substrate, which may include a layer or layers of material, some of which may be semiconductor materials. "features" such as vias or contact holes may be characterized as one or more narrow or recessed openings, constrictions within features, and high aspect ratios. The term "feature" as used herein refers to a negative feature such as a hole or via. In many cases, etching features includes depositing and patterning a hard mask over the material to be etched, and etching the material using the hard mask as a pattern. The patterned hard mask may be eventually removed from the substrate. As described herein, the Mo-containing layer can be used as a hard mask or an etch stop layer.
In other embodiments, the Mo-containing layers provided herein can be used as features within interconnect metallization (e.g., to fill recessed features to form contacts), for logic gate applications in finfets, as adhesion layers or diffusion barriers, and for 3D NAND fabrication. For example, the Mo-containing layer may be used as a liner for subsequent deposition of a different metal layer, a metallization layer, or a different metal-containing layer (e.g., a cobalt-containing layer or a pure molybdenum metal layer). Application examples include logic and memory contact fill, DRAM buried wordline fill, vertically integrated memory gate and wordline fill, and 3-D integration using Through Silicon Vias (TSVs). Without wishing to be bound by mechanism, the resistivity of molybdenum scale is superior to that of tungsten scale, and in some embodiments, molybdenum is a particularly advantageous metal for filling narrow recessed features, such as features less than about 20nm in width. In one exemplary application, molybdenum metal is used to make an unobstructed contact. In this application, molybdenum metal is deposited directly into recessed features (contact holes) having a width of about 5-100nm, for example about 5-20nm, wherein the recessed features are formed in the dielectric layer and comprise exposed dielectric, such as silicon oxide, silicon nitride, or low-k materials, such as silicon oxycarbide on the sidewalls and silicon or silicon germanium exposed at the bottom. In alternative embodiments, the contact holes prior to deposition may be lined with an interfacial layer (e.g., tungsten nitride, molybdenum carbide, or titanium nitride) on which the molybdenum metal is deposited.
In particular implementations, such processing may include using the Mo-containing layer as a hard mask in order to pattern the material layer. As shown in fig. 3A, a method may include: providing 310 a substrate having a material layer, depositing 330 a Mo-containing layer on a top surface portion of the material layer, forming 350 a defined pattern within the Mo-containing layer, and transferring 370 the defined pattern to the material layer underlying the Mo-containing layer. Optionally, the method may include providing an interfacial layer or pretreating the surface of the substrate prior to depositing the Mo-containing layer. The process may also include other operations such as removing Mo-containing layers, interfacial layers, or pre-treating the surface.
The processing of the substrate may include further operations to transfer the pattern. As shown in fig. 3B, a method can include providing 310 a substrate having a material layer. Optional operations may include providing an interface layer on a top surface portion of the substrate, thereby disposing the interface layer between the substrate and the Mo-containing layer; or pre-treating the surface to provide a pre-treated surface 320. The process may also include other operations such as removing Mo-containing layers, interfacial layers, or pre-treating the surface.
The method may also include depositing 330 a Mo-containing layer on a top surface portion of the material layer, and depositing 351 a resist layer to form a patterned resist mask. The resist layer may be further patterned (e.g., photo-patterned) to form a resist mask. In addition to the resist mask, any useful patterned mask can be used and disposed over the Mo-containing layer.
A resist mask may be used to pattern the Mo-containing layer. For example, the method may further include transferring 352 a pattern of the resist mask onto the Mo-containing layer. In transferring this pattern, the Mo-containing layer may be used as a hard mask, which in turn has a defined pattern provided by a resist mask. The defined pattern may include one or more patterned features and one or more openings within the Mo-containing layer. Finally, the method can include transferring 371 a defined pattern of the Mo-containing layer (used as a hard mask) to a material layer disposed below the Mo-containing layer.
Such transfer operations may include etching a resist layer, a Mo-containing layer, an interfacial layer, pre-treating a surface, or a material layer. Etching may define openings in a particular layer and transfer the pattern by etching material through the exposed openings. Non-limiting etch chemistries may include halogen-containing gases, such as nitrogen trifluoride (NF) 3 ) Boron trifluoride (BF) 3 ) Sulfur hexafluoride (SF) 6 ) Chlorine (Cl) 2 ) Bromine gas (Br) 2 ) Based on C x F y Wherein x is 1 to 10, y is 2 to 20; and the like; oxygen-containing gases, e.g. oxygen (O) 2 ) (ii) a OrFluorine-containing gases, e.g. based on C x F y The gas of (a), including any gas described herein.
When an interfacial layer is present, the transfer of the pattern may include etching the interfacial layer through a defined pattern (or opening) in the Mo-containing layer. When a pre-treated surface is present, the transferring of the pattern may comprise etching the pre-treated surface through the defined pattern within the Mo-containing layer.
Deposition process
Deposition may include delivering one or more precursors to the process chamber. Such precursors may include Mo-containing precursors that are deposited in the presence of one or more deposition precursors to provide Mo-containing layers. The precursors herein can be delivered to a process chamber in the presence of a gas (e.g., a reactive gas, a reducing agent, or a carrier gas, and combinations thereof). The carrier gas may be, for example, an inert gas. Non-limiting gases include hydrogen (H) 2 ) Nitrogen (N) 2 ) Helium (He), neon (Ne), argon (Ar), krypton (Kr), xenon (Xe), and the like.
In a particular embodiment, a reducing agent is used during deposition. In one case, a reducing agent is used to reduce metal atoms (e.g., mo atoms) within the film to a lower oxidation state. In another case, the reducing agent is capable of removing halides or other impurities in the growing film. Non-limiting reducing agents can include hydrogen (H) 2 ) Methane (CH) 4 ) Ammonia (NH) 3 ) Other nitrogen-containing gases or nitrogen-containing reducing agents, e.g. hydrazine (N) 2 H 4 ) Carbon monoxide (CO), boron-or silicon-containing reducing agent (B) 2 H 6 、SiH 4 ) And the like. Other reducing agents include water, alcohols, H 2 S, hydrocarbons (e.g., any of the materials described herein, including methane (CH) 4 ) Acetylene (C) 2 H 2 ) Ethylene (C) 2 H 4 ) Propylene (C) 3 H 6 ) Etc.) and thiols, optionally using plasma activation.
In some embodiments, the depositing comprises using a Mo-containing precursor, a deposition precursor, and a reducing agent. In particular embodiments, the deposition precursor is a C-containing precursor, a Si-containing precursor, or a B-containing precursor. The reducing agent can be any reducing agent described herein, including but not limited to hydrogen (H) 2 )。
The Mo-containing layer may be disposed on a top surface portion of the substrate, on a top surface portion of an interface layer (which in turn is provided on top of the substrate), or on a top surface portion of a pretreated top surface portion. The adhesion of the Mo-containing layer to the substrate may be enhanced using an interfacial layer or pre-treating the surface.
Prior to depositing the Mo-containing layer, the surface may include an interfacial layer. The interfacial layer may be provided by ALD, CVD or plasma enhanced versions thereof. Non-limiting precursors and deposition conditions to provide the interlayer are described herein.
The surface may be pretreated prior to deposition of the Mo-containing layer. Such pre-treatment may include treating a surface portion of the substrate or treating a surface portion of the interfacial layer, if present. In one case, the surface may be pretreated with a soak gas. Non-limiting immersion gases include oxygen-containing gases, nitrogen-containing gases, or other suitable gases capable of altering the interface between the substrate and subsequently deposited materials to reduce electron tunneling from the substrate. Examples of the immersion gas include oxygen (O) 2 ) Ammonia (NH) 3 ) Nitrogen (N) 2 ) Silane (SiH) 4 ) Disilane (Si) 2 H 6 ) Trisilane (Si) 3 H 8 ) Germane (GeH) 4 ) Argon (Ar), diborane (B) 2 H 6 ) Hydrogen (H) 2 ) Nitrogen (N) 2 ) Or a combination thereof.
In some embodiments, one or more gases may be used to soak the substrate. For example, in some embodiments, the substrate may be exposed to silane for a first duration of time and then to diborane for a second duration of time. Such operations may also be repeated cyclically. In any of the disclosed embodiments, the chamber housing the substrate may be purged between one or more soak cycles. Purging may be performed by flowing an inert gas, such as argon, into the chamber.
The pretreatment may optionally include modifying the substrate or the interfacial layer to provide a roughened surface. In some embodiments, the modification may include sputtering by non-reactive ion bombardment of the surface to provide a rough surface.Non-limiting non-reactive ions may include argon (Ar), helium (He), krypton (Kr), or other non-reactive species. In other embodiments, the modification may comprise exposing the surface to an oxygen-containing plasma to provide an oxygen-containing surface. Non-limiting oxygen-containing plasmas can include carbon dioxide (CO) 2 ) Oxygen (O) 2 ) Or water (as H) 2 O or as H 2 And O 2 Mixtures of (a) and (b).
In other embodiments, the pre-treatment may optionally include exposing the substrate of the interfacial layer to ultraviolet radiation. The ultraviolet radiation may be emitted at a wavelength between about 180-600nm for a duration between about 60-600 seconds.
Deposition of Mo-containing layers may include ALD processes, CVD processes, and plasma-enhanced versions thereof. In some embodiments, depositing comprises exposing the precursor to a plasma. Deposition may also include various types of plasma processes, such as using inductively coupled plasma, capacitively coupled plasma, microwave plasma CVD, remote plasma-enhanced CVD, and other similar processes.
ALD is a technique for depositing thin layers of material using sequential self-limiting reactions. Typically, an ALD cycle includes the operations of delivering and adsorbing at least one reactant to a substrate surface, and then reacting the adsorbed reactant with one or more reactants to form a portion of a film layer. As an example, a deposition cycle may include the following operations: (ii) purging the chamber of a Mo-containing precursor, (iii) delivering a deposition precursor (e.g., a C-containing precursor, a Si-containing precursor, a B-containing precursor, optionally in the presence of a reactant gas, a reducing agent, a carrier gas), and (iv) purging the chamber of the deposition precursor.
In an ALD process, the substrate may be cyclically exposed. For example, the substrate may be first exposed to a pulse of a Mo-containing precursor, then optionally purged, then exposed to a pulse of another reagent (e.g., a reducing agent or a deposition precursor), and then optionally purged. Such a cycle may be repeated until a layer of a desired thickness is formed on the substrate. It should be understood that the order of the Mo-containing precursor, the deposition precursor, and the reducing agent can be any useful order. Purging may be performed by flowing an inert gas, such as argon. In some embodiments, an inert gas may also be used as a carrier gas to deliver one or more gases (including but not limited to immersion gas, precursor gas, reactant gas, reducing agent, and carrier gas) to the substrate. Although ALD is described herein as an example, it should be understood that films deposited by ALD herein may also be deposited by CVD or other techniques.
During any of the above exposures, the gas may be pulsed or continuously flowing. Likewise, in some embodiments, the inert gas may be pulsed during one or more times during a single purge operation during the purge. One or more changes to one or more parameters such as pressure, flow rate, and temperature may be used during deposition. In some embodiments, the susceptor may be moved during deposition such that a gap between the substrate and a showerhead above the susceptor may be adjusted. Moving the base may be used in conjunction with changing one or more parameters, such as pressure, temperature, or flow rate. Adjusting the gap between the substrate and the showerhead may affect the pressure, temperature, or flow rate that may be used in accordance with certain disclosed embodiments.
The flow rates of the Mo-containing precursor, the deposition precursor, and other optional gases (e.g., reactant gases, reducing agents, or carrier gases) can be varied to provide the desired Mo-containing layer. Typical air pressures and flow rates may include any of the values described herein. In other embodiments, the flow rate of the Mo containing precursor can be between about 20-1000sccm, and the flow rate of the optional deposition precursor can be between about 100-5000 sccm. The flow rate of the carrier gas may be about 1500-15000sccm. The reducing agent, if present, can be provided at a flow rate of between about 100-1000 sccm. One or more gases may be provided to the process chamber at the flow rates herein during the deposition process. Optionally, a plasma may be used, for example, by remote delivery to the process chamber or generated within the chamber, to deposit the Mo-containing layer. The exposure time may vary (e.g., from about 1 to 5000 seconds) depending on the desired layer thickness or desired thickness during each cycle of depositing the Mo-containing precursor and the other deposition precursors.
Plasma may be employed during deposition, patterning, pattern transfer, or etching. Various types of plasma sources may be used, including RF, direct Current (DC), and microwave-based plasma sources. In some embodiments, an RF plasma source is used. Typically, the RF plasma power for a 300 mm wafer ranges between about 500-10000 Watts (W), or between about 3000-10000W. In some embodiments, the power of each station is about 7000W. Depending on the process chamber used, in some embodiments, each station has a dedicated power supply. In various embodiments, the plasma is generated as an inductively coupled plasma upstream of the showerhead.
The generation of the plasma may include the use of one or more Radio Frequency (RF) power sources. In one example, the RF power source provides about 100-5000W of power at a frequency of about 50kHz to 100MHz (e.g., from 50kHz to 13.6MHz, 50kHz to 27MHz, 13.6MHz to 100MHz, and in between). In another instance, the RF power source provides about 500-5000W of power at a frequency of about 50kHz to about 100MHz (e.g., from 50kHz to 13.6MHz, 50kHz to 27MHz, 13.6MHz to 100MHz, and ranges therebetween). In general, the plasma may be generated directly in the process chamber housing the substrate (direct plasma or in-situ plasma), or remotely in a separate compartment, wherein the generated plasma-activating species are fed to the process chamber (remote plasma).
The power used to generate the plasma may be between about 10-3000W per station (e.g., 100-1000W, 200-800W, 200-500W, 500-3000W, or 800-3000W). The RF frequency used to generate the plasma may be between about 0.3-600MHz (e.g., 13.56MHz, 60MHz, 27MHz, 2MHz, 40MHz, 100MHz, 400kHz, or a combination thereof). The RF bias power may be between about 0-1000W using pulsed plasma or continuous wave plasma. The process chamber may be an Inductively Coupled Plasma (ICP) chamber or a Capacitively Coupled Plasma (CCP) chamber. In some embodiments of the ICP chamber, the frequency of both the top ICP generator and the bias voltage generator are 13.5MHz. Depending on the underlying layer, in some embodiments, the pressure may be about 10-400mTorr, and the Transformer Coupled Plasma (TCP) power may be about 200-500W.
The RF frequency used to generate the plasma may be between about 0.3-600MHz (e.g., 13.56MHz, 60MHz, 27MHz, 2MHz, 400kHz, or a combination thereof). The RF bias power can be between about 10-1000W, with a pulsed plasma of duty cycle between 1-100% (e.g., 1-99%), where 100% represents CW. In some cases, the pulse duration of the plasma is between about 0.02-5 milliseconds. The RF bias power may be pulsed at a frequency below 5000Hz, such as a frequency of about 5-2000Hz (e.g., between about 5-100 Hz). The TCCT parameter may be from 0.1 to 1.5. In some non-limiting processes, the plasma exposure may include a High Frequency (HF) RF component (e.g., typically between about 1-100MHz, such as about 13.56 MHz) and a Low Frequency (LF) RF component (e.g., less than about 1MHz or typically about 100kHz-2MHz, such as about 100 kHz). Such HF and LF RF components can be provided under any useful plasma conditions, such as any useful power range (e.g., from 100-1000W or 100-5000W) or frequency range (e.g., from 50kHz to 100 MHz).
In a particular embodiment, the deposition involves a PECVD process. The process may include Transformer Coupled Plasma (TCP), inductively Coupled Plasma (ICP), or Capacitively Coupled Plasma (CCP). In a particular embodiment, the TCP or ICP power is about 100-1000W and no bias. In certain embodiments, the generation of plasma (e.g., TCP or ICP) can be controlled by power in Continuous Wave (CW) mode.
In various embodiments, no bias is applied to the pedestal during deposition or etching of the Mo-containing layer. However, in some embodiments, an RF bias is used. An RF bias may be used in some embodiments. Various types of RF bias may be used; for example, the RF bias can be generated at a frequency of 13.56MHz or lower, including but not limited to 400MHz, 2MHz, and 1 MHz.
In some cases a high bias may be employed. For example, the etch may be performed using a high bias in pulses to effectively remove the Mo-containing layer. An example of a high bias is a bias with a power of at least about 1000V applied to the pedestal during etching. The use of a bias depends on the chemistry and whether directional etching is used in the application in which certain disclosed embodiments are used. If a bias is applied, the power applied to the bias may be between about 10-3000V, for example about 10V. It should be understood that the terms "bias power" and "bias voltage" are used interchangeably herein to describe setting the voltage of the pedestal when a bias is applied to the pedestal. The bias power or bias voltage as described herein measures the power applied to the pedestal in watts.
The bias may be applied using an applied pulsed bias (e.g., power of about 10-1000W) or an applied continuous wave bias (e.g., power of about 10-500W). In further embodiments, the deposition (e.g., using TCP or ICP power in CW mode) may include an applied bias (regardless of frequency) that is pulsed (e.g., in the range of about 1Hz to about 10kHz, such as 10-2000 Hz) with a duty cycle between 1-99%. Additional pulse frequencies and duty cycles are described herein. In some embodiments, an applied pulsed bias may be provided to control ion energy. Non-limiting applied pulsed bias power may be about 10-1000W, as well as other ranges described herein.
For example, the pulsed plasma may be pulsed between a low bias and a high bias, or between a bias of the ON state and a bias of the OFF state (0V) state. Pulsing between low bias and high bias involves pulsing between low bias of between about 100-300V and high bias of between about 1000-2500V.
Pulsing may be performed using a Duty Cycle (DC) between about 3% to about 40%, or about 3% to about 99%, or 100% (continuous bias). Duty cycle refers to the duration of time that a pulse is on within one period. It will be appreciated that the bias pulse may involve a repetition of periods, each of which may be of duration T. The duration T includes the duration of the pulse ON (ON) time (the duration that the bias is in the ON state) and the OFF (OFF) time of the duration of the bias within a given period (the duration that the bias is in the OFF state). The pulse frequency will be understood as 1/T. For example, for a bias pulse period T =100 μ s, the frequency is 1/T =1/100 μ s, i.e. 10kHz. The duty cycle or duty cycle is the fraction or percentage of the period T over which the bias is on, and thus the duty cycle or duty cycle is the pulse on time divided by T. For example, for a bias pulse period T =100 μ s, if the pulse on time is 70 μ s (so that the duration of the bias in the on state within one period is 70 μ s) and the pulse off time is 30 μ s (so that the duration of the bias in the off state within one period is 30 μ s), the duty cycle is 70%.
Pulsed or continuous biasing may be employed to fine tune the properties of the membrane. In one embodiment, pulsed biasing can provide a higher density film than a low density film prepared with a bias power of 0W. Such higher density films may provide increased etch resistance in some cases compared to low density films. In other cases, such higher density films may provide reduced undercutting compared to low density films prepared with a bias power of 0W.
In other embodiments, the deposition may include an applied CW bias. CW biasing may also be used to control ion energy. In some embodiments, the applied CW bias power can be 10-1000W (e.g., 10-500W, 10-400W, and other ranges described herein).
Other non-limiting process conditions include a pressure of >1 millitorr (mTorr) (e.g., about 5-1000 mTorr or 1-10 torr), a power level <5000W (e.g., about 10-3000W), or a temperature <200 ℃ (e.g., about 0-100 ℃) or >200 ℃ (e.g., about 200-700 ℃). The plasma may be generated at a power of between about 10-3000W with an RF source operating at 0.3-600 MHz.
Other processing conditions may include those that provide useful Mo-containing layers. The substrate temperature for deposition may be in the range of, for example, about 20-700 deg.C (e.g., from 300-650 deg.C, from 250-550 deg.C, or from 150-350 deg.C). In some embodiments, lower temperatures may be used. Such temperatures may be below 500 ℃, below 550 ℃, below 450 ℃, below 400 ℃ or below 350 ℃. Low temperatures may be used to improve step coverage. In addition, the low temperature may increase the impurity content in the deposited layer, increasing the amorphous character, which may in turn increase the grain size of the subsequently deposited layer. In various embodiments, it may be advantageous to deposit the layer at low temperatures. The chamber pressure can be between about 0.2-90 torr, or between about 5-50 torr, or between about 20-40 torr, or about 30 torr.
Other non-limiting deposition conditions include controlling precursor flow rates, gas flow rates, process pressure, temperature (e.g., electrostatic chuck (ESC) temperature), plasma (e.g., TCP) power, bias power, and Duty Cycle (DC) within the process chamber. The flow rate of the precursor can be between about 1-2500 standard cubic centimeters per minute (sccm) (e.g., between about 20-5000sccm, 100-5000sccm, 20-1000sccm, or 100-1000 sccm). The flow rate of the carrier gas can be between about 0-20000sccm (e.g., 0-15000sccm, 1000-2000sccm, or 1000-15000 sccm). The chamber pressure can be between about 5-1000 mTorr (e.g., 5-800 mTorr, 10-500 mTorr, 10-400mTorr, 30-500 mTorr, 10-1000 mTorr, or 30-1000 mTorr) or between about 0.2-20 Torr. The ESC temperature may be between about 20-700 ℃; and the process chamber may be between 200-650 c.
After deposition, the Mo-containing layer may optionally be annealed. Annealing may be performed by increasing the temperature. For example, if the reaction is carried out at a relatively low temperature, for example at a temperature below about 450 ℃, for example below about 400 ℃, the temperature may be increased during annealing, for example from 50 to 200 ℃. In some embodiments, the annealing is performed at a temperature between about 300-500 ℃.
Pattern formation and transfer process
Within the semiconductor stack, patterning may be achieved by using a mask. In one case, a resist mask is employed using a resist layer, which may be fabricated using a photolithographic process to optically implant a pattern into the photoresist, and then developing the photoresist to remove portions, thereby creating openings in the resist. Non-limiting resists may include positive photoresists, negative photoresists, ultraviolet (UV) photoresists, i-line photoresists, electron beam (e-beam) photoresists, and the like. Non-limiting materials for patterning the Mo-containing layer can include, for example, silicon dioxide, amorphous carbon, silicon-containing precursors, carbon-containing precursors, and materials that include or are formed from any of these.
The resist mask may be formed by depositing a layer of resist material to a desired thickness on the surface (e.g., by spin coating). The pattern may be introduced into the resist material by exposing the resist to patterning radiation (e.g. UV radiation) and then developing the resist material. After development, a pattern having openings is formed in the resist layer to form a resist mask.
In turn, a resist mask may be used to provide a defined pattern within the Mo-containing layer. In some cases, the Mo-containing layer may be used as a hard mask. The hard mask may optionally include feature openings having a width between about 16-120 nm. In use, the defined pattern within the Mo-containing layer may be transferred to a material layer arranged below the Mo-containing layer.
The transferring of the pattern may include: a mask having openings is provided and then the underlying material layer is etched by using the mask. The etching may include aligning a mask over the material layer, wherein an opening in the mask defines an exposed portion of the material layer. Next, etching may include exposing open areas of the material layer to an etching chemistry, which results in removal of the material layer through the open areas. The etching may include a wet process or a dry process and combinations thereof.
Non-limiting etchant chemistries may include the use of etchant gases with or without the presence of a plasma. The etchant gas may include a fluorine-containing gas (e.g., a fluorocarbon gas or a perfluorocarbon gas), an oxygen-containing gas, a nitrogen-containing gas, a chlorine-containing gas, a carbon-containing gas, a halogen-containing gas, and mixtures thereof. The etchant gas optionally includes an inert gas such as helium (He), neon (Ne), argon (Ar), krypton (Kr), xenon (Xe), or the like.
Non-limiting etchant gases are as follows. The fluorine-containing gas may be tetrafluoromethane (CF) 4 ) Perfluoroethane (C) 2 F 6 ) Hexafluorocyclopropane or hexafluoropropene (C) 3 F 6 ) Perfluoropropane (C) 3 F 8 ) Hexafluoro-1, 3-butadiene or hexafluorocyclobutene (C) 4 F 6 ) Perfluoroisobutylene or octafluorocyclobutane (C) 4 F 8 ) Octafluorocyclopentene (C) 5 F 8 ) Decafluorocyclopentane or perfluoropent-1-ene (C) 5 F 10 ) Or 1,2,3, 4,5, 6-octafluorocyclohexa-1, 4-diene or 3- (trifluorovinyl) pentafluorocyclobutene (C) 6 F 8 ) Or a combination thereof. The oxygen-containing gas may comprise oxygen (O) 2 ) Carbon dioxide (CO) 2 ) Carbon monoxide (CO), dinitrogen monoxide (N) 2 O), nitrogen dioxide (NO) 2 ) Ozone (O) 3 ) Water (H) 2 O), and the like. The nitrogen-containing gas may include nitrogen (N) 2 ) Ammonia (NH) 3 )、N 2 O、NO 2 And the like. The chlorine-containing gas may include hydrogen chloride (HCl), chlorine (Cl) 2 ) Tetrachloromethane (CCl) 4 ) Trichloromethane (CHCl) 3 ) Dichloromethane (CH) 2 Cl 2 ) Chloromethane (CH) 3 Cl), and the like. The carbon-containing gas can be a hydrocarbon or any carbon-containing precursor herein, and methane (CH) 4 ) Ethane (C) 2 H 6 ) Ethylene (C) 2 H 4 ) And the like. The halogen-containing gas may be nitrogen trifluoride (NF) 3 ) Boron trifluoride (BF) 3 ) Sulfur hexafluoride (SF) 6 ) Chlorine (Cl) 2 ) Bromine gas (Br) 2 ) Hydrogen chloride (HCl), tetrafluoromethane (CF) 4 ) And the like.
After transferring the defined pattern to the material layer, the Mo-containing layer may optionally be peeled off. The method of stripping the Mo-containing layer may include any of the etchant chemistries described herein. Stripping chemistries may include the use of hydrogen peroxide, sulfuric acid, and combinations of oxygen-containing and halogen-containing gases.
In any of the processes herein, a purging operation may be employed between the deposition, pattern formation, and pattern transfer processes. The purging operation may include using a purge gas (e.g., any inert gas herein) to remove gases or byproducts from the process chamber. Each sweep may be performed for a duration of between about 0.25-30 seconds.
Molybdenum-containing precursors
In some cases, the use of dopants may improve etch resistance. Accordingly, the processes, layers, and films herein can include using Mo-containing precursors to provide Mo-containing layers. Any of the process schemes herein can be modified to incorporate Mo into the Mo-containing layer. For example, deposition may include using a Mo-containing precursor alone with another deposition precursor (e.g., a C-containing precursor, a Si-containing precursor, a B-containing precursor) within the process ranges described herein (e.g., any flow rate, pressure, temperature, plasma power, bias power, pulse frequency, duty cycle, TCCT, etc., as described herein).
Non-limiting Mo-containing precursors can include organo-molybdenum compounds (e.g., having one or more organic ligands), molybdenum halide compounds (e.g., having one or more halogens), molybdenum oxyhalide compounds (e.g., having an oxide and a halogen), inorganic molybdenum compounds, and the like.
In one embodiment, the Mo-containing precursor comprises a structure having formula (I):
MoL n (I),
wherein each L is independently selected from hydrogen (H), halogen, oxide (= O), imino (= NR) 1 ) Carbonyl (CO), amine (NR) 1 R 2 ) An organic ligand, a monodentate ligand, or a bidentate ligand; n is selected from 2 to 6.R 1 And R 2 Each of which can be any functional group described herein, e.g., aliphatic, haloaliphatic, haloheteroaliphatic, heteroaliphatic, aromatic, aliphatic-aromatic, heteroaliphatic-aromatic, or any combination thereof, as defined herein. Each L may be any ligand described herein.
In some embodiments, one or more L may be aliphatic, haloaliphatic, haloheteroaliphatic, heteroaliphatic, aromatic, aliphatic-aromatic, heteroaliphatic-aromatic, or any combination thereof, as defined herein. In other embodiments, one or more L may be alkyl, alkenyl, alkynyl, heteroalkyl, heteroalkenyl, heteroalkynyl, haloalkyl, haloalkenyl, haloalkynyl, haloheteroalkyl, haloheteroalkenyl, haloheteroalkynyl, aryl, heteroaryl, alkyl-aryl, alkenyl-aryl, alkynyl-aryl, alkyl-heteroaryl, alkenyl-heteroaryl, alkynyl-heteroaryl, heteroalkyl-aryl, heteroalkenyl-aryl, heteroalkynyl-aryl, heteroalkyl-heteroaryl, heteroalkenyl-heteroaryl, heteroalkynyl-heteroaryl, or any combination thereof. In specifically disclosed embodiments, L may be further substituted with one or more substituents such as alkoxy, amide, amine, thioether, hydroxyl, thiol, acyloxy, silyl, cycloaliphatic, aryl, aldehyde, ketone, ester, carboxylic acid, acyl halide, cyano, halogen, sulfonate, nitro, nitroso, quaternary amine, pyridyl (or pyridyl in which the nitrogen atom is functionalized with an aliphatic or aryl group), alkyl halide, or any combination thereof.
In another embodiment, the Mo-containing precursor comprises a structure having formula (II):
Mo(X) m (L) n (II),
wherein each X is independently halogen (e.g., F, cl, br, and I), oxide (= O), imino (= NR) 1 ) Or a Carbonyl (CO); each L is an organic ligand (e.g., any of the ligands described herein); m is selected from 0 to 6; n is selected from 0-6, wherein at least one of m and n is not zero. In particular embodiments, L further comprises an element selected from the group consisting of N, O, and S.
In some embodiments, the organic ligand is independently selected from the group consisting of aliphatic, haloaliphatic, haloheteroaliphatic, heteroaliphatic, aromatic, aliphatic-aromatic, heteroaliphatic-aromatic, esters, amines (amines), amidinates (amidinates), amidinates (amidates), iminopyrrolidinates (iminopyrrolidinates), diazabetadienes (diazaborates), β -iminoamides (β -iminoalkoxides), β -diketonates (β -diketonates), β -ketinates (β -diketonates), β -diketonates (β -diketonates), pyrazolates (pyrazolates), β -aminoalcohols (β -aminoalkoxides), guanidinates (guanidinates), amides (amides), imides (imides), thioethers (thioethers), thiolesters (thiolenes), dithiolenes (α -aminolates), and esters of each of which may be substituted, wherein the dithiolenes, α -dithiolenes, and esters of the dithiolenes may be substituted.
In another embodiment, the Mo-containing precursor comprises a structure having formula (III):
Mo 2 L n (III),
wherein each L is a bidentate ligand; n is selected from 2 to 5. In particular embodiments, the Mo-containing precursor includes multiple Mo — Mo bonds. The bidentate ligand may be any ligand described herein, for example, an amidinate, amido or guanidinate ligand.
In yet another embodiment, the Mo-containing precursor includes a structure having formula (IV):
Mo(L1) m (L2) n (X) p (IV),
wherein each L 1 Independently is a bidentate ligand; each L 2 Are all independent neutral ligands; each X is independently an anionic ligand; m is selected from 1 to 3; n is selected from 0 to 4; p is selected from 0-4. In some embodiments, n and p are not both zero. Examples of bidentate, neutral and anionic ligands are described herein.
Non-limiting bidentate ligands include amidine esters, amic acid salts, iminopyrrolidines, diazobutadienes, beta-iminoamides, alpha-iminoalkoxides, beta-diketoiminates (beta-diketoiminates), beta-ketoiminates, beta-diketonates, pyrazolates, beta-aminoalcohols, guanidinates, dithiolenes, alpha-iminothiolenes, alpha-dithiols and beta-dithiols. Bidentate ligands may be neutral or anionic. Other bidentate ligands may include NR 1 -Ak-NR 2 ,NR 1 -Ak-O,NR 1 -Ak-S, S-Ak-O, O-Ak-O, and S-Ak-S, wherein Ak is optionally substituted alkylene or optionally substituted heteroalkylene. The Ak group can be unsubstituted or substituted, for example, with alkyl, amine, hydroxy, halo, aminoalkyl, hydroxyalkyl, haloalkyl, or other alkyl groups described herein. The Ak group can be saturated or unsaturated (e.g., having one or more double or triple bonds).
The ligands can be neutral or anionic (e.g., monoanionic or dianionic), and the molybdenum can be in various oxidation states, e.g., +1, +2, +3, +4, +5, and +6. In some embodiments, the ligand may be a neutral ligand. Non-limiting neutral ligands may include CO, amines, phosphines, nitriles, isonitriles, and thioethers. In other embodiments, the ligand may be an anionic ligand. Non-limiting anionic ligands include halides, alkyls, allyls, cyclopentadienyls, alkoxides, amides, and imides.
Other examples include heteroleptic molybdenum halide compounds (i.e., compounds having different types of ligands). Specific examples of such precursors are those comprising molybdenum, at least one halide that forms a bond with molybdenum, and at least one organic ligand (e.g., where the organic ligand has any of the elements N, O, and S, where an atom of any of these elements forms a bond with molybdenum). In one embodiment, the Mo-containing precursor includes a structure having formula (V):
Mo(X) m (L) n (V),
wherein each X is independently halogen; each L is an organic ligand; m is selected from 1 to 6; n is selected from 1-4.
In particular embodiments, L comprises an element selected from the group consisting of N, O, and S.
In any of the embodiments herein, the ligand is an organic ligand having any of the elements N, O, and S, wherein atoms of any of these elements form bonds with molybdenum. In any of the embodiments herein, the ligand may be amines (amines), amidinates (amidinates), imidopyrrolidinates (iminopyrrolidinates), diazabenzenes (diazambutadienes), beta-imidoamides (beta-imino amides), alpha-imidoalkoxides (alpha-imino alkoxides), beta-diketoiminates (beta-diketotiminates), beta-ketoiminates (beta-ketoiminates), beta-diketonates (beta-diketonates), pyrazolates (pyrazolates), beta-aminoalkoxylates (beta-aminoakohxides), guanidinates (guanidinates), amides (amides), and imides (imides). Non-limiting nitrogen-and oxygen-containing ligands include those in scheme I.
Scheme I
Figure BDA0004005481220000401
In some embodiments, the ligands may be thioethers (thioethers), thiolates (thiolates), dithiolenes (dithiolenes), α -iminothiolanes (α -iminothiolanes), α -dithiolesters (α -dithiolates), and β -dithiolesters (β -thiolates). Thioethers may include both monodentate and multidentate (e.g., bidentate or tridentate) thioethers, as well as ligands that contain both a thioether and a thiolate (or other) moiety. An example of a monodentate thioether is dioxaneSulfide SR 1 R 2 Wherein R is 1 And R 2 Each of which is independently an alkyl group such as dimethyl sulfide, diethyl sulfide, diisobutyl sulfide, and the like. An example of a multidentate thioether ligand further comprising a thiolate moiety is (SCH) 2 CH 2 SCH 2 CH 2 S) 2- . Other non-limiting S-containing ligands include those in scheme II.
Scheme II
Figure BDA0004005481220000411
In scheme I and scheme II, R 1 、R 2 And R 3 Each of which is independently hydrogen (H), aliphatic, haloaliphatic, haloheteroaliphatic, heteroaliphatic, aromatic, aliphatic-aromatic, heteroaliphatic-aromatic, or any combination thereof. In other embodiments, R 1 、R 2 And R 3 Each of which is independently an alkyl, alkenyl, alkynyl, heteroalkyl, heteroalkenyl, heteroalkynyl, haloalkyl, haloalkenyl, haloalkynyl, haloheteroalkyl, haloheteroalkenyl, haloheteroalkynyl, aryl, heteroaryl, alkyl-aryl, alkenyl-aryl, alkynyl-aryl, alkyl-heteroaryl, alkenyl-heteroaryl, alkynyl-heteroaryl, heteroalkyl-aryl, heteroalkenyl-aryl, heteroalkynyl-aryl, heteroalkyl-heteroaryl, heteroalkenyl-heteroaryl, heteroalkynyl-heteroaryl, or any combination thereof. In a particularly disclosed embodiment, R 1 、R 2 And R 3 Each of which may be further substituted with one or more substituents such as alkoxy, amide, amine, thioether, hydroxyl, thiol, acyloxy, silyl, cycloaliphatic, aryl, aldehyde, ketone, ester, carboxylic acid, acyl halide, cyano, halo, sulfonate, nitro, nitroso, quaternary amine, pyridyl (or pyridyl wherein the nitrogen atom is functionalized with an aliphatic or aryl group), alkyl halide, or any combination thereof.
In other embodiments of the ligand of scheme I or scheme II, R 1 、R 2 And R 3 Each of which is independently H, alkyl, amine, haloalkyl,Alkylsilyl, alkylamino, and alkoxy. In some embodiments, R 1 、R 2 And R 3 Each of which is independently H, methyl, ethyl, n-propyl, isopropyl, isobutyl, n-butyl, sec-butyl, tert-butyl, pentyl, hexyl, cyclopropyl, cyclobutyl, cyclopentyl, cyclohexyl, cyclopropylmethyl, cyclopropylethyl, cyclopropylpropyl, cyclobutylmethyl and cyclobutylethyl. In other embodiments, R 1 、R 2 And R 3 Each of which is independently an optionally substituted alkyl group. In other embodiments, ligands with branched alkyl substituents (e.g., C) are used 3-6 Branched alkyl groups such as isopropyl and isobutyl) because such ligands can provide more volatile molybdenum precursors.
Any ligand herein may be substituted or unsubstituted. In some embodiments, the ligands comprise one or more substituents independently selected from H, alkyl, halo, hydroxy, amine, haloalkyl, alkylsilyl, alkylamino, and alkoxy substituents.
In particular embodiments, the organo-molybdenum compound may include molybdenum carbonyl (Mo [ CO ]] 6 ) Molybdenum acetate (Mo) 2 [O 2 CCH 3 ] 4 ) Pentamethylmolybdenum (MoMe) 5 ) Molybdenum dihydride (MoCp) 2 H 2 Wherein Cp is cyclopentadienyl group, bis (cyclopentadienyl) molybdenum dichloride (MoCp) 2 Cl 2 Or C 10 H 10 Cl 2 Mo), cyclopentadienyl molybdenum (II) tricarbonyl dimer (Cp) 2 Mo 2 [CO] 6 Or C 16 H 10 Mo 2 O 6 ) Bis (ethylbenzene) molybdenum ([ (Et) x C 6 H 6-x ] 2 Mo, wherein x is 0, 1,2,3 or 4), cycloheptatriene tricarbonyl molybdenum (C) 7 H 8 Mo[CO] 3 ) Molybdenum bis (2, 6-tetramethyl-3, 5-heptanedioic acid) (Mo [ thd)] 3 Wherein thd is 2, 6-tetramethylheptane-3, 5-dione, molybdenum (VI) dioxide bis (2, 6-tetramethyl-3, 5-heptanedioic acid) (MoO) 2 [thd] 2 ) Molybdenum (VI) dioxide bis (acetylacetonate) (MoO) 2 [acac] 2 Wherein ac isac is acetylacetonate), (bicyclo [2.2.1 ]]Hepta-2, 5-diene molybdenum tetracarbonyl ([ nbd)]Mo[CO] 4 ) And (mesitylene) molybdenum tricarbonyl ([ Me) 3 C 6 H 3 ]Mo[CO] 3 ) (trimethylsilylcyclopentadienyl) molybdenum dicarbonyl 2-methylallyl ([ CpSiMe) 3 ]Mo[CO] 23 -2-methylallyl]) And the like.
The organomolybdenum compound may include an amine group. Such compounds may include bis (tert-butylimino) bis (dimethylamino) molybdenum (VI) ([ tBuN)] 2 Mo[NMe 2 ] 2 ) Bis (tert-butylimino) bis (N, N-di (isopropyl) acetamidinyl) molybdenum (VI) ([ tBuN [)] 2 Mo[iPr 2 AMD] 2 Wherein AMD is acetamido), bis (N, N-bis (cyclohexyl) acetamidinyl) molybdenum dioxide (MoO) 2 [(CyN) 2 CMe] 2 Wherein Cy is cyclohexyl), bis (N, N-di (N-propyl) acetamidinyl) molybdenum dioxide (MoO) 2 [iPr 2 AMD] 2 Or MoO 2 [(iPrN) 2 CMe] 2 ) Bis (N, N-di (tert-butyl) acetamidinyl) molybdenum dioxide (MoO) 2 [tBu 2 AMD] 2 Or MoO 2 [(tBuN) 2 CMe] 2 )。
The molybdenum halide compound may be molybdenum fluoride (e.g., moF) 6 Or MoF 5 Or MoF 4 ) Molybdenum chloride (e.g., [ MoCl ] 5 ] 2 Or MoCl 5 Or MoCl 4 Or MoCl 3 Or MoCl 2 ) Molybdenum bromide (e.g., moBr) 4 Or MoBr 3 Or MoBr 2 ) Or molybdenum iodide (e.g., moI) 3 )。
In some embodiments, the molybdenum halide is a molybdenum oxyhalide compound, such as molybdenum dichloride dioxide (e.g., moO) 2 Cl 2 ) Molybdenum dibromide dioxide (e.g., moO) 2 Br 2 ) Molybdenum diiodide dioxide (e.g., moO) 2 I 2 ) Molybdenum tetrachloride (MoOCl) 4 ) Molybdenum oxy-tetrafluoride (MoOF) 4 ) Molybdenum oxyiodide (e.g., mo 4O) 11 I) And the like.
Still other Mo-containing precursors may include molybdenum oxide (MoO) 3 ) Ammonium molybdate (MoO) 4 [NH 4 ] 2 ) And so on. In some embodiments, the Mo-containing precursors provided herein have an evaporation temperature of 200 ℃ or less.
Carbon-containing precursor
In combination with the Mo-containing precursor, one or more C-containing precursors can be used to provide the molybdenum carbide-containing material. Such materials may include ternary or quaternary materials such as molybdenum silicon carbide, molybdenum boron carbide, and the like. Non-limiting C-containing precursors can include hydrocarbon compounds, halogenated carbon compounds, and the like. In some cases, the C-containing precursor is reacted with a reducing agent (e.g., H) 2 ) Inert gases, or combinations thereof.
The hydrocarbon precursor generally includes a carbon-containing precursor. In some cases, the hydrocarbon precursor includes only C and H atoms. The hydrocarbon may be C x H y Wherein x is an integer of 1 to 10 and y is an integer of 2 to 24. Other non-limiting hydrocarbons may include methane (CH) 4 ) Acetylene (C) 2 H 2 ) Ethylene (C) 2 H 4 ) Propylene (C) 3 H 6 ) Propyne (C) 3 H 4 ) Allene (C) 3 H 4 ) Cyclopropene (C) 3 H 4 ) Butane (C) 4 H 10) Cyclohexane (C) 6 H 12 ) Benzene (C) 6 H 6 ) Toluene (C) 7 H 8 ) And the like. Still other hydrocarbons include alkenes, alkynes, aromatics, and cyclic hydrocarbons.
The hydrocarbon precursor may be an aliphatic compound (e.g., C) 1-10 Alkane, C 2-10 Olefin, C 2-10 Alkynes, including linear or cyclic forms thereof), alicyclic compounds (e.g. C 3-12 Cycloalkanes, C 3-12 Cyclo-olefins or C 3-12 Cycloalkynes) or aromatics (e.g., benzene, toluene, naphthalene, phenanthrene, and other polycyclic forms thereof). The hydrocarbon precursor may comprise a saturated bond (single bond, such as a C-C bond or a C-H bond), an unsaturated bond (double or triple bond, such as a C = C, C ≡ C or C ≡ N bond), or a combination thereof. The aliphatic, cyclic, or aromatic compounds may be substituted with one or more functional groups, such as halo, alkyl, alkenyl, alkynyl, alkoxy, cyano, hydroxy, and the like.
In the hydrocarbon precursor, various compounds can be used. Example (b)For example, hydrocarbon precursors can include aliphatic and aromatic compounds (e.g., alkanes, alkenes, alkynes, benzene, etc.), including substituted forms thereof. The type and number of certain chemical bonds within the Mo-containing layer may be varied by using different hydrocarbon precursors. For example, use of unsaturated hydrocarbon precursors can provide for compositions having increased unsaturated bond content (e.g., increased C = C or C ≡ C bond content), increased sp 2 Carbon content, increased sp carbon content, decreased saturation bond content (e.g., decreased C-C bond content), decreased sp 3 Carbon content or reduced C-H bond content (e.g., as compared to a film formed with an increased amount of saturated hydrocarbon precursor or a reduced amount of unsaturated hydrocarbon precursor).
The choice of hydrocarbon precursor may depend on various factors. In one non-limiting example, the hydrocarbon precursor includes a saturated precursor (e.g., having an increased C-H bond content as compared to a C-C, C = C, or C ≡ C content) that may provide sufficient H atoms. However, in other non-limiting cases, the hydrocarbon precursor includes an unsaturated precursor (e.g., having an increased C-C, C = C, or C ≡ C content as compared to the C-H bond content). Without wishing to be bound by mechanism, the selection of such precursors may provide enhanced etch resistance.
Non-limiting halogenated carbon compounds may include halogenated alkanes, halogenated alkenes, or halogenated aromatic compounds. In other embodiments, the halocarbon compound is carbon tetrabromide (CBr 4) or carbon tetrachloride (CCl) 4 )。
In some embodiments, the hydrocarbon compound may be fluorine-, halogen-, oxygen-, silicon-, hydroxyl-, and boron-containing derivatives of the hydrocarbon compound.
In another embodiment, the hydrocarbon may be a cyclic hydrocarbon (e.g., methylcyclohexane); substituted aromatic hydrocarbons (e.g., halogen-substituted benzene, amine-substituted benzene, C 2-8 Alkyl-substituted benzenes or halogen-and alkyl-substituted benzenes such as cumene, aniline, N-dimethylaniline, etc.); and halocarbons (e.g., C with one or more halogens) 2-12 Alkyl groups). In some examples, the hydrocarbon is unsubstituted benzene or C 1 Alkyl-substituted benzenes (e.g. toluene, o-xylene, m-xylene, p-xylene)Benzene). In other examples, the hydrocarbon is C substituted with halogen 1 Hydrocarbons (e.g. chloroform, dichloromethane). In still other examples, the hydrocarbon is acetonitrile.
In some embodiments, the hydrocarbon is an unsaturated hydrocarbon having one or more double or triple bonds. In other embodiments, the hydrocarbon is an unsaturated cyclic hydrocarbon (e.g., cyclopentene, cyclohexene, cycloheptene, fluorene, etc.). In particular embodiments, the hydrocarbon is an alkene having one or more double bonds or an alkyne having one or more triple bonds, wherein the alkene or alkyne can be linear or cyclic. Exemplary olefins include ethylene, propylene, 1-butene, 1-pentene, 1-hexene, 1-heptene, 1-octene, and 1-nonene, as well as dienes and positional isomers of any of these, if available, with the position of the double bond being changed (e.g., the positional isomer of 1-butene can be 2-butene, etc.). Exemplary alkynes include acetylene, propyne, 1-butyne, 1-pentyne, 1-hexyne, 1-heptyne, 1-octyne, and 1-nonyne, as well as positional isomers, if applicable, in which the position of the triple bond is changed (e.g., positional isomer of 1-butyne can be 2-butyne, etc.).
Silicon-containing precursors
In addition, one or more Si-containing precursors can be used to provide the molybdenum silicide-containing material. Such materials may include ternary or quaternary materials such as molybdenum carbide silicide, molybdenum boride silicide, and the like. Non-limiting Si-containing precursors can include silane compounds, organosilane compounds, alkylsilane compounds, alkoxysilane compounds, silanol compounds, siloxane compounds, aminosilane compounds, cycloazasilane compounds, halosilane compounds, inorganic silane compounds, and the like. In particular embodiments, si-containing precursors may be used as reducing agents. In some cases, the silicon-containing precursor is used with a reducing agent, an inert gas, or a combination thereof.
Typical Si-containing precursors may have the general formula SiR 1 R 2 R 3 R 4 Wherein R is 1 、R 2 、R 3 And R 4 Each of which is independently hydrogen (H), hydroxy, halo, amine, aminoalkyl, alkoxy, aliphatic, haloaliphatic, haloheteroaliphatic,heteroaliphatic, aromatic, heteroaromatic, aliphatic-aromatic, heteroaliphatic-aromatic, or any combination thereof.
In certain embodiments, R 1 、R 2 、R 3 And R 4 Each of which is independently alkyl, alkenyl, alkynyl, heteroalkyl, heteroalkenyl, heteroalkynyl, haloalkyl, haloalkenyl, haloalkynyl, haloheteroalkyl, haloheteroalkenyl, haloheteroalkynyl, aryl, heteroaryl, alkyl-aryl, alkenyl-aryl, alkynyl-aryl, alkyl-heteroaryl, alkenyl-heteroaryl, alkynyl-heteroaryl, heteroalkyl-aryl, heteroalkenyl-aryl, heteroalkynyl-aryl, heteroalkyl-heteroaryl, heteroalkenyl-heteroaryl, heteroalkynyl-heteroaryl, or any combination thereof. In particularly disclosed embodiments, R 1 、R 2 、R 3 And R 4 Each of which may be further substituted with one or more substituents such as alkoxy, amide, amine, thioether, hydroxyl, thiol, acyloxy, silyl, cycloaliphatic, aryl, aldehyde, ketone, ester, carboxylic acid, acyl halide, cyano, halogen, sulfonate, nitro, nitroso, quaternary amine, pyridyl (or pyridyl wherein the nitrogen atom is functionalized with an aliphatic or aryl group), alkyl halide, or any combination thereof.
In one instance, non-limiting Si-containing precursors include polysilanes (H) 3 Si-(SiH 2 ) n- -SiH 3 ) Wherein n is more than or equal to 0. An example of a silane is Silane (SiH) 4 ) Disilane (Si) 2 H 6 ) Trisilane (Si) 3 H 8 ) Tetra-silane (Si) 4 H 10 )。
The organosilane may have the general formula SiR 1 R 2 R 3 R 4 Wherein R is 1 、R 2 、R 3 And R 4 Each of which is independently hydrogen (H), hydroxyl, halogen, amine, aminoalkyl, alkoxy, ester, aliphatic, haloaliphatic, haloheteroaliphatic, heteroaliphatic, aromatic, heteroaromatic, aliphatic-aromatic, heteroaliphatic-aromatic, or any combination thereof; r is 1 、R 2 、R 3 And R 4 Is an organic ligand. Non-limiting organic ligands may include aliphatic, haloaliphatic, halogenHeteroaliphatic, aromatic, heteroaromatic, aliphatic-aromatic, heteroaliphatic-aromatic, or any combination thereof. In other embodiments, the organic ligand comprises an alkyl, alkenyl, alkynyl, heteroalkyl, heteroalkenyl, heteroalkynyl, haloalkyl, haloalkenyl, haloalkynyl, haloheteroalkyl, haloheteroalkenyl, haloheteroalkynyl, aryl, heteroaryl, alkyl-aryl, alkenyl-aryl, alkynyl-aryl, alkyl-heteroaryl, alkenyl-heteroaryl, alkynyl-heteroaryl, heteroalkyl-aryl, heteroalkenyl-aryl, heteroalkynyl-aryl, heteroalkyl-heteroaryl, heteroalkenyl-heteroaryl or heteroalkynyl-heteroaryl.
Non-limiting organosilanes include methylsilane, ethylsilane, isopropylsilane, t-butylsilane, dimethylsilane (SiMe) 2 H 2 ) Trimethylsilane (SiMe) 3 H) Tetramethylsilane (SiMe) 4 ) Diethyl silane (SiEt) 2 H 2 ) Triethylsilane (SiEt) 3 H) Tetraethylsilane (SiEt 4), di-tert-butylsilane, tributylsilane (SiBu) 3 H) Allyl silane, tetraallyl silane (Si [ CH ] 2 CH=CH 2 ] 4 ) Sec-butylsilane, tert-hexylsilane, isopentylsilane, tert-butyldisilane, di-tert-butyldisilane, cyclopentadienyl trimethylsilane (SiCPME) 3 ) Hexamethyldisilane (Si) 2 Me 6 ) Silicon acetate (Si [ OAc ]] 4 Where Ac is acetyl), etc.
The alkoxysilane comprises at least one O atom bonded to a Si atom, but may also contain H, N, halogen or C atoms. A non-limiting alkoxysilane may have the formula R 1 OSiR 2 R 3 R 4 Wherein R is 1 Is optionally substituted aliphatic or optionally substituted alkyl; r 2 、R 3 And R 4 Each of which is independently hydrogen (H), hydroxyl, halo, amine, aminoalkyl, alkoxy, aliphatic, haloaliphatic, haloheteroaliphatic, heteroaliphatic, aromatic, heteroaromatic, aliphatic-aromatic, heteroaliphatic-aromatic, or any combination thereof.
Examples of alkoxysilanes are mono-, di-, tri-and tetra-alkoxysilanes (H), respectively 3 Si[OR]、H 2 Si[OR] 2 、HSi[OR] 3 And Si [ OR ]] 4 Wherein each R may independently be an optionally substituted alkyl or aryl group), and substituted mono-, di-, tri-and tetraalkoxysilanes, such as trimethoxymethylsilane (MeSi [ OMe ]] 3 ) (3-aminopropyl) trimethoxysilane (NH) 2 (CH 2 ) 3 Si[OMe] 3 ) (3-aminopropyl) triethoxysilane (NH) 2 (CH 2 ) 3 Si[OEt] 3 ) Triethoxyvinylsilane (CH) 2 =CHSi[OEt] 3 ) Triethoxyethylsilane (EtSi [ OEt ]] 3 ) Trimethoxyphenylsilane (PhSi [ OMe ]] 3 ) Isobutyl triethoxysilane (i-BuSi (OCH) 2 CH 3 ) 3 ) Diacetoxydimethylsilane (Me) 2 Si(OCOMe) 2 ) And the like. Other examples include trimethoxy silane (HSi [ OMe ]] 3 ) Tetramethoxysilane (Si [ OMe ]] 4 ) Triethoxysilane (HSi [ OEt ]] 3 ) Tetraethoxysilane (TEOS or Si [ OEt ]] 4 ) And tetrabutoxysilane (Si [ OBu ]] 4 )。
Non-limiting silanols can have the general formula HOSiR 2 R 3 R 4 Wherein R is 2 、R 3 And R 4 Each of which is independently hydrogen (H), hydroxyl, halogen, amine, aminoalkyl, alkoxy, aliphatic, haloaliphatic, haloheteroaliphatic, heteroaliphatic, aromatic, heteroaromatic, aliphatic-aromatic, heteroaliphatic-aromatic, or any combination thereof. Non-limiting silanol compounds include tri-tert-butoxysilanols ([ tBuO ]] 3 SiOH), tri-tert-pentoxysilanol ([ EtMe) 2 CO] 3 SiOH), and the like.
A non-limiting siloxane can have the formula R 1 R 2 R 3 Si-O-SiR 4 R 5 R 6 In which R is 1 、R 2 、R 3 、R 4 、R 5 And R 6 Each of which is independently hydrogen (H), hydroxyl, halogen, amine, aminoalkyl, alkoxy, aliphatic, haloaliphatic, haloheteroaliphatic, heteroaliphatic, aromatic, heteroaromatic, aliphatic-aromatic, heteroaliphatic-aromatic, or any combination thereof. Non-limiting siloxanesThe compound comprises hexachlorodisiloxane (Cl) 3 SiOSiCl 3 ) Hexamethyl siloxane (Me) 3 SiOSiMe 3 ) 1, 3-Tetramethyldisiloxane (HMe) 2 SiOSiMe 2 H) And the like.
The aminosilane includes at least one N atom bonded to a Si atom, but may also contain H, O, halogen, or C atoms. Non-limiting aminosilanes may have the general formula R 1 R 2 NSiR 3 R 4 R 5 Wherein R is 1 And R 2 Each of which is H, optionally substituted aliphatic, optionally substituted alkyl, optionally substituted aromatic or optionally substituted aryl; r is 3 、R 4 And R 5 Each of which is independently H, hydroxyl, halo, amine, aminoalkyl, alkoxy, aliphatic, haloaliphatic, haloheteroaliphatic, heteroaliphatic, aromatic, heteroaromatic, aliphatic-aromatic, heteroaliphatic-aromatic, or any combination thereof.
Examples of aminosilanes are mono-, di-, tri-and tetra-aminosilanes (each H) 3 Si[NH 2 ]、H 2 Si[NH 2 ] 2 、HSi[NH 2 ] 3 And Si [ NH ] 2 ] 4 ) And substituted mono-, di-, tri-and tetraaminosilanes, e.g. tert-butylaminosilane, methylaminosilane, di-sec-butylaminosilane (DSBAS or [ (s-Bu) 2 N]SiH 3 )、H 2 Si(NRR') 2 (wherein R is tBu or Et and R' is H or Et), bis (tert-butylamino) silane ([ H (tBu) N)] 2 SiH 2 Or BTBAS), bis (diethylamino) silane ([ Et) 2 N] 2 SiH 2 ) Bis (dimethylamino) dimethylsilane ([ Me ] 2 N] 2 SiMe 2 ) Hexakis (ethylamino) disilane ([ HEtN)] 6 Si 2 ) Tris (dimethylamino) silane ([ Me ] 2 N] 3 SiH), tetrakis (ethylmethylamino) silane ([ MeEtN)] 4 Si), 2,4, 6-hexamethylcyclotrisilazane (Me) 6 H 3 N 3 Si 3 ) Tert-butylsilylcarbamate, siHMe- (NMe) 2 ) 2 、SiHCl-(NMe 2 ) 2 、(SiMe 2 NH) 3 And the like. Another aspect of aminosilanesAn example is trisilylamine (N [ SiH ] 3 ])。
The halosilane includes at least one halogen group and may or may not include an H or C atom. Non-limiting halosilanes can have the general formula XSiR 1 R 2 R 3 Wherein X is halogen; r 1 、R 2 And R 3 Each of which is independently H, hydroxyl, halogen, amine, aminoalkyl, alkoxy, aliphatic, haloaliphatic, haloheteroaliphatic, heteroaliphatic, aromatic, heteroaromatic, aliphatic-aromatic, heteroaliphatic-aromatic, or any combination thereof.
Examples of halosilanes are iodosilane, bromosilane, chlorosilane, and fluorosilane. Specific chlorosilanes are tetrachlorosilane (SiCl) 4 ) Trichlorosilane (SiHCl) 3 ) Dichlorosilane, monochlorosilane, chloroallylsilane, chloromethylsilane, dichloromethylsilane, chlorodimethylsilane, chloroethylsilane, tert-butylchlorosilane, di-tert-butylchlorosilane, chloroisopropylsilane, chlorosec-butylsilane, tert-butyldimethylchlorosilane, hexyldimethylchlorosilane, hexachlorosilane (Si-dimethyl chlorosilane) 2 Cl 6 ) Benzyltrichlorosilane (SiBzCl) 3 ) And so on. Specific bromosilanes include tetrabromosilane (SiBr) 4 ) And the like. Specific iodosilanes include tetraiodosilane, triiodosilane, diiodosilane, monoiodosilane, trimethylsilyl iodide, and the like.
Boron-containing precursors
One or more boron-containing precursors may be used to provide the molybdenum boride-containing material. Such materials may include ternary or quaternary materials such as molybdenum boride carbide, molybdenum boride silicide, and the like. Non-limiting B-containing precursors can include organoborane compounds, halogenated boron compounds, borate compounds, inorganic boron compounds, and the like. In particular embodiments, the B-containing precursor may be used as a reducing agent.
Non-limiting organoboranes may have the general formula BR 1 R 2 R 3 Wherein R is 1 、R 2 And R 3 Each of which is independently H, hydroxyl, halogen, amine, aminoalkyl, alkylamino, alkoxy, ester, amino, aliphatic, haloaliphatic, haloheteroaliphatic, heteroaliphatic, aromatic, heteroaromatic, lipidAn aliphatic-aromatic, a heteroaliphatic-aromatic, or any combination thereof; r 1 、R 2 And R 3 Is an organic ligand (e.g., any of the ligands described herein). In particular embodiments, the organic ligand is an optionally substituted alkyl, an optionally substituted aliphatic, an optionally substituted aryl, or an optionally substituted aromatic.
The organoborane compound may be trimethylborane (BMe) 3 ) 1, 2-Dimethyldiethaneborane ((BH) 2 Me) 2 ) Triethylborane (BEt) 3 ) Triphenylborane (BPh) 3 Wherein Ph is phenyl, tris (pentafluorophenyl) borane, tetrakis (dimethylamino)) diboron (B) 2 [NMe 2 ] 4 ) And so on.
Non-limiting boron halide compounds may have the formula XBR 1 R 2 Wherein X is halogen; r 1 And R 2 Each of which is independently H, hydroxyl, halogen, oxide (= O), amine, aminoalkyl, alkoxy, aliphatic, haloaliphatic, haloheteroaliphatic, heteroaliphatic, aromatic, heteroaromatic, aliphatic-aromatic, heteroaliphatic-aromatic, or any combination thereof. The boron halide compound may be boron bromide (e.g., BBr) 3 ) Boron chloride (e.g. BCl) 3 Or B 2 Cl 4 ) Boron fluoride (e.g. BF or BF) 3 Or B 2 F 4 ) Boron iodide (e.g., BI 3), boron monoxide difluoride (BFO), and the like.
A non-limiting borate compound may have the formula R 1 OBR 2 R 3 Wherein R is 1 Is H, an optionally substituted aliphatic group or an optionally substituted aromatic group; r 2 And R 3 Each of which is independently H, hydroxyl, halo, oxide (= O), amine, aminoalkyl, alkoxy, aliphatic, haloaliphatic, haloheteroaliphatic, heteroaliphatic, aromatic, heteroaromatic, aliphatic-aromatic, heteroaliphatic-aromatic, or any combination thereof. The borate compound may be trimethyl borate (B [ OMe ]] 3 ) Triethyl borate (B [ OEt ]] 3 ) Triisopropyl borate (B [ OiPr ]] 3 ) And the like.
Non-limiting inorganic boron compounds may have the formula BR 1 R 2 R 3 Wherein R is 1 、R 2 And R 3 Each of which is independently H, hydroxy, halogen, oxide (= O), NH 2 NH, etc. The inorganic boron compound may be Borane (BH) 3 ) Diborane (e.g. B) 2 H 6 Or B 2 H 4 ) Borazine (H) 3 NBH 3 ) And so on.
Layer containing Mo
The Mo-containing layer may include one or more other heteroatoms (e.g., carbon, nitrogen, silicon, boron, oxygen, etc.). In particular embodiments, the layer is a Mo-containing carbon layer, a Mo-containing silicon layer, a Mo-containing boron layer, a Mo-containing carbon and silicon layer, a Mo-containing carbon and boron layer, or a Mo-containing silicon and boron layer, as well as any doped forms of these. Such Mo-containing layers may comprise alloys or mixtures thereof. Further, the Mo-containing layer may include a binary material (e.g., including Mo and one of C, si, or B) or a ternary material (e.g., including Mo and two of C, si, or B). In some embodiments, the Mo-containing layer is amorphous. In other embodiments, the Mo-containing layer is crystalline with a grain size between about 1-3 nm.
Other Mo-containing layers may include molybdenum carbide (e.g., moC) y 、Mo 2 C. MoC or Mo x C y ) Molybdenum silicide (e.g., moSi) y 、MoSi 2 、Mo 5 Si 3 、Mo 3 Si or Mo x Si y ) Molybdenum boride (e.g., moB) y 、MoB 2 、Mo 2 B 4 Or Mo x B y ) Molybdenum oxycarbide (e.g., mo) 2 C y O z Or Mo x C y O z ) Molybdenum boride silicides (e.g. Mo) 5 SiB 2 、MoSi 2 B. Or Mo x Si y B z ) Molybdenum carbonitride (e.g. MoC) y N z Or Mo x C y N z ) Molybdenum carbide silicide (e.g. MoSi) y C z Or Mo x Si y C z ) Molybdenum carbide boride (e.g. MoB) y C z Or Mo x B y C z ) Molybdenum nitride (MoN) y Or Mo x N y ) Molybdenum oxynitride (e.g., mo) x O y N z ) Etc., where x, y and z represent that the stoichiometry of these compounds may differ. In some embodiments, each of x, y, and z may be from 0.1 to 10, including integers and decimal values therebetween.
The Mo-containing layer can be used as a hard mask, an etch stop layer, a conformal layer, or a step cap layer. The hard mask may include use in various applications such as deep oxide contact etching, DRAM capacitor mold etching, and line or space etching, including etching to form shallow trench isolation structures, gates, and bitlines.
In certain embodiments, the Mo-containing layer provides a hardmask film in which increased Mo atom doping provides increased crosslinking. The crosslinked film, in turn, can provide a harder or denser film that provides enhanced etch selectivity while remaining removable for semiconductor hard mask applications.
In other embodiments, the Mo-containing layer provides a conformal film. The degree of conformality of the film can be measured by step coverage. In one embodiment, the step coverage is calculated by dividing the average thickness of the deposited film on the sidewalls by the average thickness of the deposited film on the top of the features and multiplying it by 100 to obtain the percentage.
The Mo-containing layer may also have other useful properties. For example, a Mo-containing layer may be characterized as having a low fluorine content (e.g., about 0-2 at.%). In another case, the Mo-containing layer may be characterized as having a molybdenum content of about 5-60 at.%. In yet another instance, the Mo-containing layer can be characterized as having a low hydrogen content (e.g., about 5-12 at.%).
The Mo-containing layer may have reduced squeeze film stress. In one instance, the stress may be about 10-20MPa. In particular embodiments, the total tensile stress of the Mo-containing layer may be less than about 1GPa.
The Mo-containing layer can have any useful thickness, for example, about 10 angstroms to 10 microns. In other embodiments, the thickness is about 10-30 angstroms. In other embodiments, the thickness is about 200 angstroms to 10 microns. In yet other embodiments, the thickness is about 100 angstroms to 2 microns. In various embodiments, the Mo-containing layer is between about 150 and 300 angstroms thick.
The Mo-containing layer may be characterized by a high etch selectivity. For example, high etch selectivity may allow for thinner masks and improved etch margins.
Interfacial layer
The interface layer may comprise any useful material. Non-limiting materials include pure boron (B), pure carbon (C), titanium (Ti), titanium nitride (TiN), titanium aluminide (TiAl), titanium silicide (TiSi), titanium carbide (TiC), tungsten (W), tungsten nitride (WN), tungsten carbonitride (WCN), tantalum nitride (TaN), nickel (Ni), nickel silicide (NiSi), nickel nitride (NiN), molybdenum carbide (MoC), molybdenum nitride (MoN) x ) Molybdenum oxynitride (MoO) x N y ) And the like and combinations thereof. Other materials may include dielectric and conductive layers such as silicon oxide, silicon nitride, silicon carbide, metal oxides, metal nitrides, metal carbides, and metal layers.
The interfacial layer may include any useful thickness, such as about 10 angstroms to 100 nanometers. In use, the interfacial layer may function as a diffusion barrier, adhesion layer, barrier layer, nucleation layer, or a combination thereof.
The method of providing the interfacial layer includes vapor deposition of a precursor to provide the interfacial layer. The interfacial layer may be deposited on a surface portion or a pretreated surface of the substrate. In one instance, the interfacial layer comprises a metal nitride, wherein depositing comprises using a suitable metal (M) -containing precursor and a suitable nitrogen (N) -containing precursor. For other components within the interface layer, other precursors may be used, such as: c-containing precursors and M-containing precursors for metal carbides, O-containing precursors and M-containing precursors for metal oxides, and O-containing precursors and N-containing precursors and M-containing precursors for metal oxynitrides, as well as O-containing precursors and Si-containing precursors for silicon oxides, and the like. In other embodiments, the pure boron layer comprises depositing any of the B-containing precursors described herein; and the pure carbon layer comprises the deposition of any C-containing precursor described herein.
Non-limiting M-containing precursors include metal halides, such as MX n Wherein M is a metal; each X is independently halogen; n is selected from 2 to 6. Other non-limiting metal-containing precursors include organometallic precursors, such as ML n Wherein M is a metal; each L is independently hydrogen (H), halogen, oxide (= O), imino (= NR 1), carbonyl (CO), amine(NR 1 R 2 ) An organic ligand, a monodentate ligand, or a bidentate ligand (e.g., any of those described herein); n is selected from 2 to 6.M may be any metal atom within the interfacial layer, such as titanium (Ti), tungsten (W), tantalum (Ta), nickel (Ni), and molybdenum (Mo).
Non-limiting Si-containing precursors include any of the precursors described herein, such as tetraethoxysilane (Si (OEt) 4 Or TEOS). Non-limiting C-containing precursors include any of the precursors described herein, including acetylene (C) 2 H 2 )。
Non-limiting nitrogen-containing precursors include any of the precursors described herein, including ammonia (NH) 3 ) Nitrogen (N) 2 ) Hydrazine (N) 2 H 4 ) Amines and aminosilanes. Non-limiting amines include methylamine, dimethylamine, ethylmethylamine, ethylamine, isopropylamine, tert-butylamine, di-tert-butylamine, cyclopropylamine, sec-butylamine, cyclobutylamine, isoamylamine, 2-methylbut-2-amine, trimethylamine, diisopropylamine, diethylisopropylamine, di-tert-butylhydrazine, and aromatic containing amines such as aniline, pyridine and benzylamine. Other N-containing precursors may include nitriles (e.g., acetonitrile), amides, N-containing heterocyclic compounds, or amino alcohols (e.g., ethanolamine). The amine can be a primary, secondary, tertiary, or quaternary amine (e.g., a tetraalkylammonium compound). The N-containing precursor may contain a heteroatom other than N, for example, hydroxylamine, t-butoxycarbonylamine, and N-t-butylhydroxylamine are N-containing precursors.
Non-limiting O-containing precursors include any of the precursors described herein, including oxygen (O) 2 ) Ozone (O) 3 ) Carbon monoxide (CO) and carbon dioxide (CO) 2 ) Water (H) 2 O), hydrogen peroxide (H) 2 O 2 ) Alcohols (e.g., t-amyl alcohol, ethanol, propanol, etc.), polyols (e.g., glycols such as ethylene glycol), ketones, aldehydes, ethers, esters, carboxylic acids, alkoxysilanes, oxolanes, or furans.
Material layer and substrate, including stack thereof
The material layer may comprise any useful material. Such materials may include oxide materials, nitride materials, dielectric materials, metal layers, semiconductor materials, and combinations thereof that may be used to form semiconductor devices (e.g., metal contacts, trench isolations, gates, bitlines, or any other interconnect feature).
Non-limiting materials may include dielectric materials such as silicon oxide (e.g., siO) 2 ) Silicon nitride (e.g., si) 3 N 4 ) Silicon oxynitride, silicon carbide, silicon oxycarbide, titanium nitride, hafnium oxide (HfO) 2 ) Zirconium dioxide (ZrO) 2 ) Hafnium silicon oxide (HfSiO) 2 ) Hafnium aluminum oxide (HfAlO), zirconium silicon oxide (ZrSiO) 2 ) Tantalum dioxide (TaO) 2 ) Aluminum oxide, aluminum-doped hafnium oxide, bismuth Strontium Titanium (BST), platinum Zirconium Titanium (PZT), and composites thereof, alloys thereof, or doped forms thereof. In other embodiments, the material is a high-k material, e.g., having a dielectric constant greater than 4.
Other non-limiting materials include conductive materials such as materials including silicon (e.g., polysilicon, doped silicon, such as n-type or p-type doped silicon, tungsten silicide (WSi), tungsten polysilicon (W/poly), etc.). Other materials include titanium (Ti), hafnium (Hf), vanadium (V), tantalum (Ta), chromium (Cr), molybdenum (Mo), tungsten (W), manganese (Mn), iron (Fe), ruthenium (Ru), cobalt (Co), nickel (Ni), palladium (Pd), platinum (Pt), copper (Cu), gold (Ag), silver (Au), aluminum (Al), alloys thereof, nitride compounds thereof, combinations thereof, and others.
The methods and layers herein can be used in any useful stack. In one embodiment, the stack comprises a stepped structure within a three-dimensional (3D) stack. In another embodiment, the stack includes one or more High Aspect Ratio (HAR) features disposed on the substrate, such as greater than 20. Other features may be present in the stack, such as trenches, vias, etc. In some cases, features are formed by using Mo-containing layers described herein.
The Mo-containing layers herein can be used to provide any useful stack, film, or device. For example, etch selectivity may be critical for the patterning of new generation NAND and Dynamic Random Access Memory (DRAM) devices, and the present disclosure includes the use of Mo-containing layers to form such devices. Still other devices may include devices for VNAND or Vertical Integrated Memory (VIM) applications, as well as Magnetic Random Access Memory (MRAM) and Phase Change Random Access Memory (PCRAM) applications.
The layer of material can have any useful thickness. In some embodiments, the material layer may comprise a single type of material or two or more different types of materials. In particular embodiments, the material layer may comprise alternating sublayers. The layers or sublayers of material can have any useful thickness, such as 10 angstroms to 2000 angstroms for each layer or sublayer or for the total thickness of all layers/sublayers.
In various embodiments, the material layer is between about 50-500nm thick for 3D NAND applications. The critical dimensions of features to be etched in a material layer depend on the application. In some implementations, for 3D NAND applications, the features have a critical dimension of between about 50-120 nm. In some embodiments, for DRAM applications, the features have a critical dimension of between about 16-22 nm. In other embodiments, the features include constrictions, pillars, trenches, voids, etc., which may optionally be filled at a later time (e.g., to provide a wordline).
The substrate may comprise any useful material. In various embodiments, the substrate has a dielectric layer comprising an oxide surface thereon. The substrate may be a silicon wafer, such as a 200-mm wafer, 300-mm wafer, or 450-mm wafer, including wafers having one or more layers of material (e.g., dielectric, conductive, or semiconductor material) deposited thereon. Non-limiting examples of layers include dielectric layers and conductive layers, such as silicon oxide, silicon nitride, silicon carbide, metal oxides, metal nitrides, metal carbides, and metal layers.
In various embodiments, the substrate is patterned. The patterned substrate may have "features" such as pillars, poles, trenches, vias, or contact holes, which may be characterized by one or more of narrow or recessed openings, constrictions within the features, and high aspect ratios. The features may be formed in one or more of the above-described layers. For example, the features may be formed at least partially in the dielectric layer. In some embodiments, the features can have an aspect ratio of at least about 2. One example of a feature is a hole or via in a semiconductor substrate or in a layer on a substrate.
In some embodiments, the features may be formed on one or more of the topmost layers of the substrate such that the bottom of the features are the exposed bottom layers. One example of a feature is a post or rod in a semiconductor substrate or in a layer on a substrate. Another example is a trench in a substrate or layer. In various embodiments, a feature may have an underlayer, such as a barrier layer or an adhesion layer. Non-limiting examples of underlying layers include dielectric layers and conductive layers, such as silicon oxide, silicon nitride, silicon carbide, metal oxides, metal nitrides, metal carbides, and metal layers.
Device
The methods and layers herein may be formed, deposited, and processed using a device. In one instance, an apparatus for providing a Mo-containing layer includes a process chamber comprising a substrate holder; a process gas source coupled to the process chamber and associated flow control hardware; and substrate handling hardware coupled to the process chamber.
The process chamber and substrate holder can be configured for any useful deposition process. Such deposition processes may include ALD, CVD, and plasma-enhanced versions thereof. Alternatively, the processing chamber may be an ICP chamber or a CCP chamber. The substrate holder can be, for example, an electrostatic chuck (ESC) having at least one chucking electrode for holding a substrate below a showerhead assembly within a processing chamber. The ESC may be configured to function as a cathode. The substrate holder may optionally be heated.
Further, the process gas source can be configured to provide any precursor herein as a gas, such as a Mo-containing precursor, a C-containing precursor, a Si-containing precursor, a B-containing precursor, or a combination thereof. The gas source may optionally be coupled to the process chamber through a showerhead assembly.
The apparatus may also include a plasma source, which may be connected to the process chamber and/or associated flow control hardware. The plasma source may be configured to supply plasma to the processing chamber. The plasma may optionally be a remote plasma source coupled to the process chamber or showerhead assembly through a matching network.
In certain embodiments, the apparatus further comprises a controller having a processor and a memory, wherein the processor and the memory are communicatively coupled to each other, the processor being operatively coupled to at least the flow control and substrate handling hardware, the memory storing computer-executable instructions for performing the operations recited in any of the methods described herein. For example, the controller may be configured to control the flow control hardware and the plasma source, wherein the controller provides instructions to: exposing the substrate to a Mo-containing precursor and one or more deposition precursors within a process chamber, thereby exposing the substrate to the precursors; supplying a plasma to the process chamber; and results in the deposition of a Mo-containing layer on a top surface portion of the substrate.
Fig. 4 schematically illustrates an embodiment of a processing station 400 that may be used to deposit materials using ALD and/or CVD, any of which may be plasma enhanced. For simplicity, the processing station 400 is depicted as a stand-alone processing station having a chamber body 402 for maintaining a low pressure environment. However, it should be understood that multiple processing stations 400 may be included in a common processing tool environment. Further, it should be understood that in some embodiments, one or more hardware parameters of the processing station 400, including those discussed in detail below, may be programmatically adjusted by one or more computer controllers.
The processing station 400 is in fluid communication with a reactant delivery system 401 to deliver process gases to a distribution showerhead 406. The reactant delivery system 401 includes a mixing vessel 404, the mixing vessel 404 being used to blend and/or condition process gases for delivery to a showerhead 406. One or more mixing vessel inlet valves 420 may control the introduction of process gas into the mixing vessel 404. Similarly, a showerhead inlet valve 405 may control the introduction of process gas into the showerhead 406.
Some of the reactants (e.g., BTBAS) may be stored in liquid form prior to vaporization at the processing station and prior to subsequent delivery to the processing station. For example, the embodiment of fig. 4 includes vaporization point 403, vaporization point 403 being used to vaporize the liquid reactants to be supplied to mixing vessel 404. In some embodiments, vaporization point 403 may be a heated evaporator. The reactant vapors generated from such evaporators condense in downstream delivery lines. Exposure of incompatible gases to condensed reactants produces small particles. These small particles can clog pipes, obstruct valve operation, contaminate substrates, and the like. Some methods of dealing with these problems involve sweeping and/or evacuating the delivery lines to remove residual reactants. However, cleaning the transfer piping increases the processing station cycle time and decreases the processing station throughput. Thus, in some embodiments, the delivery conduit downstream of the vaporization point 403 may be heat traced. In some examples, mixing vessel 404 may also be thermally traced. In one non-limiting example, the conduit downstream of vaporization point 403 has an elevated temperature profile that increases from about 100 ℃ to about 150 ℃ at mixing vessel 404.
In some embodiments, the reactant liquid may be vaporized at the liquid injector. For example, the liquid injector may inject pulses of liquid reactant into the carrier gas stream upstream of the mixing vessel. In one case, the liquid injector may vaporize the reactants by flashing the liquid from a higher pressure to a lower pressure. In another case, the liquid ejector may atomize the liquid into discrete droplets that are then vaporized in a heated delivery tube. It will be appreciated that smaller droplets may vaporize faster than larger droplets, thereby reducing the delay between liquid injection and complete vaporization. Faster vaporization may reduce the length of the conduit downstream of vaporization point 403. In one case, the liquid sprayer may be loaded directly into the mixing vessel 404. In another case, the liquid ejectors may be loaded directly into spray head 406.
In some embodiments, a liquid flow controller may be provided upstream of vaporization point 403 to control the mass flow of liquid for vaporization and delivery to treatment station 400. For example, the Liquid Flow Controller (LFC) may comprise a thermal Mass Flow Meter (MFM) located downstream of the LFC. The ram valve of the LFC may then be adjusted in response to a feedback control signal provided by a Proportional Integral Derivative (PID) controller in electrical communication with the MFM. However, it may take one second or more to use feedback control to stabilize the liquid flow. This may extend the time to dose the liquid reactant. Thus, in some embodiments, the LFC may dynamically switch between a feedback control mode and a direct control mode. In some embodiments, the LFC may dynamically switch from the feedback control mode to the direct control mode by disabling the sensing conduits and PID controller of the LFC.
The showerhead 406 distributes process gas toward the substrate 412. In the embodiment shown in FIG. 4, the substrate 412 is positioned below the showerhead 406 and is shown positioned on the pedestal 408. It should be appreciated that the showerhead 406 may have any suitable shape and may have any suitable number and arrangement of ports for distributing process gases to the substrate 412.
In some embodiments, micro-volume 407 is located below showerhead 406. Performing ALD and/or CVD processes in micro-volumes, rather than in the entire volume of a processing station, may reduce reactant exposure and purge times, may reduce time to change process conditions (e.g., pressure, temperature, etc.), may limit exposure of processing station robots to process gases, and the like. Exemplary micro-volume sizes include, but are not limited to, volumes between 0.1 and 2 liters. This minute volume also affects productivity throughput. As the deposition rate per cycle decreases, the cycle time also decreases. In some cases, the effect of cycle time reduction is significant enough to increase the overall yield of the module for a given target film thickness.
In some embodiments, a substrate holder (e.g., pedestal 408) may be raised or lowered to expose substrate 412 to micro-volume 407 and/or to change the volume of micro-volume 407. For example, in the substrate transfer stage, the susceptor 408 may be lowered so that the substrate 412 can be loaded on the susceptor 408. During a deposition process stage, the pedestal 408 may be raised to position the substrate 412 within the micro-volume 407. In some embodiments, the micro-volume 407 may completely surround the substrate 412 and a portion of the pedestal 408 to form a region of high flow resistance during the deposition process.
Optionally, the pedestal 408 may be lowered and/or raised during portions of the deposition process to adjust process pressure, reactant concentration, etc. within the micro-volume 407. Lowering the susceptor 408 may enable the micro volume 407 to be evacuated in one case, keeping the chamber body 402 at a base pressure during the deposition process. Exemplary ratios of micro volume to process chamber volume include, but are not limited to, 1:500 and 1. It should be understood that in some embodiments, the base height may be programmatically adjusted by a suitable computer controller.
In another case, adjusting the height of the pedestal 408 may allow for changing the plasma density during plasma activation and/or processing cycles included in the deposition process. At the end of the deposition process phase, the pedestal 408 may be lowered during another substrate transfer phase to allow the substrate 412 to be removed from the pedestal 408.
Although the exemplary micro-volume changes described herein relate to height adjustable susceptors, it should be understood that in some embodiments, the position of showerhead 406 may be adjusted relative to susceptor 408 to change the volume of micro-volume 407. Further, it should be understood that the vertical position of base 408 and/or showerhead 406 may be changed by any suitable mechanism within the scope of the present disclosure. In some embodiments, the pedestal 408 may include an axis of rotation for rotating the orientation of the substrate 412. It should be understood that in some embodiments, one or more of these exemplary adjustments may be programmatically performed by one or more suitable computer controllers.
Returning to the embodiment shown in FIG. 4, the showerhead 406 and pedestal 408 are in electrical communication with an RF power source 414 and a matching network 416 for powering the plasma. In some embodiments, the energy of the plasma may be controlled by controlling one or more of the pressure of the processing station, the concentration of the gas, the RF source power, the RF source frequency, and the plasma power pulse timing. For example, the RF power source 414 and the matching network 416 may be operated at any suitable power to form a plasma having a desired composition of radical species. Examples of suitable powers are included above. Similarly, the RF power source 414 may provide RF power at any suitable frequency. In some embodiments, the RF power source 414 may be configured to control the high frequency RF power source and the low frequency RF power source independently of each other. Exemplary low frequency RF frequencies may include, but are not limited to, frequencies between 50kHz and 1000 kHz. Exemplary high frequency RF frequencies may include, but are not limited to, frequencies between 1.8MHz and 2.45 GHz. It should be appreciated that any suitable parameter may be discretely or continuously adjusted to provide plasma energy for surface reactions. In one non-limiting example, the plasma power can be pulsed intermittently with respect to a continuously powered plasma to reduce ion bombardment of the substrate surface.
In some embodiments, the plasma may be monitored in situ by one or more plasma monitors. In one case, the plasma power may be monitored by one or more voltage, current sensors (e.g., VI probes). In another case, the plasma density and/or process gas concentration may be measured by one or more optical emission spectroscopy sensors (OES). In some embodiments, one or more plasma parameters may be programmatically adjusted based on measurements from such in situ plasma monitors. For example, an OES sensor can be used in a feedback loop to provide programmed control of plasma power. It should be understood that in some embodiments, other monitors may be used to monitor plasma and other process characteristics. Such monitors may include, but are not limited to, infrared (IR) monitors, acoustic monitors, and pressure sensors.
In some embodiments, the plasma may be controlled via input/output control (IOC) sequencing instructions. In one example, instructions for setting plasma conditions for a plasma processing phase may be included in a corresponding plasma activation recipe phase of a deposition process recipe. In some cases, the process recipe phases may be arranged in a sequence such that all instructions for a deposition process phase are executed concurrently with the process phase. In some embodiments, the instructions for setting one or more plasma parameters may be included in a recipe phase prior to a plasma processing phase. For example, the first recipe phase can include instructions for setting the flow rate of the inert gas and/or the reactant gas, instructions for setting the plasma generator to a power set point, and time delay instructions for the first recipe phase. The second subsequent recipe phase can include instructions for enabling the plasma generator and time delay instructions for the second recipe phase. The third recipe phase can include instructions for disabling the plasma generator and time delay instructions for the third recipe phase. It should be understood that these recipe phases may be further subdivided and/or iterated in any suitable manner within the scope of this disclosure.
In some deposition processes, the plasma excitation lasts for a duration on the order of seconds or more. In some implementations, shorter plasma excitations may be used. These may be on the order of 10 milliseconds to 1 second, typically about 20 to 80 milliseconds, with 50 milliseconds being one specific example. Such very short RF plasma excitations require very rapid stabilization of the plasma. To achieve this, the plasma generator may be configured such that the impedance match is preset to a particular voltage while allowing the frequency to float. Traditionally, high frequency plasma is generated at an RF frequency of about 13.56 MHz. In various embodiments disclosed herein, the frequency is allowed to float to a value different from the standard value. By allowing the frequency to float while the impedance match is fixed to a predetermined voltage, the plasma can stabilize much faster, a result which may be important when using very short plasma excitations associated with certain types of deposition cycles.
In some embodiments, the susceptor 408 may be temperature controlled by a heater 410. Further, in some embodiments, pressure control of the deposition processing station 400 may be provided by a butterfly valve 418. As shown in the embodiment of fig. 4, butterfly valve 418 throttles the vacuum provided by a downstream vacuum pump (not shown). However, in some embodiments, the pressure control of the processing station 400 may also be adjusted by varying the flow rate of one or more gases introduced into the processing station 400.
Fig. 5 shows a schematic diagram of an embodiment of a multi-station processing tool 500 having an inbound load lock 502 and an outbound load lock 504, one or both of which may contain a remote plasma source. At atmospheric pressure, the robot 506 is configured to move wafers from cassettes loaded by the pod 508 into the inbound load lock 502 via an atmospheric port 510. The wafer is placed on the pedestal 512 in the inbound load lock 502 by the robot 506, the atmospheric port 510 is closed, and the load lock is evacuated. When the inbound load lock 502 contains a remote plasma source, the wafer may be exposed to remote plasma processing in the load lock before being introduced into the process chamber 514. Additionally, the wafer may additionally be heated in the inbound load lock 502, for example, to remove moisture and adsorbed gases. Next, the chamber transfer port 516 to the process chamber 514 is opened and another robot (not shown) places the wafer onto the susceptor in the first station shown in the reactor for processing. Although the embodiment shown in fig. 5 includes a load lock, it should be understood that in some embodiments, the wafer may be brought directly into the processing station.
The depicted processing chamber 514 contains 4 processing stations, numbered 1 through 4 in the embodiment shown in fig. 5. Each station has a heated pedestal (shown as 518 for station 1) and a gas line inlet. It should be understood that in some embodiments, each processing station may have a different or multiple uses. Although the depicted processing chamber 514 contains 4 stations, it is understood that a processing chamber according to the present disclosure may have any suitable number of stations. For example, in some embodiments, the process chamber may have 5 or more stations, while in other embodiments, the process chamber may have 3 or fewer stations.
Fig. 5 also depicts an embodiment of a wafer handling system 590 for transporting wafers within the process chamber 514. In some embodiments, wafer handling system 590 may transport wafers between various processing stations and/or between a processing station and a load lock. It should be understood that any suitable wafer handling system may be employed. Non-limiting examples include wafer turntables and robots that handle wafers. Fig. 5 also depicts an embodiment of a system controller 550 for controlling the process conditions and hardware states of the processing tool 500. The system controller 550 may include one or more memory devices 556, one or more mass storage devices 554, and one or more processors 552. Processor 552 may include a CPU or computer, analog and/or digital input/output connections, a stepper motor controller board, etc.
In some embodiments, the system controller 550 controls all activities of the processing tool 500. The system controller 550 executes system control software 558 that is stored on a mass storage device 554, loaded into a memory device 556, and executed on a processor 552. The system control software 558 may contain instructions for controlling timing, mixing of gases, chamber and/or station pressures, chamber and/or station temperatures, purge conditions and timing, wafer temperature, RF power levels, RF frequencies, substrates, susceptors, chuck and/or susceptor positions, and other parameters of a particular process performed by the process tool 500. The system control software 558 may be configured in any suitable manner. For example, various process tool component subroutines or control objects can be written to control the operation of the process tool components necessary to perform the various process tool processes in accordance with the disclosed methods. The system control software 558 may be encoded in any suitable computer readable programming language.
In some embodiments, system control software 558 may include input/output control (IOC) sequencing instructions for controlling the various parameters described above. For example, each stage of a plasma enhanced process (e.g., a Plasma Enhanced Atomic Layer Deposition (PEALD) process) may include one or more instructions for execution by the system controller 550. Instructions for setting process conditions for the PEALD process stages may be included in the respective PEALD recipe stages. In some embodiments, the PEALD recipe phases may be arranged sequentially such that all instructions of a PEALD process phase are executed concurrently with that process phase.
Other computer software and/or programs stored on the mass storage device 554 and/or the memory device 556 associated with the system controller 550 may be employed in some embodiments. Examples of programs or program segments for this purpose include a substrate positioning program, a process gas control program, a pressure control program, a heater control program, and a plasma control program.
The substrate positioning program may contain program code for a process tool assembly for loading a substrate onto the pedestal 518 and controlling the spacing between the substrate and the rest of the process tool 500.
The process gas control program may include code for controlling the gas composition and flow rate and optionally for flowing the gas into one or more processing stations prior to deposition in order to stabilize the pressure in the processing stations. The process gas control program may include code for controlling gas compositions and flow rates within any of the disclosed ranges. The pressure control program may contain code for controlling the pressure in the processing station by adjusting, for example, a throttle valve in the exhaust system of the processing station, the gas flow into the processing station, etc. The pressure control program may include code for maintaining the pressure in the processing station within any of the disclosed pressure ranges.
The heater control program may include code for controlling current to a heating unit for heating the substrate. Alternatively, the heater control program may control the delivery of a heat transfer gas (e.g., helium) to the substrate. The heater control program may include instructions to maintain the temperature of the substrate within any of the disclosed ranges.
The plasma control program may include code for setting the RF power level and frequency applied to the processing electrodes in one or more processing stations, for example using any of the RF power levels disclosed herein. The plasma control program may also include code for controlling the duration of each plasma exposure.
In some embodiments, there may be a user interface associated with the system controller 550. The user interface may include a display screen, a graphical software display of the apparatus and/or process conditions, and user input devices such as a pointing device, keyboard, touch screen, microphone, and the like.
In some embodiments, the parameters adjusted by the system controller 550 may relate to process conditions. Non-limiting examples include process gas composition and flow rate, temperature, pressure, plasma conditions (e.g., RF power level, frequency, and exposure time), and the like. These parameters may be provided to the user in the form of a recipe, which may be entered using the user interface.
Signals for monitoring the process can be provided from various process tool sensors by analog and/or digital input connections of the system controller 550. The signals used to control the process may be output through analog and/or digital output connections of the process tool 500. Non-limiting examples of process tool sensors that may be monitored include mass flow controllers, pressure sensors (e.g., pressure gauges), thermocouples, and the like. Suitably programmed feedback and control algorithms can be used with the data from these sensors to maintain process conditions.
Any suitable chamber may be used to implement the disclosed embodiments. Exemplary deposition apparatus include, but are not limited to, those from
Figure BDA0004005481220000611
The product series,
Figure BDA0004005481220000612
Product line, and/or
Figure BDA0004005481220000613
A product line (each of which is available from Lam Research corp. Of virmont, ca), or any of a variety of other commercially available processing systems. Two or more stations may perform the same function. Similarly, two or more stations may perform different functions. Each station may be designed/configured as desired to perform a particular function/method.
Fig. 6 is a block diagram of a processing system suitable for performing a thin film deposition process according to some embodiments. The system 600 includes a transfer module 603. The transfer module 603 provides a clean, pressurized environment to minimize the risk of contamination as the substrate being processed moves between the various reactor modules. Mounted on the transfer module 603 are two multi-station reactors 609 and 610, each capable of performing Atomic Layer Deposition (ALD) and/or Chemical Vapor Deposition (CVD), according to certain embodiments. Reactors 609 and 610 may include a plurality of stations 611, 613, 615, and 617, which may perform operations sequentially or non-sequentially in accordance with the disclosed embodiments. These stations may include a heated susceptor or substrate support, one or more gas inlets or showerheads or dispersion plates.
Mounted on the transfer module 603 may also be one or more single or multi-station modules 607 capable of performing plasma or chemical (non-plasma) pre-cleaning, or any other process related to the disclosed method. In some cases, module 607 may be used for various processes, for example, to prepare a substrate for a deposition process. The module 607 may also be designed/configured to perform various other processes such as etching or polishing. The system 600 also includes one or more wafer source modules 601 in which wafers are stored before and after processing. An atmospheric robot (not shown) in the atmospheric transfer chamber 619 may first move the wafer from the source module 601 to the load lock 621. A wafer transfer device (typically a robotic arm unit) in the transfer module 603 moves wafers from the load locks 621 into modules mounted on the transfer module 603 and into modules mounted on the transfer module 603.
In various embodiments, system controller 629 is used to control process conditions during deposition. The controller 629 will typically include one or more memory devices and one or more processors. The processor may include a Central Processing Unit (CPU) or calculator, analog and/or digital input/output connections, stepper motor controller boards, and the like.
The controller 629 may control all of the activities of the deposition apparatus. The system controller 629 executes system control software which includes sets of instructions for controlling timing, mixture of gases, chamber pressure, chamber temperature, wafer temperature, radio Frequency (RF) power level, wafer chuck or pedestal position, and other parameters of a particular process. Other computer programs stored in a memory device associated with the controller 629 may be employed in some embodiments.
There will typically be a user interface associated with the controller 629. The user interface may include a display screen, a graphical software display of the apparatus and/or process conditions, and user input devices such as a pointing device, keyboard, touch screen, microphone, and the like.
The system control logic may be configured in any suitable manner. In general, the logic may be designed or configured in hardware and/or software. The instructions for controlling the drive circuitry may be hard coded or provided as software. The instructions may be provided by "programming". Such programming is understood to include any form of logic, including hard-coded logic in digital signal processors, application specific integrated circuits, and other devices with specific algorithms implemented in hardware. Programming is also understood to include software or firmware instructions executable on a general purpose processor. The system control software may be encoded in any suitable computer readable programming language.
The computer program code for controlling the molybdenum-containing precursor flow, the deposition precursor flow, the reactant gas flow, the reductant flow, the carrier gas flow, and other processes in the process sequence can be in any commonly used computer readable programming language: for example assembly language, C + +, pascal, fortran, or other. The compiled object code or script is executed by the processor to perform the tasks identified in the program. Also as indicated, the program code may be hard coded.
The controller parameters are related to process conditions such as, for example, process gas composition and flow rate, temperature, pressure, cooling gas pressure, substrate temperature, and chamber wall temperature. These parameters are provided to the user in the form of a recipe and may be entered using a user interface. Signals for monitoring the process can be provided through analog and/or digital input connections to system controller 629. Signals for controlling the process are output through the analog and digital output connections of the deposition apparatus 600.
The system software may be designed or configured in many different ways. For example, in accordance with the disclosed embodiments, various chamber component subroutines or control objects may be written to control the operation of the chamber components necessary to perform the deposition process (and in some cases other processes). Examples of programs or program segments for this purpose include substrate positioning code, process gas control code, pressure control code, and heater control code.
In some implementations, the controller 629 is part of a system, which may be part of the embodiments described above. Such systems may include semiconductor processing equipment including one or more processing tools, one or more chambers, one or more platforms for processing, and/or specific processing components (wafer susceptors, gas flow systems, etc.). These systems may be integrated with electronics for controlling the operation of semiconductor wafers or substrates before, during, and after their processing. The electronic device may be referred to as a "controller," which may control various components or subcomponents of one or more systems. Depending on the process requirements and/or system type, the controller 629 may be programmed to control any of the processes disclosed herein, including process gas delivery, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio Frequency (RF) generator settings in some systems, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, position and operation settings, wafer transfer in and out tools and other transfer tools, and/or load locks connected or interfaced with specific systems.
In general, a controller may be defined as an electronic device having various integrated circuits, logic, memory, and/or software to receive instructions, issue instructions, control operations, enable cleaning operations, enable endpoint measurements, and so forth. An integrated circuit may include a chip in firmware that stores program instructions, a Digital Signal Processor (DSP), a chip defined as an Application Specific Integrated Circuit (ASIC), and/or one or more microprocessors or microcontrollers that execute program instructions (e.g., software). The program instructions may be instructions that are sent to the controller in the form of various individual settings (or program files) that define operating parameters for performing specific processes on or for a semiconductor wafer or system. In some embodiments, the operating parameters may be part of a recipe defined by a process engineer to complete one or more process steps during fabrication of one or more layer(s), material, metal, oxide, silicon dioxide, surface, circuitry, and/or die of a wafer.
In some implementations, the controller can be part of or coupled to a computer that is integrated with, coupled to, otherwise networked to, or a combination thereof, the system. For example, the controller may be in the "cloud" or all or part of a fab (fab) host system, which may allow remote access to wafer processing. The computer may implement remote access to the system to monitor the current progress of the manufacturing operation, check a history of past manufacturing operations, check trends or performance criteria for multiple manufacturing operations, change parameters for the current process, set processing steps to follow the current process, or begin a new process. In some examples, a remote computer (e.g., a server) may provide the process recipe to the system over a network (which may include a local network or the internet). The remote computer may include a user interface that enables parameters and/or settings to be entered or programmed and then transmitted from the remote computer to the system. In some examples, the controller receives instructions in the form of data specifying parameters for each process step to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool with which the controller is configured to interface or control. Thus, as noted above, the controller can be distributed, for example, by including one or more discrete controllers networked together and operating toward a common purpose (e.g., process and control as described herein). An example of a distributed controller for such a purpose is one or more integrated circuits on a chamber that communicate with one or more integrated circuits that are remote (e.g., at a platform level or as part of a remote computer), which combine to control a process on the chamber.
Example systems can include, but are not limited to, plasma etch chambers or modules, deposition chambers or modules, spin rinse chambers or modules, metal plating chambers or modules, cleaning chambers or modules, bevel edge etch chambers or modules, physical Vapor Deposition (PVD) chambers or modules, chemical Vapor Deposition (CVD) chambers or modules, atomic Layer Deposition (ALD) chambers or modules, atomic Layer Etch (ALE) chambers or modules, ion implantation chambers or modules, track chambers or modules, and any other semiconductor processing system that can be associated with or used in the manufacture and/or preparation of semiconductor wafers.
As described above, the controller may communicate with one or more other tool circuits or modules, other tool components, cluster tools, other tool interfaces, neighboring tools, proximity tools, tools located throughout the factory, a host computer, another controller, or a tool used in transporting wafer containers to and from tool locations and/or load ports in a semiconductor manufacturing facility, depending on the process step or steps to be performed by the tool.
Conclusion
Although the foregoing embodiments have been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. Embodiments disclosed herein may be practiced without some or all of these specific details. In other instances, well known process operations have not been described in detail in order not to unnecessarily obscure the disclosed embodiments. Furthermore, while the disclosed embodiments are described in conjunction with specific embodiments, it is to be understood that the specific embodiments are not intended to limit the disclosed embodiments. It should be noted that there are many alternative ways of implementing the processes, systems, and apparatuses of this embodiment. Accordingly, the present embodiments are to be considered as illustrative and not restrictive, and the embodiments are not to be limited to the details given herein.

Claims (44)

1. A method of providing a metal-containing layer, the method comprising:
providing a substrate on a substrate holder in a process chamber;
exposing a top surface portion of the substrate to a molybdenum-containing precursor and one or more optional deposition precursors; and
depositing a metal-containing layer on the top surface portion of the substrate with or without the presence of a plasma within the processing chamber.
2. The method of claim 1, wherein the metal-containing layer comprises a molybdenum-containing layer or a molybdenum-doped layer.
3. The method of claim 1, wherein the processing chamber comprises a plasma enhanced chemical vapor deposition chamber.
4. The method of claim 1, wherein the exposing comprises delivering a molybdenum-containing precursor and one or more optional deposition precursors sequentially or simultaneously.
5. The method of claim 1, wherein the exposing further comprises delivering a reactant gas, a reducing agent, or a carrier gas to the process chamber.
6. The method of claim 5, wherein the reducing agent comprises water, alcohol, H 2 S, a hydrocarbon, a thiol, or a combination thereof.
7. The method of claim 1, further comprising, prior to said depositing:
providing an interfacial layer on the top surface portion of the substrate such that the interfacial layer is disposed between the substrate and the metal-containing layer after the depositing.
8. The method of claim 7, wherein the interface layer comprises an adhesion layer, an initiation layer, or a growth layer.
9. The method of claim 7, wherein the interfacial layer comprises boron (B), carbon (C), titanium (Ti), tungsten (W), tantalum (Ta), nickel (Ni), molybdenum (Mo), nitrides thereof, silicides thereof, oxynitrides thereof, carbides thereof, or carbonitrides thereof.
10. The method of claim 1, further comprising, prior to said depositing:
pretreating the top surface portion of the substrate to provide a pretreated surface disposed between the substrate and the metal-containing layer after the depositing.
11. The method of claim 1, wherein the substrate further comprises a layer of material disposed on the top surface portion of the substrate, and wherein the depositing comprises depositing the metal-containing layer on a top surface portion of the layer of material.
12. The method of claim 11, wherein the layer of material comprises at least one of an oxide or a nitride.
13. The method of claim 1, wherein the depositing comprises applying at least one of a Low Frequency (LF) radio frequency component or a High Frequency (HF) radio frequency component.
14. A method of providing a metal-containing layer, the method comprising:
providing a substrate in a process chamber; and
depositing a molybdenum-containing layer on a surface portion of the substrate by a Plasma Enhanced Chemical Vapor Deposition (PECVD) process.
15. A method for processing a substrate, the method comprising:
depositing a molybdenum-containing layer on a top surface portion of a substrate;
forming a defined pattern within the molybdenum-containing layer; and
transferring the defined pattern to a layer of material disposed below the molybdenum-containing layer.
16. The method of claim 15, wherein the depositing comprises:
exposing the top surface portion of the substrate to a molybdenum-containing precursor and one or more optional deposition precursors, thereby forming the molybdenum-containing layer.
17. The method of claim 16, wherein the exposing comprises sequentially delivering the molybdenum-containing precursor and the one or more optional deposition precursors, and further optionally comprises at least one of purging after delivering the molybdenum-containing precursor or purging after delivering the one or more optional deposition precursors.
18. The method of claim 16, wherein the exposing comprises delivering the Mo-containing precursor and the one or more optional deposition precursors simultaneously.
19. The method of claim 16, wherein the exposing further comprises delivering a reactant gas, a reducing agent, or an inert gas.
20. The method of claim 15, wherein the depositing comprises chemical vapor deposition, atomic layer deposition, or a plasma-enhanced form thereof.
21. The method of claim 15, wherein said forming said defined pattern comprises:
partially depositing a resist layer on the upper surface of the molybdenum-containing layer to form a patterned resist mask; and
transferring the pattern of the resist mask to the top surface portion of the molybdenum-containing layer to form a hard mask having one or more openings to provide the defined pattern.
22. The method of claim 21, wherein the transferring the defined pattern comprises:
etching the material layer through the one or more openings of the hard mask.
23. The method of claim 15, further comprising, prior to said depositing said Mo-containing layer:
providing an interfacial layer on the top surface portion of the substrate, thereby disposing the interfacial layer between the substrate and the molybdenum-containing layer.
24. The method of claim 23, wherein the interface layer comprises an adhesion layer, an initiation layer, or a growth layer.
25. The method of claim 23, wherein the interfacial layer comprises boron (B), carbon (C), titanium (Ti), tungsten (W), tantalum (Ta), nickel (Ni), molybdenum (Mo), nitrides thereof, silicides thereof, oxynitrides thereof, carbides thereof, or carbonitrides thereof.
26. The method of claim 23, further comprising, prior to said transferring the defined pattern to the layer of material:
etching the interfacial layer through the defined pattern within the molybdenum-containing layer.
27. The method of claim 15, further comprising, prior to said depositing said Mo-containing layer:
pretreating the top surface portion of the substrate to provide a pretreated surface disposed between the substrate and the molybdenum-containing layer.
28. The method of claim 27, further comprising, prior to said transferring the defined pattern to the layer of material:
etching the pre-treatment surface through the defined pattern within the molybdenum-containing layer.
29. The method of claim 15, wherein the transferring the defined pattern comprises:
etching the material layer through the defined pattern within the molybdenum-containing layer.
30. A method of processing a substrate, the method comprising:
providing a substrate having a layer of material disposed on a top surface portion of the substrate;
depositing a molybdenum-containing layer on a top surface portion of the material layer, wherein the depositing comprises delivering a Mo-containing precursor and one or more optional deposition precursors;
forming a patterned mask on the molybdenum-containing layer;
transferring the pattern of the patterned mask to the molybdenum-containing layer, thereby forming a defined pattern within the molybdenum-containing layer; and
transferring the defined pattern to the layer of material.
31. The method of claim 30, wherein the one or more optional deposition precursors are selected from the group consisting of carbon-containing precursors, silicon-containing precursors, and boron-containing precursors.
32. The method of claim 31, wherein the carbon-containing precursor comprises a hydrocarbon, methane (CH) 4 ) Acetylene (C) 2 H 2 ) Ethylene (C) 2 H 4 ) Propylene (C) 3 H 6 ) Propyne (C) 3 H 4 ) Allene (C) 3 H 4 ) Cyclopropene (C) 3 H 4 ) Butane (C) 4 H 10 ) Cyclohexane (C) 6 H 12 ) Benzene (C) 6 H 6 ) Or toluene (C) 7 H 8 ) At least one of (1).
33. The method of claim 31, wherein the silicon-containing precursor comprises a silane compound, an organosilane compound, an alkylsilane compound, an alkoxysilane compound, a silanol compound, a siloxane compound, an aminosilane compound, a cycloazasilane compound, a halosilane compound, or an inorganic silane compound.
34. The method of claim 31, wherein the boron-containing precursor comprises an organoborane compound, a halogenated boron compound, a borate compound, or an inorganic boron compound.
35. The method of claim 30, wherein the Mo-containing precursor comprises an organo-molybdenum compound, a molybdenum halide compound, a molybdenum oxyhalide compound, an inorganic molybdenum compound, or a compound comprising a structure having one of formulas (I) - (V):
MoL n (I),
wherein each L is independently hydrogen (H), halogen, oxide (= O), imino (= NR) 1 ) Carbonyl (CO), amine (NR) 1 R 2 ) An organic ligand, a monodentate ligand or a bidentate ligand;
n is selected from 2 to 6; and
R 1 and R 2 Each independently being aliphatic, haloaliphatic, haloheteroaliphatic, heteroaliphatic, aromatic, aliphatic-aromatic, or heteroaliphatic-aromatic;
Mo(X) m (L) n (II),
wherein each X is independently halogen, oxide (= O), imino (= NR) 1 ) Or Carbonyl (CO);
each L is an organic ligand;
R 1 is aliphatic, haloaliphatic, haloheteroaliphatic, heteroaliphatic, aromatic, aliphatic-aromatic, or heteroaliphatic-aromatic;
m is selected from 0 to 6; and
n is selected from 0-6, wherein at least one of m and n is not zero;
Mo 2 L n (III),
wherein each L is a bidentate ligand; and
n is selected from 2 to 5;
Mo(L1) m (L2) n (X) p (IV),
wherein each L1 is independently a bidentate ligand;
each L2 is independently a neutral ligand;
each X is independently an anionic ligand;
m is selected from 1 to 3;
n is selected from 0 to 4; and
p is selected from 0 to 4; or
Mo(X) m (L) n (V),
Wherein each X is independently halogen;
each L is an organic ligand;
m is selected from 1 to 6; and
n is selected from 1-4;
or a salt thereof.
36. The method of claim 30, wherein the molybdenum-containing layer comprises Mo x C y 、Mo x Si y 、Mo x B y 、Mo x C y O z 、Mo x Si y B z 、Mo x C y N z 、Mo x Si y C z 、Mo x B y C z 、Mo x N y Or Mo x O y N z
37. The method of claim 36, wherein the molybdenum-containing layer is a hard mask, an etch stop layer, a conformal layer, or a step cap layer.
38. The method of claim 30, wherein the molybdenum-containing layer has a low fluorine content.
39. The method of claim 30, wherein the molybdenum-containing layer has a low stress.
40. The method of claim 30, wherein the molybdenum-containing layer has a high etch selectivity.
41. An apparatus for processing a substrate, the apparatus comprising:
a process chamber comprising a substrate holder;
a process gas source connected to the process chamber and associated flow control hardware;
substrate handling hardware coupled to the process chamber; and
a controller having a processor and a memory, wherein the processor and the memory are communicatively coupled to each other, the processor is operatively coupled to at least the flow control hardware and the substrate handling hardware, and the memory stores computer-executable instructions to perform the operations recited in the method of claim 1.
42. The apparatus of claim 41, wherein the computer-executable instructions comprise instructions configured to:
exposing a top surface portion of a substrate to a molybdenum-containing precursor and one or more deposition precursors within the processing chamber; and
causing a metal-containing layer or a molybdenum-containing layer to be deposited on the top surface portion of the substrate.
43. The device of claim 41, further comprising:
a plasma source connected to the process chamber.
44. The apparatus of claim 43, wherein the computer-executable instructions comprise instructions configured to:
exposing a top surface portion of a substrate to a molybdenum-containing precursor and one or more deposition precursors within the processing chamber;
supplying a plasma to the process chamber; and
causing a metal-containing layer or a molybdenum-containing layer to be deposited on the top surface portion of the substrate.
CN202280005128.XA 2021-05-14 2022-05-11 High-selectivity doped hard mask film Pending CN115702474A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202163201839P 2021-05-14 2021-05-14
US63/201,839 2021-05-14
PCT/US2022/028845 WO2022241042A1 (en) 2021-05-14 2022-05-11 High selectivity doped hardmask films

Publications (1)

Publication Number Publication Date
CN115702474A true CN115702474A (en) 2023-02-14

Family

ID=84029834

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202280005128.XA Pending CN115702474A (en) 2021-05-14 2022-05-11 High-selectivity doped hard mask film

Country Status (5)

Country Link
JP (1) JP2024517288A (en)
KR (1) KR20240008230A (en)
CN (1) CN115702474A (en)
TW (1) TW202309328A (en)
WO (1) WO2022241042A1 (en)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9624577B2 (en) * 2014-07-22 2017-04-18 Applied Materials, Inc. Deposition of metal doped amorphous carbon film
US9520295B2 (en) * 2015-02-03 2016-12-13 Lam Research Corporation Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
JP2018098287A (en) * 2016-12-09 2018-06-21 東芝メモリ株式会社 Method of manufacturing semiconductor device
US10096475B1 (en) * 2017-11-17 2018-10-09 Lam Research Corporation System and method for depositing a homogenous interface for PECVD metal-doped carbon hardmasks
US10643846B2 (en) * 2018-06-28 2020-05-05 Lam Research Corporation Selective growth of metal-containing hardmask thin films

Also Published As

Publication number Publication date
TW202309328A (en) 2023-03-01
WO2022241042A1 (en) 2022-11-17
KR20240008230A (en) 2024-01-18
JP2024517288A (en) 2024-04-19

Similar Documents

Publication Publication Date Title
JP7419326B2 (en) Underlayer for photoresist adhesion and dose reduction
US20240052486A1 (en) Precursors for deposition of molybdenum-containing films
KR20230039625A (en) Chamber undercoat preparation method for low temperature ald films
TWI612173B (en) Methods for depositing films on sensitive substrates
JP2018164079A (en) Selective growth of silicon nitride
KR20160118968A (en) Deposition of conformal films by ald and atomic layer etch
US20220298624A1 (en) Substantially carbon-free molybdenum-containing and tungsten-containing films in semiconductor device manufacturing
KR20190050854A (en) Composite dielectric interfacial layers for interconnect structures
CN115702474A (en) High-selectivity doped hard mask film
CN117836904A (en) In situ core protection in multiple patterning
WO2023122557A1 (en) Conformal silicon oxide deposition using aminosilane and chlorosilane precursors
WO2023163950A1 (en) Thermal film deposition
WO2023178216A1 (en) Low-k dielectric protection during plasma deposition of silicon nitride
WO2023230170A1 (en) Hybrid atomic layer deposition
WO2023114641A1 (en) Conformal deposition of silicon nitride
WO2023133075A1 (en) Silicon nitride deposition
WO2023114640A1 (en) Deposition of metals in recessed features with the use of halogen-containing deposition inhibitors
TW202403079A (en) Deposition of metal-containing films and chamber clean
WO2024081263A1 (en) Deposition of metal-containing films
WO2023172736A1 (en) Methods of selective deposition and chemical delivery systems
WO2023102440A1 (en) Conformal, carbon-doped silicon nitride films and methods thereof
TW202417974A (en) Patterning structure and method of making the same, method of depositing underlayers and substrate processing apparatus
WO2023114648A1 (en) Low temperature molybdenum deposition assisted by silicon-containing reactants
TW202345205A (en) Method to smooth sidewall roughness and maintain reentrant structures during dielectric gap fill

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination