CN114597258A - 晶体管栅极结构及其形成方法 - Google Patents

晶体管栅极结构及其形成方法 Download PDF

Info

Publication number
CN114597258A
CN114597258A CN202110539991.0A CN202110539991A CN114597258A CN 114597258 A CN114597258 A CN 114597258A CN 202110539991 A CN202110539991 A CN 202110539991A CN 114597258 A CN114597258 A CN 114597258A
Authority
CN
China
Prior art keywords
metal
layer
work function
pure
nanostructure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202110539991.0A
Other languages
English (en)
Inventor
李欣怡
林加明
徐志安
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN114597258A publication Critical patent/CN114597258A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/2654Bombardment with radiation with high-energy radiation producing ion implantation in AIIIBV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Composite Materials (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

本申请涉及晶体管栅极结构及其形成方法。在一个实施例中,一种器件包括:第一纳米结构;第二纳米结构;包围第一纳米结构和第二纳米结构的栅极电介质,栅极电介质包含电介质材料;以及栅极电极,栅极电极包括:在栅极电介质上的功函数调谐层,功函数调谐层包含纯功函数金属,功函数调谐层的纯功函数金属和栅极电介质的电介质材料将第一纳米结构与第二纳米结构之间的区域完全填充,纯功函数金属具有大于95%原子百分比的金属的成分;以及在功函数调谐层上的填充层。

Description

晶体管栅极结构及其形成方法
技术领域
本申请涉及半导体领域,并且更具体地涉及晶体管栅极结构及其形成方法。
背景技术
半导体器件被用于各种电子应用,例如,个人计算机、蜂窝电话、数码相机和其他电子设备。半导体器件通常通过以下方式来制造:在半导体衬底上按顺序地沉积绝缘或电介质层、导电层、和半导体层的材料,并且使用光刻对各种材料层进行图案化以在其上形成电路组件和元件。
半导体工业通过不断减小最小特征尺寸来持续改进各种电子组件(例如,晶体管、二极管、电阻器、电容器等)的集成密度,这允许更多组件被集成到给定面积中。然而,随着最小特征尺寸的减小,出现了额外应解决的问题。
发明内容
根据本公开的一个方面,提供一种晶体管栅极结构,该晶体管栅极结构包括:第一纳米结构;第二纳米结构;包围第一纳米结构和第二纳米结构的栅极电介质,栅极电介质包含电介质材料;以及栅极电极,栅极电极包括:在栅极电介质上的功函数调谐层,功函数调谐层包含纯功函数金属,功函数调谐层的纯功函数金属和栅极电介质的电介质材料将第一纳米结构与第二纳米结构之间的区域完全填充,纯功函数金属具有大于95%原子百分比的金属的成分;以及在功函数调谐层上的填充层。
根据本公开的另一方面,提供一种晶体管栅极结构,该晶体管栅极结构包括:在衬底上的沟道区域;在沟道区域上的栅极电介质层;在栅极电介质层上的功函数金属,功函数金属具有第一浓度的杂质,杂质包括准金属或非金属;在功函数金属上的粘附金属,粘附金属具有第二浓度的杂质,第二浓度大于第一浓度;以及在粘附金属上的填充金属,填充金属不同于功函数金属,填充金属具有第三浓度的杂质,第二浓度大于所述第三浓度。
根据本公开的又一方面,提供一种用于形成晶体管栅极结构的方法,该方法包括:在衬底上形成第一纳米结构和第二纳米结构;形成栅极电介质层,栅极电介质层具有包围第一纳米结构的第一部分并且具有包围第二纳米结构的第二部分;在栅极电介质层上沉积纯功函数金属,纯功函数金属在栅极电介质层的第一部分和栅极电介质层的第二部分之间连续延伸;以及在纯功函数金属上沉积纯填充金属。
附图说明
在结合附图阅读时,可以通过下面的具体描述来最佳地理解本公开的各方面。应当注意,根据该行业的标准惯例,各种特征不是按比例绘制的。事实上,为了讨论的清楚起见,各种特征的尺寸可能被任意增大或减小。
图1示出了根据一些实施例的三维视图中的纳米结构场效应晶体管(纳米结构FET)的示例。
图2、图3、图4、图5、图6、图7A、图7B、图8A、图8B、图9A、图9B、图9C、图9D、图10A、图10B、图11A、图11B、图12A、图12B、图13A、图13B、图14A、图14B、图15A、图15B、图16A、图16B、图17A、图17B、图18A、图18B、图19A和图19B是根据一些实施例的制造纳米结构FET的中间阶段的视图。
图20A、图20B、图21A和图21B是根据一些其他实施例的纳米结构FET的视图。
具体实施方式
下面的公开内容提供了用于实现本发明的不同特征的许多不同的实施例或示例。下文描述了组件和布置的具体示例以简化本公开。当然,这些仅是示例而不意在进行限制。例如,在下面的说明中,在第二特征之上或在第二特征上形成第一特征可以包括以直接接触的方式形成第一特征和第二特征的实施例,并且还可以包括可在第一特征和第二特征之间形成附加特征使得第一特征和第二特征可不直接接触的实施例。此外,本公开在各个示例中可以重复附图标记和/或字母。这种重复是为了简单和清楚的目的,并且其本身不表示所讨论的各个实施例和/或配置之间的关系。
此外,本文可能使用了空间相关术语(例如,“之下”、“下方”、“下”、“上方”、“上”等),以易于描述附图中所示的一个要素或特征与另外(一个或多个)要素或(一个或多个)特征的关系。这些空间相关术语意在涵盖使用中或工作中的器件处于除了附图中所示朝向之外的不同朝向。装置可能以其他方式定向(旋转90度或处于其他朝向),并且本文使用的空间相关描述符可类似地进行相应解释。
根据各种实施例,晶体管栅极结构形成有功函数调谐层,这些功函数调谐层由(一种或多种)纯功函数金属形成。可以用若干种沉积工艺中的一种来沉积该(一种或多种)纯功函数金属,并且可以可选地执行纯化处理以增加功函数调谐层的金属的纯度。具有由(一种或多种)纯功函数金属形成的功函数调谐层的器件具有接近(一种或多种)金属的能带边缘的功函数,从而允许降低器件的阈值电压。此外,由(一种或多种)纯功函数金属形成的功函数调谐层具有低电阻。器件性能因此可以被改善。
在特定的上下文中描述了包括纳米结构FET(field effect transistor,FET)的管芯的实施例。然而,各种实施例可应用于包括其他类型晶体管(例如,鳍式场效应晶体管(fin field effect transistor,FinFET)、平面晶体管等)的管芯以代替纳米结构FET或与纳米结构FET组合。
图1示出了根据一些实施例的纳米结构FET(例如,纳米线FET、纳米片FET等)的示例。图1是一个三维视图,在该三维视图中为了图示清楚,省略了纳米结构FET的一些特征。纳米结构FET可以是纳米片场效应晶体管(nanosheet field effect transistor,NSFET)、纳米线场效应晶体管(nanowire field-effect transistor,NWFET)、栅极全环绕场效应晶体管(gate-all-around field-effect transistor,GAAFET)等。
纳米结构FET在衬底50(例如,半导体衬底)上包括鳍62之上的纳米结构66(例如,纳米片、纳米线等),其中纳米结构66充当纳米结构FET的沟道区域。纳米结构66可以包括p型纳米结构、n型纳米结构或它们的组合。隔离区域70(例如,浅沟槽隔离(shallow trenchisolation,STI)区域)布置在相邻鳍62之间,鳍62可以从相邻的隔离区域70之间突出得高于隔离区域70。尽管隔离区域70被描述/图示为独立于衬底50,但如本文所使用的,术语“衬底”可以指代单独的半导体衬底、或者半导体衬底与隔离区域的组合。此外,尽管鳍62的底部部分被图示为与衬底50成单一连续材料,但鳍62的底部部分和/或衬底50可以包括单一材料或多种材料。在该上下文中,鳍62指代从相邻的隔离区域70之间延伸得高于隔离区域70的部分。
栅极电介质122位于鳍62的顶表面之上并且沿着纳米结构66的顶表面、侧壁和底表面。栅极电极124位于栅极电介质122之上。外延源极/漏极区域98布置在鳍62上,处于栅极电介质122和栅极电极124的相对侧处。可以在各鳍62之间共享这些外延源极/漏极区域98。例如,可以例如通过下述方式来将相邻的外延源极/漏极区域98电连接:通过外延生长来联合这些外延源极/漏极区域98、或者通过将这些外延源极/漏极区域98与同一源极/漏极接触件耦合。
图1还示出了在后面的附图中使用的参考截面。截面A-A’沿着栅极电极124的纵轴并且在例如垂直于纳米结构FET的外延源极/漏极区域98之间的电流流动方向的方向上。截面B-B’沿着纳米结构66的纵轴,并且在例如纳米结构FET的外延源极/漏极区域98之间的电流流动的方向上。截面C-C’与截面A-A’平行并且延伸穿过纳米结构FET的外延源极/漏极区域98。为了清楚起见,后续附图引用这些参考截面。
本文所讨论的一些实施例是在使用后栅极工艺形成纳米结构FET的上下文中讨论的。在其他实施例中,可以使用先栅极工艺。此外,一些实施例考虑在平面器件(例如,平面FET)中或在鳍式场效应晶体管(FinFET)中使用的各方面。例如,FinFET可以在衬底上包括鳍,其中这些鳍用作FinFET的沟道区域。类似地,平面FET可以包括衬底,其中衬底的一部分用作平面FET的沟道区域。
图2到图19B是根据一些实施例的制造纳米结构FET的中间阶段的视图。图2、图3、图4、图5和图6是三维视图,显示了与图1类似的三维视图。图7A、图8A、图9A、图10A、图11A、图12A、图13A、图14A、图15A、图16A、图17A、图18A和图19A示出了图1中所示的参考截面A-A’,区别之处在于显示了两个鳍。图7B、图8B、图9B、图10B、图11B、图12B、图13B、图14B、图15B、图16B、图17B、图18B和图19B示出了图1中所示的参考截面B-B’。图9C和图9D示出了图1中所示的参考截面C-C’,区别之处在于显示了两个鳍。
在图2中,提供了用于形成纳米结构FET的衬底50。衬底50可以是半导体衬底(例如体半导体、绝缘体上半导体(semiconductor-on-insulator,SOI)衬底等),其可以是掺杂的(例如,用p型或n型杂质掺杂)或未掺杂的。衬底50可以是晶圆,例如硅晶圆。通常,SOI衬底是在绝缘体层上形成的半导体材料层。绝缘体层可以是例如埋置氧化物(buried oxide,BOX)层、氧化硅层等。绝缘体层设置在通常为硅衬底或玻璃衬底的衬底上。还可以使用其他衬底,例如多层衬底或梯度衬底。在一些实施例中,衬底50的半导体材料可以包括:硅;锗;化合物半导体,包括碳化硅、砷化镓、磷化镓、磷化铟、砷化铟、和/或锑化铟;合金半导体,包括硅锗、磷砷化镓、砷化铝铟、砷化铝镓、砷化镓铟、磷化镓铟、和/或磷砷化镓铟;或它们的组合等。
衬底50具有n型区域50N和p型区域50P。n型区域50N可以用于形成诸如N型金属-氧化物-半导体(N metal-oxide-semiconductor,NMOS)晶体管之类的n型器件,例如n型纳米结构FET,并且p型区域50P可以用于形成诸如P型金属-氧化物-半导体(P metal-oxide-semiconductor,PMOS)晶体管之类的p型器件,例如p型纳米结构FET。n型区域50N可以在物理上独立于p型区域50P(未单独示出),并且任何数量的器件特征(例如,其他有源器件、掺杂区域、隔离结构等)可以布置在n型区域50N和p型区域50P之间。尽管示出了一个n型区域50N与一个p型区域50P,但是可以提供任何数量的n型区域50N和p型区域50P。
衬底50可以用p型或n型杂质进行轻掺杂。可在衬底50的靠上部分上执行防穿通(Anti-Punch-Through,APT)注入以形成APT区域。在APT注入期间,杂质可以被注入衬底50中。杂质的导电类型可与随后将在n型区域50N和p型区域50P中的每一者中形成的源极/漏极区域的导电类型相反。APT区域可以延伸得低于纳米结构FET中的源极/漏极区域。APT区域可用于减少从源极/漏极区域到衬底50的泄漏。在一些实施例中,APT区域中的掺杂浓度可以在约1018cm-3到约1019cm-3的范围内。
在衬底50之上形成多层堆叠52。多层叠层52包括交替的第一半导体层54和第二半导体层56。第一半导体层54由第一半导体材料形成,并且第二半导体层56由第二半导体材料形成。每种半导体材料可以从衬底50的候选半导体材料中选择。在所示的实施例中,多层堆叠52包括三层第一半导体层54和三层第二半导体层56。应当理解,多层堆叠52可以包括任何数量的第一半导体层54与第二半导体层56。
在所示的实施例中,并且如随后将更详细地描述的,第一半导体层54将被去除,并且第二半导体层56将被图案化以在n型区域50N和p型区域50P两者中形成用于纳米结构FET的沟道区域。第一半导体层54是牺牲层(或虚设层),其将在随后的处理中被去除以使第二半导体层56的顶表面和底表面暴露。第一半导体层54的第一半导体材料是具有高蚀刻选择性(与蚀刻第二半导体层56相比)的材料,例如硅锗。第二半导体层56的第二半导体材料是适合于n型和p型器件的材料,例如硅。
在另一实施例(未单独图示)中,第一半导体层54将被图案化以在一个区域(例如,p型区域50P)中形成用于纳米结构FET的沟道区域,并且第二半导体层56将被图案化以在另一区域(例如,n型区域50N)中形成用于纳米结构FET的沟道区域。第一半导体层54的第一半导体材料可以是适合于p型器件的材料,例如硅锗(例如,SixGe1-x,其中x可以在0到1的范围内)、纯锗、III-V族化合物半导体、II-VI族化合物半导体等。第二半导体层56的第二半导体材料可以是适合于n型器件的材料,例如硅、碳化硅、III-V族化合物半导体、II-VI族化合物半导体等。第一半导体材料和第二半导体材料可以具有相对彼此蚀刻而言的高蚀刻选择性,使得在不去除n型区域50N中的第二半导体层56的情况下第一半导体层54可被去除,以及在不去除p型区域50P中的第一半导体层54的情况下第二半导体层56可被去除。
多层堆叠52的每一层可以通过诸如气相外延(vapor phase epitaxy,VPE)或分子束外延(molecular beam epitaxy,MBE)之类的工艺生长,并且通过诸如化学气相沉积(chemical vapor deposition,CVD)或原子层沉积(atomic layer deposition,ALD)等工艺来沉积。每一层可以具有小厚度,例如厚度在约5nm至约30nm范围内。在一些实施例中,一些层(例如,第二半导体层56)被形成为比其他层(例如,第一半导体层54)更薄。例如,在第一半导体层54是牺牲层(或虚设层)并且第二半导体层56被图案化以形成用于在n型区域50N和p型区域50P中的纳米结构FET的沟道区域的实施例中,第一半导体层54可以具有第一厚度T1并且第二半导体层56可以具有第二厚度T2,其中第二厚度T2比第一厚度T1小约30%到约60%。将第二半导体层56形成为更小的厚度允许以更大的密度形成沟道区域。
在图3中,在衬底50和多层叠层52中图案化沟槽,以形成鳍62、第一纳米结构64和第二纳米结构66。鳍62是在衬底50中图案化的半导体条。第一纳米结构64和第二纳米结构66分别包括第一半导体层54的剩余部分和第二半导体层56的剩余部分。可以通过任何可接受的蚀刻工艺来图案化沟槽,例如反应离子蚀刻(reactive ion etch,RIE)、中性束蚀刻(neutral beam etch,NBE)等或它们的组合。蚀刻可以是各向异性的。
鳍62和纳米结构64、66可以通过任何合适的方法来图案化。例如,可以使用一种或多种光刻工艺(包括双重图案化或多重图案化工艺)来图案化鳍62和纳米结构64、66。通常,双重图案化或多重图案化工艺将光刻和自对准工艺结合起来,从而允许创建的图案具有的间距例如比可使用单一直接光刻工艺获得的间距更小。例如,在一个实施例中,牺牲层形成在衬底之上并且使用光刻工艺来图案化。使用自对准工艺沿着图案化的牺牲层形成间隔件(spacer)。然后去除牺牲层,并且剩余的间隔件随后可以用作掩模以图案化鳍62和纳米结构64、66。在一些实施例中,掩模(或其他层)可以保留在纳米结构64、66上。
鳍62和纳米结构64、66各自的宽度可以在约8nm至约40nm范围内。在所示的实施例中,鳍62和纳米结构64、66在n型区域50N和p型区域50P中具有基本相等的宽度。在另一实施例中,一个区域(例如,n型区域50N)中的鳍62和纳米结构64、66比另一区域(例如,p型区域50P)中的鳍62和纳米结构64、66更宽或更窄。
在图4中,在衬底50之上并且在相邻鳍62之间形成STI区域70。STI区域70被布置成包围鳍62的至少一部分,使得纳米结构64、66的至少一部分从相邻的STI区域70之间突出。在所示的实施例中,STI区域70的顶表面与鳍62的顶表面共面(在工艺变动范围内)。在一些实施例中,STI区域70的顶表面高于或低于鳍62的顶表面。STI区域70使相邻器件的特征分隔开。
可以通过任何合适的方法形成STI区域70。例如,绝缘材料可以形成在衬底50和纳米结构64、66之上并且位于相邻鳍62之间。绝缘材料可以是氧化物,例如氧化硅、氮化物(例如,氮化硅)等或它们的组合,并且可以通过化学气相沉积(CVD)工艺(例如,高密度等离子体CVD(high density plasma CVD,HDP-CVD)、可流动CVD(flowable CVD,FCVD)等或它们的组合)来形成。可以使用由任何可接受的工艺形成的其他绝缘材料。在一些实施例中,绝缘材料是通过FCVD形成的氧化硅。一旦形成了绝缘材料,就可以执行退火工艺。在一个实施例中,绝缘材料被形成为使得过剩的绝缘材料覆盖纳米结构64、66。尽管各个STI区域70被图示为单层,但是一些实施例可以利用多层。例如,在一些实施例中,可以首先沿衬底50、鳍62和纳米结构64、66的表面形成衬里(未单独图示)。此后,可以在衬里之上形成填充材料,例如先前描述的那些填充材料。
然后,对绝缘材料应用去除工艺以去除纳米结构64、66之上的过剩绝缘材料。在一些实施例中,可以利用平坦化工艺,例如化学机械抛光(chemical mechanical polish,CMP)、回蚀工艺、它们的组合等。在掩模保留在纳米结构64、66上的实施例中,平坦化工艺可使掩模暴露或去除掩模。在平坦化工艺之后,绝缘材料的顶表面与掩模(若存在)或纳米结构64、66的顶表面共面(在工艺变动范围内)。因此,掩模(若存在)或纳米结构64、66的顶表面贯通绝缘材料而被暴露。在所示的实施例中,纳米结构64、66上不保留掩模。然后使绝缘材料凹陷以形成STI区域70。绝缘材料被凹陷,使得纳米结构64、66的至少一部分从绝缘材料的相邻部分之间突出。此外,STI区域70的顶表面可以具有平坦表面(如图所示)、凸表面、凹表面(例如碟形)或它们的组合。STI区域70的顶表面可以通过适当的蚀刻被形成为平坦的、凸的和/或凹的。可以使用可接受的蚀刻工艺来使绝缘材料凹陷,例如针对绝缘材料的材料具有选择性的蚀刻工艺(例如,以比针对鳍62和纳米结构64、66的材料进行蚀刻更快的速率来选择性地蚀刻STI区域70)。例如,可以使用稀释氢氟(dHF)酸执行氧化物去除。
前面描述的工艺只是可以如何形成鳍62和纳米结构64、66的一个示例。在一些实施例中,可以使用掩模和外延生长工艺形成鳍62和/或纳米结构64、66。例如,可以在衬底50的顶表面之上形成电介质层,并且可以贯通电介质层蚀刻沟槽以使下面的衬底50暴露。外延结构可以在沟槽中外延生长,并且电介质层可以凹陷,使得外延结构从电介质层突出以形成鳍62和/或纳米结构64、66。外延结构可以包括先前描述的交替的半导体材料,例如第一半导体材料和第二半导体材料。在外延生长外延结构的一些实施例中,可以在生长期间对外延生长的材料进行原位掺杂,这可以避免先前和/或随后的注入,然而原位掺杂和注入掺杂也可以一起使用。
此外,可以在衬底50、鳍62和/或纳米结构64、66中形成适当的阱(未单独示出)。阱的导电类型可以与随后将在n型区域50N和p型区域50P中的每一者中形成的源极/漏极区域的导电类型相反。在一些实施例中,可以在n型区域50N中形成p型阱,并且可以在p型区域50P中形成n型阱。在一些实施例中,在n型区域50N和p型区域50P中都形成p型阱或n型阱。
在具有不同阱类型的实施例中,针对n型区域50N和p型区域50P的不同注入步骤可以使用诸如光致抗蚀剂之类的掩模(未单独示出)来实现。例如,可以在n型区域50N中的鳍62、纳米结构64、66和STI区域70之上形成光致抗蚀剂。光致抗蚀剂可以被图案化以使p型区域50P暴露。光致抗蚀剂可以通过使用旋涂技术来形成并且可以使用可接受的光刻技术来图案化。一旦光致抗蚀剂被图案化,便在p型区域50P中执行n型杂质注入,并且光致抗蚀剂可以充当掩模以基本上防止n型杂质注入n型区域50N中。n型杂质可以是注入该区域中的磷、砷、锑等,其浓度在约1013cm-3至约1014cm-3的范围内。在注入之后,可以去除光致抗蚀剂,例如通过可接受的灰化工艺。
在针对p型区域50P的注入之后或之前,在p型区域50P中的鳍62、纳米结构64、66和STI区域70之上形成诸如光致抗蚀剂之类的掩模(未单独示出)。光致抗蚀剂被图案化以使n型区域50N暴露。光致抗蚀剂可以通过使用旋涂技术来形成并且可以使用可接受的光刻技术来图案化。一旦光致抗蚀剂被图案化,便可以在n型区域50N中执行p型杂质注入,并且光致抗蚀剂可以充当掩模以基本上防止p型杂质注入p型区域50P中。p型杂质可以为注入该区域中的硼、氟化硼、铟等,其浓度在约1013cm-3到约1014cm-3的范围内。在注入之后,可以去除光致抗蚀剂,例如通过可接受的灰化工艺。
在针对n型区域50N和p型区域50P的注入之后,可以执行退火以修复注入损伤并激活所注入的p型和/或n型杂质。在针对鳍62和/或纳米结构64、66外延生长外延结构的一些实施例中,可以在生长期间对生长的材料进行原位掺杂,这可以避免注入,然而原位掺杂和注入掺杂也可以一起使用。
在图5中,在鳍62和纳米结构64、66上形成虚设电介质层72。虚设电介质层72可以由诸如氧化硅、氮化硅、它们的组合等的电介质材料来形成,这些电介质材料可以根据可接受的技术来沉积或热生长。在虚设电介质层72之上形成虚设栅极层74,并且在虚设栅极层74之上形成掩模层76。可以在虚设电介质层72之上沉积虚设栅极层74,并且然后例如通过CMP来把虚设栅极层74平坦化。可以在虚设栅极层74之上沉积掩模层76。虚设栅极层74可以由导电或非导电材料形成,例如非晶硅、多晶型硅(多晶硅)、多晶硅锗(多晶SiGe)、金属、金属氮化物、金属硅化物、金属氧化物等,这些材料可以通过物理气相沉积(physical vapordeposition,PVD)、CVD等来沉积。虚设栅极层74可以由具有高蚀刻选择性(与蚀刻绝缘材料(例如,STI区域70和/或虚设电介质层72)相比)的(一种或多种)材料形成。掩模层76可以由诸如氮化硅、氮氧化硅等电介质材料形成。在该示例中,跨n型区域50N和p型区域50P形成单个虚设栅极层74和单个掩模层76。在所示的实施例中,虚设电介质层72覆盖鳍62、纳米结构64、66和STI区域70,使得虚设电介质层72在STI区域70之上并且在虚设栅极层74和STI区域70之间延伸。在另一实施例中,虚设电介质层72仅覆盖鳍62和纳米结构64、66。
在图6中,使用可接受的光刻和蚀刻技术对掩模层76进行图案化以形成掩模86。然后通过任何可接受的蚀刻技术将掩模86的图案转移到虚设栅极层74以形成虚设栅极84。掩模86的图案可以可选地通过可接受的蚀刻技术进一步转移到虚设电介质层72以形成虚设电介质82。虚设栅极84覆盖纳米结构64、66的部分,这些部分将在随后的处理中暴露以形成沟道区域。具体地,虚设栅极84沿着纳米结构66的部分延伸,这些部分将被图案化以形成沟道区域68。掩模86的图案可用于将相邻的虚设栅极84在物理上分隔开。此外,虚设栅极84的纵长方向可以与鳍62的纵长方向基本上垂直(在工艺变动范围内)。掩模86可以可选地在图案化之后被去除,例如通过可接受的蚀刻技术。
图7A到图19B示出了制造实施例器件中的各种附加步骤。图7A到图19B示出了在n型区域50N和p型区域50P中任一者中的特征。例如,所示出的结构可以适用于n型区域50N和p型区域50P两者。n型区域50N和p型区域50P的结构中的差异(若存在)在每幅图所对应的文字中描述。
在图7A和图7B中,栅极间隔件90形成在纳米结构64、66之上、位于掩模86(若存在)、虚设栅极84和虚设电介质82的暴露的侧壁上。栅极间隔件90可以通过共形地沉积一种或多种电介质材料并随后蚀刻该(一种或多种)电介质材料来形成。可接受的电介质材料包括:氧化硅、氮化硅、氮氧化硅、碳氮氧化硅等,可以通过共形沉积工艺形成,例如化学气相沉积(CVD)、等离子体增强化学气相沉积(plasma-enhanced chemical vapor deposition,PECVD)、原子层沉积(ALD)、等离子体增强原子层沉积(plasma-enhanced atomic layerdeposition,PEALD)等。可以使用通过任何可接受的工艺形成的其他绝缘材料。在所示的实施例中,每个栅极间隔件90包括多个层,例如第一间隔件层90A和第二间隔件层90B。在一些实施例中,第一间隔件层90A和第二间隔件层90B由碳氮氧化硅(例如SiOxNyC1-x-y,其中x和y在0到1的范围内)形成,其中第一间隔件层90A可以由与第二间隔件层90B相似或不同的碳氮氧化硅的成分形成。可以执行可接受的蚀刻工艺,例如干法蚀刻、湿法蚀刻等或它们组合,以对(一种或多种)电介质材料进行图案化。蚀刻可以是各向异性的。(一种或多种)电介质材料在被蚀刻时具有留在虚设栅极84的侧壁上的部分(从而形成栅极间隔件90)。如随后将更详细地描述的,(一种或多种)电介质材料在被蚀刻时还可以具有留在鳍62和/或纳米结构64、66的侧壁上的部分(从而形成鳍间隔件92,见图9C和图9D)。在蚀刻之后,鳍间隔件92/栅极间隔件90可以具有笔直侧壁(如图所示)或者可以具有弯曲侧壁(未单独示出)。
此外,可以执行注入以形成轻掺杂的源极/漏极(lightly doped source/drain,LDD)区域(未单独示出)。在针对不同器件类型的实施例中,类似于先前针对阱描述的注入,可以在n型区域50N之上形成诸如光致抗蚀剂之类的掩模(未单独示出),同时使p型区域50P暴露,并且可以将适当类型的杂质(例如,p型)注入到在p型区域50P中暴露的鳍62和/或纳米结构64、66中。然后,可以去除掩模。随后,可以在p型区域50P之上形成诸如光致抗蚀剂之类的掩模(未单独示出),同时使n型区域50N暴露,并且可以将适当类型的杂质(例如,n型)注入到在n型区域50N中暴露的鳍62和/或纳米结构64、66中。然后,可以去除掩模。n型杂质可以是前面描述的任何n型杂质,并且p型杂质可以是前面描述的任何p型杂质。在注入期间,沟道区域68保持被虚设栅极84覆盖,使得沟道区域68保持基本上没有被注入以形成LDD区域的杂质。LDD区域的杂质浓度可在约1015cm-3至约1019cm-3范围内。退火可用于修复注入物损伤并激活注入的杂质。
注意,先前的公开总体描述了形成间隔件和LDD区域的工艺。可使用其他工艺和顺序。例如,可以使用较少或额外的间隔件、可以使用不同的步骤顺序、可以形成和去除额外的间隔件,等等。此外,可以使用不同的结构和步骤来形成n型器件和p型器件。
在图8A和图8B中,在纳米结构64、66中形成源极/漏极凹部94。在所示的实施例中,源极/漏极凹部94延伸贯通纳米结构64、66并进入鳍62。源极/漏极凹部94也可以延伸进入衬底50中。在各种实施例中,源极/漏极凹部94可以延伸至衬底50的顶表面但不蚀刻衬底50;可以蚀刻鳍62以使得源极/漏极凹部94的底表面被布置为低于STI区域70的顶表面;等等。可以通过使用各向异性蚀刻工艺(例如反应离子蚀刻(reactive ion etching,RIE)、中性束蚀刻(Neutral Beam Etch,NBE)等)蚀刻纳米结构64、66来形成源极/漏极凹部94。在用于形成源极/漏极凹部94的蚀刻工艺期间,栅极间隔件90和虚设栅极84共同地掩蔽鳍62和/或纳米结构64、66的部分。单一蚀刻工艺可用于蚀刻纳米结构64、66中的每一个纳米结构,或者多种蚀刻工艺可用于蚀刻纳米结构64、66。时控蚀刻工艺可用于在源极/漏极凹部94达到所需深度之后停止源极/漏极凹部94的蚀刻。
可选地,在第一纳米结构64的剩余部分的侧壁(例如,被源极/漏极凹部94暴露的那些侧壁)上形成内部间隔件96。如随后将更详细地描述的,源极/漏极区域随后将在源极/漏极凹部94中形成,并且第一纳米结构64随后将被相应的栅极结构替换。内部间隔件96充当随后形成的源极/漏极区域与随后形成的栅极结构之间的隔离特征。此外,内部间隔件96可用于基本上防止随后的蚀刻工艺(例如用于随后去除第一纳米结构64的蚀刻工艺)对随后形成的源极/漏极区域造成损伤。
作为形成内部间隔件96的示例,可以横向地扩展源极/漏极凹部94。具体地,第一纳米结构64的侧壁中被源极/漏极凹部94暴露的部分可以被凹陷。尽管第一纳米结构64的侧壁被图示为笔直的,但是这些侧壁可以是凹的或凸的。侧壁可以通过可接受的蚀刻工艺被凹陷,例如针对第一纳米结构64的材料具有选择性的蚀刻工艺(例如,以比针对第二纳米结构66的材料的蚀刻更快的速率选择性地蚀刻第一纳米结构64的材料)。蚀刻可以是各向同性的。例如,当第二纳米结构66由硅形成并且第一纳米结构64由硅锗形成时,蚀刻工艺可以是使用四甲基氢氧化铵(tetramethylammonium hydroxide,TMAH)、氢氧化铵(ammoniumhydroxide,NH4OH)等的湿法蚀刻。在另一实施例中,蚀刻工艺可以是使用氟基气体(例如,氟化氢(hydrogen fluoride,HF)气体)的干法蚀刻。在一些实施例中,可以连续地执行相同的蚀刻工艺以形成源极/漏极凹部94并且使第一纳米结构64的侧壁凹陷。然后,可以通过共形地形成绝缘材料并随后蚀刻绝缘材料来形成内部间隔件96。绝缘材料可以是氮化硅或氮氧化硅,然而也可以使用任何合适的材料,例如k值小于约3.5的低电介质常数(低k)材料。可以通过共形沉积工艺(例如ALD、CVD等)来沉积绝缘材料。对绝缘材料的蚀刻可以是各向异性的。例如,蚀刻工艺可以是干法蚀刻,例如RIE、NBE等。尽管内部间隔件96的外部侧壁被图示为与栅极间隔件90的侧壁齐平,但内部间隔件96的外部侧壁可以延伸得超出栅极间隔件90的侧壁或从栅极间隔件90的侧壁凹陷。换言之,内部间隔件96可以将侧壁凹部填充、完全填满或过满填充。此外,尽管内部间隔件96的侧壁被图示为笔直的,但内部间隔件96的侧壁可以是凹的或凸的。
在图9A和图9B中,在源极/漏极凹部94中形成外延源极/漏极区域98。外延源极/漏极区域98形成在源极/漏极凹部94中,使得每个虚设栅极84(以及其相应的沟道区域68)被布置在相应的相邻外延源极/漏极区域对98之间。在一些实施例中,栅极间隔件90用于将外延源极/漏极区域98与虚设栅极84分隔开适当的横向距离,并且内部间隔件96用于将外延源极/漏极区域98与第一纳米结构64分隔开适当的横向距离,使得外延源极/漏极区域98不会与随后形成的所得纳米结构FET的栅极短接。可以对外延源极/漏极区域98的材料进行选择以在相应沟道区域68中施加应力,从而改进性能。
n型区域50N中的外延源极/漏极区域98可以通过掩蔽p型区域50P来形成。然后,n型区域50N中的外延源极/漏极区域98在n型区域50N中的源极/漏极凹部94中外延生长。外延源极/漏极区域98可以包括适合于n型器件的任何可接受材料。例如,n型区域50N中的外延源极/漏极区域98可以包括对沟道区域68施加拉伸应变的材料,例如硅、碳化硅、磷掺杂的碳化硅、磷化硅等。n型区域50N中的外延源极/漏极区域98可被称为“n型源极/漏极区域”。n型区域50N中的外延源极/漏极区域98的表面可以凸起得高于相应鳍62和纳米结构64、66的表面,并且可以具有小平面。
p型区域50P中的外延源极/漏极区域98可以通过掩蔽n型区域50N来形成。然后,p型区域50P中的外延源极/漏极区域98在p型区域50P中的源极/漏极凹部94中外延生长。外延源极/漏极区域98可以包括适合于p型器件的任何可接受材料。例如,p型区域50P中的外延源极/漏极区域98可以包括对沟道区域68施加压缩应变的材料,例如硅锗、硼掺杂的硅锗、锗、锗锡等。p型区域50P中的外延源极/漏极区域98可被称为“p型源极/漏极区域”。p型区域50P中的外延源极/漏极区域98的表面可以凸起得高于相应鳍62和纳米结构64、66的表面,并且可以具有小平面。
外延源极/漏极区域98、纳米结构64、66和/或鳍62可被注入杂质以形成源极/漏极区域,类似于先前描述的用于形成LDD区域的工艺,随后进行退火。源极/漏极区域的杂质浓度可以在约1019cm-3至约1021cm-3范围内。用于源极/漏极区域的n型和/或p型杂质可以是先前描述的任何杂质。在一些实施例中,外延源极/漏极区域98可以在生长期间被原位掺杂。
作为用于形成外延源极/漏极区域98的外延工艺的结果,外延源极/漏极区域的上表面具有超出鳍62和纳米结构64、66的侧壁横向向外扩展的小平面。在一些实施例中,如图9C所示,这些小平面导致相邻的外延源极/漏极区域98合并。在一些实施例中,如图9D所示,在外延工艺完成之后,相邻的外延源极/漏极区域98保持分隔开。在所示的实施例中,用于形成栅极间隔件90的间隔件蚀刻被调整以还在鳍62和/或纳米结构64、66的侧壁上形成鳍间隔件92。鳍间隔件92被形成以覆盖鳍62和/或纳米结构64、66的侧壁中延伸得高于STI区域70的部分,从而阻挡外延生长。在另一个实施例中,用于形成栅极间隔件90的间隔件蚀刻被调整以不形成鳍间隔件,以便允许外延源极/漏极区域98延伸到STI区域70的表面。
外延源极/漏极区域98可以包括一个或多个半导体材料层。例如,每个外延源极/漏极区域98可以包括衬里层98A、主层98B和修整层(finishing layer)98C(或更一般地,第一半导体材料层、第二半导体材料层和第三半导体材料层)。任何数量的半导体材料层可以用于外延源极/漏极区域98。衬里层98A、主层98B和修整层98C中的每一者可以由不同的半导体材料形成,并且可以掺杂到不同的杂质浓度。在一些实施例中,衬里层98A可以比主层98B具有更小的浓度的杂质,并且修整层98C可以比衬里层98A具有更大浓度的杂质但比主层98B具有更小浓度的杂质。在外延源极/漏极区域98包括三个半导体材料层的实施例中,衬里层98A可以生长在源极/漏极凹部94中,主层98B可以生长在衬里层98A上,并且修整层98C可以生长在主层98B上。
在图10A和图10B中,第一层间电介质(inter-layer dielectric,ILD)104沉积在外延源极/漏极区域98、栅极间隔件90、掩模86(若存在)或虚设栅极84之上。第一ILD 104可以由电介质材料形成,并且可以通过任何合适的方法来沉积,例如CVD、等离子体增强CVD(PECVD)、FCVD等。可接受的电介质材料可以包括磷硅酸盐玻璃(phospho-silicate gass,PSG)、硼硅酸盐玻璃(boro-silicate glass,BSG)、硼掺杂的磷硅酸盐玻璃(boron-dopedphospho-silicate glass,BPSG)、未掺杂的硅酸盐玻璃(undoped silicate glass,USG)等。可以使用由任何可接受工艺形成的其他绝缘材料。
在一些实施例中,在第一ILD 104与外延源极/漏极区域98、栅极间隔件90和掩模86(若存在)或虚设栅极84之间形成接触蚀刻停止层(contact etch stop layer,CESL)102。CESL 102可以由电介质材料形成,例如氮化硅、氧化硅、氮氧化硅等,这些材料具有高蚀刻选择性(与蚀刻第一ILD 104相比)。CESL 102可以通过任何合适的方法形成,例如CVD、ALD等。
在图11A和图11B中,执行去除工艺以使第一ILD 104的顶表面与掩模86(若存在)或虚设栅极84的顶面齐平。在一些实施例中,可以利用平坦化工艺,例如化学机械抛光(CMP)、回蚀工艺、它们的组合等。平坦化工艺还可以去除虚设栅极84上的掩模86以及栅极间隔件90沿着掩模86的侧壁的部分。在平坦化工艺之后,栅极间隔件90、第一ILD 104、CESL102和掩模86(若存在)或虚设栅极84的顶表面共面(在工艺变动范围内)。因此,掩模86(若存在)或虚设栅极84的顶表面贯通第一ILD 104而被暴露。在所示的实施例中,保留掩模86,并且平坦化工艺使第一ILD 104的顶表面与掩模86的顶表面齐平。
在图12A和图12B中,在蚀刻工艺中去除掩模86(若存在)和虚设栅极84,以便形成凹部106。凹部106中的虚设电介质82的部分也被去除。在一些实施例中,通过各向异性干法蚀刻工艺去除虚设栅极84。例如,蚀刻工艺可以包括使用(一种或多种)反应气体的干法蚀刻工艺,这些反应气体以比针对第一ILD 104或栅极间隔件90更快的速率选择性地蚀刻虚设栅极84。在去除期间,当蚀刻虚设栅极84时,虚设电介质82可以用作蚀刻停止层。然后去除虚设电介质82。每个凹部106使沟道区域68的部分暴露和/或覆在沟道区域68的部分上。第二纳米结构66的充当沟道区域68的部分布置在相邻的一对外延源极/漏极区域98之间。
然后去除第一纳米结构64的剩余部分以扩展凹部106,使得在第二纳米结构66之间的区域50I中形成开口108。第一纳米结构64的剩余部分可以通过下述可接受的蚀刻工艺去除:该蚀刻工艺以比针对第二纳米结构66的材料更快的速率选择性地蚀刻第一纳米结构64的材料。蚀刻可以是各向同性的。例如,当第一纳米结构64由硅锗形成并且第二纳米结构66由硅形成时,蚀刻工艺可以是使用四甲基氢氧化铵(TMAH)、氢氧化铵(NH4OH)等的湿法蚀刻。在一些实施例中,执行修剪工艺(未单独示出)以减小第二纳米结构66的暴露部分的厚度。如图14A到图16B(随后更详细地进行描述)中更清楚地示出的,第二纳米结构66的剩余部分可以具有圆角。
在图13A和图13B中,栅极电介质层112形成在凹部106中。栅极电极层114形成在栅极电介质层112上。栅极电介质层112和栅极电极层114是用于替换栅极的层,并且每个层包裹第二纳米结构66的所有(例如,四个)侧面。
栅极电介质层112布置在鳍62的侧壁和/或顶表面上;在第二纳米结构66的顶表面、侧壁和底表面上;以及在栅极间隔件90的侧壁上。栅极电介质层112也可以形成在栅极间隔件90和第一ILD 104的顶表面上。栅极电介质层112可以包括诸如氧化硅或金属氧化物之类的氧化物、诸如金属硅酸盐之类的硅酸盐、它们的组合、它们的多层等。栅极电介质层112可以包括k值大于约7.0的电介质材料,例如铪、铝、锆、镧、锰、钡、钛、铅的金属氧化物或硅酸盐及它们的组合。尽管在图13A和图13B中示出了单层栅极电介质层112,但如随后将更详细地描述的,栅极电介质层112可以包括界面层和主层。
栅极电极层114可以包括含金属材料,例如氮化钛、氧化钛、氮化钽、碳化钽、钴、钌、铝、钨、它们的组合、它们的多层等。尽管在图13A和图13B中示出了单层栅极电极层114,但如随后将更详细地描述的,栅极电极层114可以包括任何数量的功函数调谐层、任何数量的粘合层和填充材料。
n型区域50N和p型区域50P中的栅极电介质层112的形成可以同时发生,使得每个区域中的栅极电介质层112由相同的材料形成,并且栅极电极层114的形成可以同时发生,使得每个区域中的栅极电极层114由相同的材料形成。在一些实施例中,每个区域中的栅极电介质层112可以通过不同的工艺形成,使得这些栅极电介质层112可以是不同的材料和/或具有不同数量的层,和/或每个区域中的栅极电极层114可以通过不同的工艺形成,使得这些栅极电极层114可以是不同的材料和/或具有不同数量的层。当使用不同的工艺时,可以使用各种掩蔽步骤来掩蔽和暴露适当的区域。在以下描述中,n型区域50N中的栅极电极层114的至少部分和p型区域50P中的栅极电极层114的至少部分是单独形成的。
图14A到图16B示出了在凹部106中形成用于替换栅极的栅极电介质层112和栅极电极层114的工艺。图14A、图15A和图16A示出了图13A中的区域50A中的特征。图14B、图15B和图16B示出了图13B中的区域50B中的特征。替换栅极层包括均由纯功函数金属形成的(一个或多个)功函数调谐层。纯功函数金属是由纯金属形成的功函数调谐材料。具体地,纯功函数金属具有包括一种或多种金属元素并且基本上不含准金属元素和非金属元素的成分。纯功函数金属可以具有大于95%原子百分比(95at.%)的金属和小于5%原子百分比(5at.%)的准金属/非金属的成分。由纯金属形成的功函数调谐层可以被称为“纯功函数金属层”。纯功函数金属层主要由金属元素组成。(一种或多种)纯功函数金属层的器件具有接近(一种或多种)金属的能带边缘的功函数,从而允许降低器件的阈值电压。此外,(一个或多个)纯功函数金属层具有低电阻。器件性能因此可以被改善。
在图14A和图14B中,栅极电介质层112形成在凹部106中。栅极电介质层112的形成方法可以包括分子束沉积(molecular-beam deposition,MBD)、ALD、PECVD等。栅极电介质层112环绕第二纳米结构66的所有(例如,四个)侧面。在形成栅极电介质层112之后,开口108的部分保留在第二纳米结构66之间的区域50I中。栅极电介质层112是多层的,包括第一栅极电介质层112A(例如,界面层)和在第一栅极电介质层112A之上的第二栅极电介质层112B(例如,高k电介质层)。第一栅极电介质层112A可以由氧化硅形成,并且第二栅极电介质层112B可以由氧化铪形成。
在图15A和15B中,功函数调谐层114A形成在栅极电介质层112上。功函数调谐层114A由纯功函数金属(例如铝、钛、钨、镍、钴、钌,它们的合金,它们的多层等)形成,该纯功函数金属可以通过CVD、ALD、PECVD、PEALD、PVD等共形沉积。纯功函数金属可以是被选择以在应用到要形成的器件的情况下将器件的功函数调谐到期望的量的任何可接受的金属。在所示的实施例中,功函数调谐层114A是单个连续层的纯功函数金属。在其他实施例中(随后针对图20A-图21B进行描述),功函数调谐层114A是多层纯功函数金属。在一些实施例中,功函数调谐层114A由铝、钛、铪、或它们的合金组成,并且具有小于5%原子百分比(5at.%)的氮和/或碳。由纯功函数金属形成功函数调谐层114A允许其具有比由包括准金属/非金属的材料形成的功函数调谐层(例如由金属氮化物(例如,氮化钛、氮化钽等)或金属碳化物(例如碳化钛、碳化钛铝等)形成的功函数调谐层)更低的电阻。可以通过不同的工艺在区域50N、50P的每一者中形成不同的功函数调谐层114A,使得功函数调谐层114A可以是不同的材料和/或具有不同的层数。
在n型区域50N中的功函数调谐层114A可以通过掩蔽p型区域50P来形成。然后,在n型区域50N中的凹部106中沉积n型区域50N中的功函数调谐层114A。n型区域50N中的功函数调谐层114A可以包括适合于n型器件的任何可接受的纯功函数金属。例如,n型区域50N中的功函数调谐层114A可以由钛、铝、铪等形成。
p型区域50P中的功函数调谐层114A可以通过掩蔽n型区域50N来形成。然后,在p型区域50P中的凹部106中沉积p型区域50P中的功函数调谐层114A。p型区域50P中的功函数调谐层114A可以包括适合于p型器件的任何可接受的纯功函数金属。例如,p型区域50P中的功函数调谐层114A可以由钨、镍、铂等形成。
功函数调谐层114A填充第二纳米结构66之间的区域50I的其余部分(例如,填充开口108,见图14)。具体地,功函数调谐层114A沉积在栅极电介质层112上,直到其足够厚以合并和接合在一起。功函数调谐层114A的厚度可以在大约
Figure BDA0003071269730000202
至大约
Figure BDA0003071269730000201
的范围内。在一些实施例中,界面118通过功函数调谐层114A的相邻部分(例如,第二纳米结构66周围的那些部分)的接触而形成。因为功函数调谐层114A由纯功函数金属形成,所以开口108因此被纯金属填充,并且基本上不含准金属/非金属。
在一些实施例中,功函数调谐层114A通过CVD来沉积。具体地,可以通过将衬底50置于在沉积室中并将一种或多种含金属的前驱物分配到沉积室中以使含金属的前驱物在栅极电介质层112之上流动,来形成功函数调谐层114A。含金属的前驱物包括用于功函数调谐层114A的材料的任何前驱物。当功函数调谐层114A包括铝时,一种或多种含金属的前驱物可以包括诸如氯化铝(AlCl3)、三甲基铝(Al2Me6)等的含铝前驱物。当功函数调谐层114A包括钛时,一种或多种含金属的前驱物可以包括诸如氯化钛(titanium chloride,TiCl4)、四(二甲基氨基)钛(tetrakis(dimethylamino)titanium,TDMAT)之类的含钛前驱物。当功函数调谐层114A包括铪时,含金属的前驱物可以包括诸如氯化铪(hafnium chloride,HfCl4)、四(二甲基氨基)铪(tetrakis(dimethylamino)hafnium,TDMAHf)之类的含铪前驱物。在CVD工艺期间,金属从(一种或多种)含金属的前驱物解离以形成功函数调谐层114A的材料。(一种或多种)含金属的前驱物被保持在沉积室中,直到功函数调谐层114A形成为期望的厚度(如先前描述的)。CVD工艺可以在大约20℃至大约750℃的范围内的温度并且大约0.1托至大约500托的范围内的压力下进行,例如通过将沉积室保持在该范围的温度并且该范围的压力下。以这些范围内的参数执行CVD工艺允许功函数调谐层114A能够形成为期望的纯度。以这些范围之外的参数执行CVD工艺可能不允许功函数调谐层114A形成为期望的纯度。
在一些实施例中,功函数调谐层114A通过ALD来沉积。具体地,可以通过将衬底50置于在沉积室中并将不同的源前驱物循环地分配到沉积室中,来形成功函数调谐层114A。源前驱物包括先前描述的(一种或多种)含金属的前驱物和与该(一种或多种)含金属的前驱物反应以形成功函数调谐层114A的材料的一种或多种前驱物。通过顺序地分配每个源前驱物来执行ALD循环,其中每个ALD循环导致功函数调谐层114A的材料的原子层(有时称为单层)的沉积。重复ALD循环多次直到功函数调谐层114A形成为期望的厚度(如先前描述的)。ALD工艺可以在大约20℃至大约750℃的温度并且大约0.1托至大约500托的压力下进行,例如通过将沉积室保持在该范围的温度并且该范围的压力下。以这些范围内的参数执行ALD工艺允许功函数调谐层114A形成为期望的纯度。以这些范围之外的参数执行ALD工艺可能不允许功函数调谐层114A形成为期望的纯度。
在一些实施例中,通过诸如PECVD或PEALD之类的等离子体增强沉积工艺来沉积功函数调谐层114A。具体地,可以通过在生成等离子体时执行与先前描述的类似的CVD或ALD工艺来形成功函数调谐层114A。可以通过使气源流入沉积室并使用等离子体生成器将气源激发成等离子体状态来生成等离子体。气源包括载气(例如氢气、氦气、氖气、氩气、氪气、氙气、氡气等)和前面描述的前驱物。气源可以以约100sccm至约8000sccm范围的速率流入沉积室。等离子体生成器可以是电容耦合等离子体(capacitively coupled plasma,CCP)生成器、电感耦合等离子体(inductively coupled plasma,ICP)生成器、远程等离子体生成器等。等离子体生成器生成射频(radio frequency,RF)功率,以将气源激发成等离子体状态。等离子体生成功率可以在约50瓦至约5000瓦的范围内。以在这些范围内的参数执行等离子体增强沉积工艺允许功函数调谐层114A形成为期望的纯度。以这些范围之外的参数执行等离子体增强沉积工艺可能不允许功函数调谐层114A形成为期望的纯度。
在一些实施例中,功函数调谐层114A通过PVD来沉积。具体地,可以通过将衬底50置于在沉积室中的金属靶下方并用离子轰击靶来形成功函数调谐层114A。靶包括功函数调谐层114A的材料,并且轰击靶导致从靶溅射材料(例如,金属原子)。可以用离子轰击靶,其方式为通过使气源流入沉积室并使用等离子体生成器将气源激发成等离子体状态。气源包括离子源气体(例如氢气、氦气、氖气、氩气、氪气、氙气、氡气等)。气源可以以约10sccm至约8000sccm的速率流入沉积室。等离子体生成器可以是电容耦合等离子体(CCP)生成器、电感耦合等离子体(ICP)生成器、远程等离子体生成器等。由等离子体生成器将射频(RF)功率施加到靶上,以将离子源气体激活为等离子体状态,并用来自等离子体的离子化气体分子轰击靶,从而导致金属原子从靶中溅射,使得功函数调谐层114A的材料被沉积。施加的RF功率的每个周期都包括轰击周期(在该周期中靶被离子轰击)和清除周期(在该周期中将电子吸引到靶以清除靶上的离子积聚)。等离子体生成功率可以在约50瓦至约5000瓦的范围内。PVD工艺可以在约20℃至约750℃的温度并且约10-7托至约500托的压力下进行,例如通过将沉积室保持在该范围的温度以及该范围内的压力下。以在这些范围内的参数执行PVD工艺允许功函数调谐层114A形成为期望的纯度。以这些范围之外的参数执行PVD工艺可能不允许功函数调谐层114A形成为期望的纯度。
可选地,形成功函数调谐层114A包括对功函数调谐层114A的材料进行纯化处理120。纯化处理120降低了功函数调谐层114A的材料中的(一种或多种)非金属元素(例如准金属/非金属)的浓度,从而提高了功函数调谐层114A的材料中的(一种或多种)金属元素的浓度。在一些实施例中,如果最初沉积的功函数调谐层114A的材料不具有期望的纯度,则执行纯化处理120,直到功函数调谐层114A的材料具有期望的纯度。例如,功函数调谐层114A的材料在纯化处理120之前可以具有大于5%原子百分比(5at.%)的准金属/非金属的成分,在纯化处理120之后可以具有小于5%原子百分比(5at.%)的准金属/非金属的成分。
在一些实施例中,纯化处理120是热处理。可以通过使功函数调谐层114A退火来执行热处理。对功函数调谐层114A进行退火可以导致非金属原子(例如,准金属/非金属)从功函数调谐层114A的材料中脱气。退火可以在约25℃至约1000℃的温度范围内执行。
在一些实施例中,纯化处理120是等离子体处理。可以通过在腔室中用离子轰击功函数调谐层114A来执行等离子体处理。用离子轰击功函数调谐层114A可导致非金属原子(例如准金属/非金属)从功函数调谐层114A的材料中溅射出来。可以用离子轰击功函数调谐层114A,其方式为通过使气源流入腔室内并使用等离子体生成器将气源激发成等离子体状态。气源包括离子源气体(例如氢气、氦气、氖气、氩气、氪气、氙气、氡气等)。气源可以以约100sccm至约8000sccm范围内的速率流入腔室。等离子体生成器可以是电容耦合等离子体(CCP)生成器、电感耦合等离子体(ICP)生成器、远程等离子体生成器等。由等离子体生成器将射频(RF)功率施加到功函数调谐层114A,以将离子源气体激活为等离子体状态,并用来自等离子体的离子化气体分子轰击功函数调谐层114A,从而导致非金属原子(例如准金属/非金属)被溅射出功函数调谐层114A的材料。施加的RF功率的每个周期包括轰击周期(在该周期中功函数调谐层114A被离子轰击)和清除周期(其中电子被吸引到功函数调谐层114A以清除功函数调谐层114上的离子堆积)。等离子体生成功率可以在约50瓦至约5000瓦的范围内。
在一些实施例中,纯化处理120是化学处理。可以通过将功函数调谐层114A暴露于能够还原功函数调谐层114A的材料的还原性化学品来进行化学处理。还原功函数调谐层114A可以从功函数调谐层114A的材料中消除非金属原子(例如,准金属/非金属)。还原化学品可以是金属氢化物(例如氢化铝、氢化钠、氢化锂等)、氢等,并且可以是气态、液态或固态。还原可以在约25℃至约1000℃的温度范围内进行。
在图16A和图16B中,沉积栅极电极层114的其余部分以填充凹部106的其余部分。具体地,填充层114C沉积在功函数调谐层114A上。可选地,在填充层114C和功函数调谐层114A之间形成粘附层114B。在形成完成之后,栅极电极层114包括功函数调谐层114A、粘附层114B和填充层114C。
粘附层114B可以共形地沉积在功函数调谐层114A上。粘附层114B可以由诸如氮化钛、氮化钽、碳化钛、碳化钽之类的导电材料形成,该导电材料可以通过CVD、ALD、PECVD、PVD等来沉积。在一些实施例中,粘附层114B由不纯的粘附金属(诸如金属氮化物或金属碳化物)形成,因此不是纯金属。粘附层114B可以可替代地称为粘合层,并且改善了功函数调谐层114A和填充层114C之间的粘合。
填充层114C可以共形地沉积在粘附层114B上。在一些实施例中,填充层114C可以由诸如钴、钌、铝、钨、它们的组合等的导电材料形成,该导电材料可以通过CVD、ALD、PECVD、PVD等来沉积。在一些实施例中,填充层114C由基本上不含准金属/非金属的纯填充金属形成。填充层114C可以由选自用于功函数调谐层114A的同一组候选金属中的(一种或多种)金属形成,这些金属可以使用选自用于形成功函数调谐层114A的金属的同一组候选方法中的方法来形成。在一些实施例中,填充层114C的纯填充金属不同于功函数调谐层114A的功函数金属。填充层114C填充凹部106的其余部分。
第二纳米结构66之间的区域50I完全被栅极电介质层112的(一种或多种)电介质材料和功函数调谐层114A的纯功函数金属填充。在第二纳米结构66之间的区域50I中没有形成粘附层114B(若存在)和填充层114C,使得区域50I不含粘附层114B和填充层114C。而是,栅极电介质层112的部分围绕第二纳米结构66被包裹,并且功函数调谐层114A在第二纳米结构66之间的部分在栅极电介质层112的这些部分之间连续延伸。因为功函数调谐层114A由纯功函数金属形成,栅极电极层114在区域50I中(例如,在第二纳米结构66之间)的部分包括金属,并且基本上不含准金属/非金属。
如上所述,功函数调谐层114A和填充层114C可以由纯金属形成,而粘附层114B可以由不纯金属形成。在这样的实施例中,与功函数调谐层114A和填充层114C的材料相比,粘附层114B的材料具有更高浓度的杂质(例如,准金属/非金属)。例如,粘附层114B可以由金属氮化物或金属碳化物形成,而功函数调谐层114A和填充层114C基本上不含氮和/或碳。
在图17A和图17B中,执行去除工艺以去除栅极电介质层112和栅极电极层114的材料的多余部分(这些多余部分在第一ILD 104和栅极间隔件90的顶表面之上),从而形成栅极电介质122和栅极电极124。在一些实施例中,可以利用诸如化学机械抛光(CMP)之类的平坦化工艺、回蚀工艺、它们的组合等。栅极电介质层112当被平坦化时具有留在凹部106中的部分(从而形成栅极电介质122)。栅极电极层114在被平坦化时具有留在凹部106中的部分(由此形成栅极电极124)。栅极间隔件90;CESL 102;第一ILD 104;栅极电介质122(例如,第一栅极电介质层112A和第二栅极电介质层112B,见图16A和图16B);以及栅极电极124(例如,功函数调谐层114A、粘附层114B(若存在)和填充层114C,参见图16A和图16B)的顶表面是共面的(在工艺变动范围内)。栅极电介质122和栅极电极124形成所得纳米FET的替换栅极。每一对相应的栅电介质122和栅极电极124分别可以被统称为“栅极结构”。这些栅极结构各自沿着第二纳米结构66的沟道区域68的顶表面、侧壁和底表面延伸。
在图18A和图18B中,第二ILD 134沉积在栅极间隔件90、CESL 102、第一ILD 104、栅极电介质122和栅极电极124之上。在一些实施例中,第二ILD 134是通过可流动CVD方法形成的可流动膜。在一些实施例中,第二ILD 134由诸如PSG、BSG、BPSG、USG等电介质材料形成,该电介质材料可以通过诸如CVD、PECVD之类的任何合适的方法来沉积。
在一些实施例中,在第二ILD 134与栅极间隔件90、CESL 102、第一ILD 104、栅极电介质122和栅极电极124之间形成蚀刻停止层(ESL)132。ESL 132可以包括电介质材料,例如氮化硅、氧化硅、氮氧化硅等,该电介质材料具有高蚀刻选择性(与蚀刻第二ILD 134相比)。
在图19A和图19B中,形成栅极接触件142和源极/漏极接触件144以分别栅极电极124和外延源极/漏极区域98。栅极接触件142物理地且电气地耦合到栅极电极124。源极/漏极接触件144物理地且电气地耦合到外延源极/漏极区域98。
作为形成栅极接触件142和源极/漏极接触件144的示例,用于栅极接触件142的开口贯通第二ILD 134和ESL 132而形成,并且用于源极/漏极接触件144的开口贯通第二ILD134、ESL 132、第一ILD 104和CESL 102而形成。可以使用可接受的光刻和蚀刻技术来形成这些开口。在这些开口中形成诸如扩散阻挡层、粘附层之类的衬里(未单独示出)以及导电材料。衬里可以包括钛、氮化钛、钽、氮化钽等。导电材料可以是铜、铜合金、银、金、钨、钴、铝、镍等。可以执行平坦化工艺(例如,CMP)以从第二ILD 134的表面去除过剩的材料。剩余的衬里和导电材料在开口中形成栅极接触件142和源极/漏极接触件144。栅极接触件142和源极/漏极接触件144可以在不同的工艺中形成,或者可以在同一工艺中形成。尽管被显示为形成在相同的截面中,但是应当理解,栅极接触件142和源极/漏极接触件144中的每一者可以形成在不同的截面中,这可以避免接触件短路。
可选地,在外延源极/漏极区域98和源极/漏极接触件144之间的界面处形成金属-半导体合金区域146。金属半导体合金区域146可以是:由金属硅化物(例如,硅化钛、硅化钴、硅化镍等)形成的硅化物区域、由金属锗化物(例如,锗化钛、锗化钴、锗化镍等)形成的锗化物区域、由金属硅化物和金属锗化物两者形成的硅-锗化硅区域等。通过在用于源极/漏极接触件144的开口中沉积金属,并且然后执行热退火工艺,可以在源极/漏极接触件144的(一种或多种)材料之前形成金属-半导体合金区域146。金属可以是能够与外延源极/漏极区域98的半导体材料(例如,硅、硅锗、锗等)反应以形成低电阻金属-半导体合金的任何金属,例如镍、钴、钛、钽、铂、钨、其他贵金属、其他难熔金属、稀土金属或它们的合金。可以通过沉积工艺(例如ALD、CVD、PVD等)来沉积金属。在热退火工艺之后,可以执行清洁工艺(例如,湿法清洁),以从用于源极/漏极接触件144的开口去除任何残余金属,例如从金属-半导体合金区域146的表面去除。源极/漏极接触件144的(一种或多种)材料随后可以形成在金属-半导体合金区域146上。
图20A-图21B是根据一些其他实施例的纳米结构FET的视图。这些实施例类似于针对图14A-图16B描述的实施例,区别在于功函数调谐层114A是多层纯功函数金属。在一些实施例中,功函数调谐层114A是双层纯功函数金属,包括第一功函数金属子层114A1和在第一功函数金属子层114A1上的第二功函数金属子层114A2,如图20A和图20B所示。在一些实施例中,功函数调谐层114A是三层纯功函数金属,其类似于双层但是还包括在第二功函数金属子层114A2上的第三功函数金属子层114A3。如图21A和图21B所示。每个子层是不同纯功函数金属的单个连续层。例如,第一功函数金属子层114A1可以是铝,第二功函数金属子层114A2可以是钛,并且第三功函数金属子层114A3(若存在)可以是铪。
当功函数调谐层114A是多层纯功函数金属时,沉积纯功函数金属的子层,使得功函数调谐层114A的最上面的子层(例如,第三功函数金属子层114A3(若存在)或第二功函数金属子层114A2)合并并接合在一起。例如,功函数调谐层114A的最上面的子层的厚度可以比功函数调谐层114A的每个下面的子层(例如,第二功函数金属子层114A2和/或第一功函数金属子层114A1)厚,这可以防止下面的子层合并。
在一些实施例中,功函数调谐层114A的子层具有难以辨识的界面,该难以辨识的界面包含各层金属的合金。继续第一功函数金属子层114A1是铝,第二功函数金属子层114A2是钛,第三功函数金属子层114A3(若存在)是铪的示例,功函数金属子层114A1、114A2之间的界面可以是包含铝-钛合金的难以辨识的界面,并且功函数金属子层114A2、114A3(若存在)之间的界面可以是包含钛-铪合金的难以辨识的界面。
在一些实施例中,功函数调谐层114A的子层具有可辨识的界面,该可辨识的界面基本上不含各层金属的合金。继续第一功函数金属子层114A1是铝,第二功函数金属子层114A2是钛,第三功函数金属子层114A3铪(若存在)是的示例,功函数金属子层114A1、114A2之间的界面可以是铝和钛的可辨识的界面,功函金属子层114A2、114A3(若存在)之间的界面可以是钛和铪的可辨识的界面。
实施例可以实现各种优点。执行本文描述的沉积工艺允许功函数调谐层114A由(一种或多种)纯功函数金属形成。通过执行纯化处理120允许提高功函数调谐层114A的金属的纯度。用(一种或多种)纯功函数金属的功函数调谐层114A形成栅极电极124允许所得的器件具有接近(一种或多种)金属的能带边缘的功函数,从而使得所得的器件的阈值电压降低。此外,由(一种或多种)纯功函数金属形成的功函数调谐层114A具有低电阻。器件性能因此可以被改善。
在一个实施例中,一种器件包括:第一纳米结构;第二纳米结构;包围第一纳米结构和第二纳米结构的栅极电介质,该栅极电介质包含电介质材料;以及栅极电极,包括:在栅极电介质上的功函数调谐层,功函数调谐层包含纯功函数金属,功函数调谐层的纯功函数金属和栅极电介质的电介质材料将第一纳米结构与第二纳米结构之间的区域完全填充,纯功函数金属具有大于95%原子百分比的金属的成分;在功函数调谐层上的粘附层;以及在粘附层上的填充层。在该器件的一些实施例中,功函数调谐层是单层纯功函数金属。在该器件的一些实施例中,功函数调谐层是多层纯功函数金属。在该器件的一些实施例中,纯功函数金属的各个金属具有含有相应金属的合金的界面。在该器件的一些实施例中,纯功函数金属的各个金属具有不含相应金属的合金的界面。在该器件的一些实施例中,粘附层包括不纯金属,并且填充层包括填充金属,粘附层的不纯金属具有比填充层的填充金属和功函数调谐层的纯功函数金属更大的准金属和非金属浓度。在该器件的一些实施例中,填充金属是钨,不纯金属是金属氮化物或金属碳化物,并且纯功函数金属是纯铝、纯钛或纯铪。
在一个实施例中,一种器件包括:在衬底上的沟道区域;在沟道区域上的栅极电介质层;在栅极电介质层上的功函数金属,功函数金属具有第一浓度的杂质,杂质包括准金属或非金属;功函数金属上的粘附金属,粘附金属具有第二浓度的杂质,第二浓度大于第一浓度;以及在粘附金属上的填充金属,填充金属不同于功函数金属,填充金属具有第三浓度的杂质,第二浓度大于第三浓度。在该器件的一些实施例中,杂质是氮或碳。在该器件的一些实施例中,第一浓度和第三浓度均小于5%原子百分比。
在一个实施例中,一种方法包括:在衬底上形成第一纳米结构和第二纳米结构;形成栅极电介质层,栅极电介质层具有包围第一纳米结构的第一部分并且具有包围第二纳米结构的第二部分;在栅极电介质层上沉积纯功函数金属,该纯功函数金属在栅极电介质层的第一部分和栅极电介质层的第二部分之间连续延伸;在纯功函数金属上沉积不纯的粘附金属;并且在不纯的粘附金属上沉积纯填充金属。在该方法的一些实施例中,沉积纯功函数金属包括:将衬底置于腔室中;并且使前驱物在栅极电介质层上流动,该前驱物包含纯功函数金属,在该流动期间腔室保持在20℃至750℃的温度并且0.1托至500托的压力下。在该方法的一些实施例中,沉积纯功函数金属包括:将衬底置于腔室中;并且执行循环,该循环包括:使第一前驱物在栅极电介质层上流动,该第一前驱物包含纯功函数金属;并且使第二前驱物在栅极电介质层上流动,第二前驱物与第一前驱物反应以沉积纯功函数金属,在该循环期间腔室保持在20℃至750℃的温度并且0.1托至500托的压力下;并重复该循环多次。在该方法的一些实施例中,沉积纯功函数金属包括:将衬底置于包含纯功函数金属的靶的下方;并且用离子轰击靶,在轰击期间,纯功函数金属从靶溅射到栅极电介质层上。在一些实施例中,该方法还包括:对纯功函数金属应用纯化处理,该纯化处理降低了纯功函数金属中的杂质的浓度,杂质包括准金属或非金属。在该方法的一些实施例中,应用纯化处理包括:对纯功函数金属进行退火。在该方法的一些实施例中,应用纯化处理包括:生成等离子体;并且用来自等离子体的离子轰击纯功函数金属。在该方法的一些实施例中,应用纯化处理包括:使纯功函数金属暴露于还原化学品,该还原化学品包含氢或金属氢化物。在该方法的一些实施例中,不纯的粘附金属比纯功函数金属具有更高浓度的杂质,杂质包括准金属或非金属。在该方法的一些实施例中,不纯的粘附金属是金属氮化物或金属碳化物,并且纯功函数金属是纯铝、纯钛或纯铪。
以上概述了若干实施例的特征,使得本领域技术人员可以更好地理解本公开的各方面。本领域技术人员应当理解,他们可以容易地使用本公开作为设计或修改其他工艺和结构以实现本文介绍的实施例的相同目的和/或实现本文介绍的实施例的相同优点的基础。本领域技术人员还应该认识到,这样的等同构造不脱离本公开的精神和范围,并且他们可以在不脱离本公开的精神和范围的情况下在本文中进行各种改变、替换和变更。
示例1.一种晶体管栅极结构,包括:第一纳米结构;第二纳米结构;包围所述第一纳米结构和所述第二纳米结构的栅极电介质,所述栅极电介质包含电介质材料;以及栅极电极,包括:在述栅极电介质上的功函数调谐层,所述功函数调谐层包含纯功函数金属,所述功函数调谐层的纯功函数金属和所述栅极电介质的电介质材料将所述第一纳米结构与所述第二纳米结构之间的区域完全填充,所述纯功函数金属具有大于95%原子百分比的金属的成分;以及在所述功函数调谐层上的填充层。
示例2.根据示例1所述的晶体管栅极结构,其中,所述功函数调谐层是单层所述纯功函数金属。
示例3.根据示例1所述的晶体管栅极结构,其中,所述功函数调谐层是多层纯功函数金属。
示例4.根据示例3所述的晶体管栅极结构,其中,所述纯功函数金属的各个金属具有含有相应金属的合金的界面。
示例5.根据示例3所述的晶体管栅极结构,其中,所述纯功函数金属的各个金属具有不含相应金属的合金的界面。
示例6.根据示例1所述的晶体管栅极结构,其中,所述栅极电极还包括粘附层,所述粘附层位于所述功函数调谐层和所述填充层之间,所述粘附层包括不纯金属,并且所述填充层包括填充金属,所述粘附层的不纯金属具有比所述填充层的填充金属和所述功函数调谐层的纯功函数金属更大的准金属和非金属浓度。
示例7.根据示例6所述的晶体管栅极结构,其中,所述填充金属是钨,所述不纯金属是金属氮化物或金属碳化物,并且所述纯功函数金属是纯铝、纯钛或纯铪。
示例8.一种晶体管栅极结构,包括:在衬底上的沟道区域;在所述沟道区域上的栅极电介质层;在所述栅极电介质层上的功函数金属,所述功函数金属具有第一浓度的杂质,所述杂质包括准金属或非金属;在所述功函数金属上的粘附金属,所述粘附金属具有第二浓度的所述杂质,所述第二浓度大于所述第一浓度;以及在所述粘附金属上的填充金属,所述填充金属不同于所述功函数金属,所述填充金属具有第三浓度的所述杂质,所述第二浓度大于所述第三浓度。
示例9.根据示例8所述的晶体管栅极结构,其中,所述杂质是氮或碳。
示例10.根据示例8所述的晶体管栅极结构,其中,所述第一浓度和所述第三浓度均小于5%原子百分比。
示例11.一种形成晶体管栅极结构的方法,包括:在衬底上形成第一纳米结构和第二纳米结构;形成栅极电介质层,所述栅极电介质层具有包围所述第一纳米结构的第一部分并且具有包围所述第二纳米结构的第二部分;在所述栅极电介质层上沉积纯功函数金属,所述纯功函数金属在所述栅极电介质层的所述第一部分和所述栅极电介质层的所述第二部分之间连续延伸;以及在所述纯功函数金属上沉积纯填充金属。
示例12.根据示例11所述的方法,其中,沉积所述纯功函数金属包括:将所述衬底置于腔室中;并且使前驱物在所述栅极电介质层上流动,所述前驱物包含所述纯功函数金属,在该流动期间,所述腔室保持在20℃至750℃的温度并且0.1托至500托的压力下。
示例13.根据示例11所述的方法,其中,沉积所述纯功函数金属包括:将所述衬底置于腔室中;执行循环,该循环包括:使第一前驱物在所述栅极电介质层上流动,所述第一前驱物包含所述纯功函数金属;并且使第二前驱物在所述栅极电介质层上流动,所述第二前驱物与所述第一前驱物反应以沉积所述纯功函数金属,在该循环期间,所述腔室保持在20℃至750℃的温度并且0.1托至500托的压力下;并且重复所述循环多次。
示例14.根据示例11所述的方法,其中,沉积所述纯功函数金属包括:将所述衬底置于包含所述纯功函数金属的靶的下方;并且用离子轰击所述靶,在该轰击期间,所述纯功函数金属从所述靶溅射到所述栅极电介质层上。
示例15.根据示例11所述的方法,还包括:对所述纯功函数金属应用纯化处理,所述纯化处理降低了所述纯功函数金属中的杂质的浓度,所述杂质包括准金属或非金属。
示例16.根据示例15所述的方法,其中,应用所述纯化处理包括:对所述纯功函数金属进行退火。
示例17.根据示例15所述的方法,其中,应用所述纯化处理包括:生成等离子体;并且用来自所述等离子体的离子轰击所述纯功函数金属。
示例18.根据示例15所述的方法,其中,应用所述纯化处理包括:使所述纯功函数金属暴露于还原化学品,所述还原化学品包含氢或金属氢化物。
示例19.根据示例11所述的方法,还包括在所述功函数金属和所述纯填充金属之间沉积不纯的粘附金属,其中,所述不纯的粘附金属比所述纯功函数金属具有更高浓度的杂质,所述杂质包括准金属或非金属。
示例20.根据示例19所述的方法,其中,所述不纯的粘附金属是金属氮化物或金属碳化物,并且所述纯功函数金属是纯铝、纯钛或纯铪。

Claims (10)

1.一种晶体管栅极结构,包括:
第一纳米结构;
第二纳米结构;
包围所述第一纳米结构和所述第二纳米结构的栅极电介质,所述栅极电介质包含电介质材料;以及
栅极电极,包括:
在述栅极电介质上的功函数调谐层,所述功函数调谐层包含纯功函数金属,所述功函数调谐层的纯功函数金属和所述栅极电介质的电介质材料将所述第一纳米结构与所述第二纳米结构之间的区域完全填充,所述纯功函数金属具有大于95%原子百分比的金属的成分;以及
在所述功函数调谐层上的填充层。
2.根据权利要求1所述的晶体管栅极结构,其中,所述功函数调谐层是单层所述纯功函数金属。
3.根据权利要求1所述的晶体管栅极结构,其中,所述功函数调谐层是多层纯功函数金属。
4.根据权利要求3所述的晶体管栅极结构,其中,所述纯功函数金属的各个金属具有含有相应金属的合金的界面。
5.根据权利要求3所述的晶体管栅极结构,其中,所述纯功函数金属的各个金属具有不含相应金属的合金的界面。
6.根据权利要求1所述的晶体管栅极结构,其中,所述栅极电极还包括粘附层,所述粘附层位于所述功函数调谐层和所述填充层之间,所述粘附层包括不纯金属,并且所述填充层包括填充金属,所述粘附层的不纯金属具有比所述填充层的填充金属和所述功函数调谐层的纯功函数金属更大的准金属和非金属浓度。
7.根据权利要求6所述的晶体管栅极结构,其中,所述填充金属是钨,所述不纯金属是金属氮化物或金属碳化物,并且所述纯功函数金属是纯铝、纯钛或纯铪。
8.一种晶体管栅极结构,包括:
在衬底上的沟道区域;
在所述沟道区域上的栅极电介质层;
在所述栅极电介质层上的功函数金属,所述功函数金属具有第一浓度的杂质,所述杂质包括准金属或非金属;
在所述功函数金属上的粘附金属,所述粘附金属具有第二浓度的所述杂质,所述第二浓度大于所述第一浓度;以及
在所述粘附金属上的填充金属,所述填充金属不同于所述功函数金属,所述填充金属具有第三浓度的所述杂质,所述第二浓度大于所述第三浓度。
9.根据权利要求8所述的晶体管栅极结构,其中,所述杂质是氮或碳。
10.一种形成晶体管栅极结构的方法,包括:
在衬底上形成第一纳米结构和第二纳米结构;
形成栅极电介质层,所述栅极电介质层具有包围所述第一纳米结构的第一部分并且具有包围所述第二纳米结构的第二部分;
在所述栅极电介质层上沉积纯功函数金属,所述纯功函数金属在所述栅极电介质层的所述第一部分和所述栅极电介质层的所述第二部分之间连续延伸;以及
在所述纯功函数金属上沉积纯填充金属。
CN202110539991.0A 2021-01-28 2021-05-18 晶体管栅极结构及其形成方法 Pending CN114597258A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163142557P 2021-01-28 2021-01-28
US63/142,557 2021-01-28
US17/220,335 2021-04-01
US17/220,335 US11715762B2 (en) 2021-01-28 2021-04-01 Transistor gate structures and methods of forming the same

Publications (1)

Publication Number Publication Date
CN114597258A true CN114597258A (zh) 2022-06-07

Family

ID=81814146

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110539991.0A Pending CN114597258A (zh) 2021-01-28 2021-05-18 晶体管栅极结构及其形成方法

Country Status (5)

Country Link
US (2) US11715762B2 (zh)
KR (1) KR102527016B1 (zh)
CN (1) CN114597258A (zh)
DE (1) DE102021108841A1 (zh)
TW (1) TWI820428B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11715762B2 (en) * 2021-01-28 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gate structures and methods of forming the same

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9006829B2 (en) 2012-08-24 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Aligned gate-all-around structure
US9209247B2 (en) 2013-05-10 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned wrapped-around structure
US9136332B2 (en) 2013-12-10 2015-09-15 Taiwan Semiconductor Manufacturing Company Limited Method for forming a nanowire field effect transistor device having a replacement gate
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9412817B2 (en) 2014-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide regions in vertical gate all around (VGAA) devices and methods of forming same
KR102211254B1 (ko) * 2015-02-03 2021-02-04 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9536738B2 (en) 2015-02-13 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) devices and methods of manufacturing the same
US9941376B2 (en) 2015-04-30 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate scheme for device and methods of forming
US9502265B1 (en) 2015-11-04 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) transistors and methods of forming the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
TWI686351B (zh) 2016-04-01 2020-03-01 聯華電子股份有限公司 奈米線電晶體及其製作方法
KR102295721B1 (ko) * 2017-09-08 2021-08-30 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10553700B2 (en) * 2018-05-29 2020-02-04 International Business Machines Corporation Gate cut in RMG
US10741558B2 (en) 2018-08-14 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Nanosheet CMOS device and method of forming
US11069793B2 (en) 2018-09-28 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Reducing parasitic capacitance for gate-all-around device by forming extra inner spacers
US11715762B2 (en) * 2021-01-28 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gate structures and methods of forming the same

Also Published As

Publication number Publication date
TWI820428B (zh) 2023-11-01
US11715762B2 (en) 2023-08-01
KR102527016B1 (ko) 2023-04-27
TW202230794A (zh) 2022-08-01
US20230326967A1 (en) 2023-10-12
US20220238648A1 (en) 2022-07-28
KR20220109273A (ko) 2022-08-04
DE102021108841A1 (de) 2022-07-28

Similar Documents

Publication Publication Date Title
US11901362B2 (en) Semiconductor device and method
US20240145543A1 (en) Semiconductor device and method of forming the same
US20230326967A1 (en) Transistor gate structures and methods of forming the same
US20230261051A1 (en) Transistor Gate Structures and Methods of Forming the Same
CN113745223B (zh) 半导体器件及其形成方法
US20220262649A1 (en) Semiconductor Device and Method
US11688786B2 (en) Semiconductor device and method
CN114975275A (zh) 半导体器件和方法
US11810948B2 (en) Semiconductor device and method
KR102509232B1 (ko) 트랜지스터 게이트 구조체들 및 그것들을 형성하는 방법들
TWI760052B (zh) 形成閘電極的方法、半導體裝置及製造半導體裝置的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination