CN114008761A - 通过优化等离子体耦合材料来调节膜特性 - Google Patents

通过优化等离子体耦合材料来调节膜特性 Download PDF

Info

Publication number
CN114008761A
CN114008761A CN202080046187.2A CN202080046187A CN114008761A CN 114008761 A CN114008761 A CN 114008761A CN 202080046187 A CN202080046187 A CN 202080046187A CN 114008761 A CN114008761 A CN 114008761A
Authority
CN
China
Prior art keywords
film
substrate
hardmask
edge ring
hardmask film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202080046187.2A
Other languages
English (en)
Inventor
E·文卡塔苏布磊曼聂
E·L·海伍德
S·E·戈特海姆
P·曼纳
祝基恩
A·菲施巴赫
A·B·玛里克
T·J·富兰克林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN114008761A publication Critical patent/CN114008761A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Automation & Control Theory (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本公开的实施例总体涉及用于形成半导体器件的基板处理腔室及其部件。处理腔室包括基板支撑件,并且边缘环围绕基板支撑件设置。边缘环包括选自由以下各项组成的群组的材料:石英、硅、交联的聚苯乙烯和二乙烯苯、聚醚醚酮、Al2O3和AlN。选择边缘环的材料以调节沉积在处理腔室中的基板上的硬模膜的特性。因此,具有期望膜特性的硬模膜可以被沉积在处理腔室中,而无需按比例增加到腔室的RF功率。

Description

通过优化等离子体耦合材料来调节膜特性
背景
领域
本公开的实施例总体涉及在半导体器件的制造中使用的设备和方法。更具体地,本公开的实施例涉及用于形成半导体器件的基板处理腔室及其部件。
相关技术说明
集成电路已经发展成可以在单个芯片上包括数百万个晶体管、电容器和电阻器的复杂装置。芯片设计的发展不断涉及更快的电路***和更大的电路密度。对具有更大电路密度的更快电路的需求对用于制造此类集成电路的材料有相应的要求。具体地,随着集成电路部件的尺寸减小到亚微米级,存在使用低电阻率导电材料以及低介电常数绝缘材料以从此类部件获得合适的电性能的趋势。
对于更大的集成电路密度的需求也对在集成电路部件的制造中使用的处理程序有所要求。例如,在使用传统的光刻技术的处理程序中,在设置在基板上的材料层的堆叠之上形成能量敏感抗蚀剂层。将能量敏感抗蚀剂层暴露于图案的图像以形成光刻胶掩模。之后,使用蚀刻工艺将掩模图案转移到堆叠的一个或多个材料层。选择在蚀刻工艺中使用的化学蚀刻剂,以使堆叠的材料层具有比能量敏感抗蚀剂的掩模更大的蚀刻选择性。即,化学蚀刻剂以比能量敏感抗蚀剂远快得多的速率来蚀刻材料堆叠的一个或多个层。对抗蚀剂之上的堆叠的一个或多个材料层的蚀刻选择性防止能量敏感抗蚀剂在完成图案转移之前被消耗。
随着图案尺寸的减小,能量敏感抗蚀剂的厚度相应地减小,以便控制图案分辨率。由于化学蚀刻剂的侵蚀,这种薄的抗蚀剂层可能不足以在图案转移工艺期间掩蔽下面的材料层。因为对化学蚀刻剂的抵抗性更大,在能量敏感抗蚀剂层和下面的材料层之间经常使用被称为硬模的中间层(例如氮氧化硅、碳化硅或碳膜)以促进图案转移。通常使用兼具高蚀刻选择性和高沉积速率的硬模材料。随着临界尺寸(CD)减小,当前的硬模材料相对于下面的材料(例如氧化物和氮化物)缺乏期望的蚀刻选择性,且通常难以沉积。此外,为了调节硬模的特性,必须按比例增加施加到腔室的RF功率,通常改善一个膜特性(诸如膜模量),而同时降低另一特性(诸如膜应力)。
因此,在本领域中需要用于制造半导体器件的改进的方法和设备。
发明内容
本公开的实施例总体涉及用于形成半导体器件的基板处理腔室及其部件。处理腔室包括基板支撑件,并且边缘环围绕基板支撑件设置。边缘环包括选自由以下各项组成的群组的材料:石英、硅、交联的聚苯乙烯和二乙烯苯、聚醚醚酮、Al2O3和AlN。选择边缘环的材料以调节沉积在处理腔室中的基板上的硬模膜的特性。因此,具有期望膜特性的硬模膜可以被沉积在处理腔室中,而无需按比例增加到腔室的RF功率。
在一个实施例中,一种用于调节一个或多个硬模膜的特性的方法包括以下步骤:在第一基板上沉积第一硬模膜,并以第一RF功率处理第一基板;测量第一硬模膜的一个或多个膜特性;从基板支撑件移除第一基板;将基板支撑件中的第一边缘环交换为第二边缘环,所述第二边缘环包括选自由以下各项组成的群组的材料:石英、硅、交联的聚苯乙烯和二乙烯苯、聚醚醚酮、Al2O3和AlN;将第二基板放置在基板支撑件上;在第二基板上沉积第二硬模膜,并以第一RF功率处理第二基板;以及测量第二硬模膜的一个或多个膜特性。
在另一个实施例中,一种用于调节一个或多个硬模膜的特性的方法包括以下步骤:在第一基板上沉积第一硬模膜,并以第一RF功率处理第一基板;测量第一硬模膜的一个或多个膜特性,所述一个或多个膜特性包括模量和应力;从基板支撑件移除第一基板;将基板支撑件中的第一边缘环交换为第二边缘环,第一边缘环包括与第二边缘环的第二材料不同的第一材料;将第二基板放置在基板支撑件上;以及在第二基板上沉积第二硬模膜,并以第一RF功率处理第二基板,其中基于测得的第一硬模膜的一个或多个膜特性来选择第二边缘环的第二材料以调整第二硬模膜的至少一个膜特性。
在又另一个实施例中,一种具有指令的非瞬态计算机可读介质,所述指令被配置为使***执行以下操作:在第一基板上沉积第一硬模膜,并以第一RF功率处理第一基板;测量第一硬模膜的一个或多个膜特性;从基板支撑件移除第一基板;将基板支撑件中的第一边缘环交换为第二边缘环,所述第二边缘环包括选自由以下各项组成的群组的材料:石英、硅、交联的聚苯乙烯和二乙烯苯、聚醚醚酮、Al2O3和AlN;将第二基板放置在基板支撑件上;在第二基板上沉积第二硬模膜,并以第一RF功率处理第二基板;以及测量第二硬模膜的一个或多个膜特性。
附图说明
为了能够详细地理解本公开的上述特征,可通过参考实施例来获得以上简要概述的本公开的更具体描述,所述实施例中的一些在附图中示出。然而,应注意,附图仅图示了示例性实施例并且因此不被视为对其范围的限制,并且可允许其他等效的实施例。
图1是说明性处理腔室的示意性侧视截面图。
图2是旋转约90度的图1的处理腔室的示意性侧视图。
图3是处理腔室的示意性截面图。
图4是基板支撑件的一个实施例的示意性截面图。
图5图示根据一个实施例的利用边缘环来调节碳硬模膜的特性的方法。
为便于理解,在可能的情况下,使用相同的附图标记代表附图中共有的相同要素。可以预期的是,一个实施例的要素与特征可有利地结合到其他实施例中而无需进一步赘述。
具体实施方式
本公开的实施例总体涉及用于形成半导体器件的基板处理腔室及其部件。处理腔室包括基板支撑件,并且边缘围绕基板支撑件设置。边缘环包括选自由以下各项组成的群组的材料:石英、硅、交联的聚苯乙烯和二乙烯苯、聚醚醚酮、Al2O3和AlN。选择边缘环的材料以调节沉积在处理腔室中的基板上的硬模膜的特性。因此,具有期望膜特性的硬模膜可以被沉积在处理腔室中,而无需按比例增加到腔室的RF功率。
本公开的实施例涉及在电子器件的制造中的基板处理中使用的基板处理腔室。基板处理包括用于在基板上制造电子器件的沉积工艺、蚀刻工艺以及其他低压、工艺、等离子体工艺、热处理工艺。可适于从本公开的示例性方面中受益的处理腔室和/或***的示例是可从位于加利福尼亚州圣克拉拉市的应用材料公司商购的
Figure BDA0003429094320000041
APFTM PECVD***。可以设想,其他处理腔室和/或处理平台(包括来自其他制造商的处理腔室和/或处理平台)可适于从本公开的各方面中受益。
本文公开的沉积腔室的实施例可用于制造存储器器件,并且具体地,用于在存储器器件的制造期间使用的硬模的沉积。当前的存储器器件能够在不施加电压的情况下将存储的数据保留达很长的时间,并且此类存储器器件的读取速率相对较高。清除存储的数据并将数据重写到存储器器件中相对容易。因此,存储器器件已被广泛用于微型计算机和自动控制***等中。为了增加位密度并降低存储器器件的每位成本,已经开发了3D NAND(三维非与)存储器器件。还在开发其他存储器器件(诸如DRAM(动态随机存取存储器)、EM(扩展存储器)和ReRAM(电阻随机存取存储器)以及用于形成它们的先进硬模材料,以进一步促进半导体工业的进步。
正在探索用于3D NAND技术的垂直栅极3D存储器单元,以随着存储器单元层数的增加而降低成本。由于材料集成的优势,氧化物/硅和氧化物/氮化物层堆叠是有用的,但是随着存储器单元层数量增加,层的厚度成为限制因素。因此,尽管有兴趣减小存储器单元层的厚度,但是随着层厚度减小,氧化物质量(即击穿电压)、硅电阻率和高深宽比蚀刻的问题仍然存在。
图1是适于进行沉积工艺的说明性处理腔室100的示意性侧视截面图。在一个实施例中,处理腔室100可被配置成将先进图案化膜沉积到基板上,诸如硬模膜,例如非晶碳硬模膜。
处理腔室100包括盖组件105、设置在腔室主体192上的间隔件110、基板支撑件115、***控制器101和可变压力***120。盖组件105包括盖板125和热交换器130。在所示的实施例中,盖组件105还包括喷头135。然而,在其他实施例中,盖组件105包括凹形或圆顶形的气体引入板。
盖组件105耦接至处理气体源140。处理气体源140包含用于在基板支撑件115上所支撑的基板145上形成膜的前驱物气体。作为示例,处理气体源140包括前驱物气体,诸如含碳气体、含氢气体、氦等。在特定示例中,含碳气体包括乙炔(C2H2)。处理气体源140将前驱物气体提供到设置在盖组件105中的气室190。盖组件105包括一个或多个通道以用于将前驱物气体从处理气体源140引导到气室190中。前驱物气体从气室流过喷头135进入处理空间160中。
盖组件105还耦接至可选的远程等离子体源150。远程等离子体源150耦接至清洗气体源155,清洗气体源155用于向处理空间160提供清洗气体,处理空间160形成在盖组件105与基板145之间的间隔件110内部。在一个示例中,通过轴向穿过盖组件105形成的中央导管191提供清洗气体。在另一个示例中,通过引导前驱物气体的相同通道来提供清洗气体。示例清洗气体包括含氧气体(诸如氧气和/或臭氧)以及含氟气体(诸如NF3)或其组合。
除了远程等离子体源150之外或作为远程等离子体源150的替代,盖组件105还耦接至第一或上射频(RF)电源165。第一RF电源165有助于维持或产生等离子体,如从清洗气体产生的等离子体。在一个示例中,省略了远程等离子体源150,并且清洗气体经由第一RF电源165原位离子化为等离子体。基板支撑件115耦接至第二或下RF电源170。第一RF电源165可以是高频RF电源(例如约13.56MHz或约40MHz),并且第二RF电源170可以是低频RF电源(例如约2MHz或约13.56MHz)。应注意,还考虑了其他频率。在一些实施方式中,第二RF电源170是混合频率RF电源,提供高频功率和低频功率两者。特别是对于第二RF电源170,双频RF电源的使用改善了膜沉积。当利用提供双频功率(诸如13.56MHz和40MHz)的第二RF电源170时,13.56MHz的频率改善了物质向沉积膜的注入,40MHz的频率增加了膜的离子化和沉积速率。
第一RF电源165和第二RF电源170中的一者或两者用于在处理空间160中产生或维持等离子体。例如,可在沉积工艺期间利用第二RF电源170,并且可在清洗工艺期间利用第一RF电源165(单独利用或与远程等离子体源150结合利用)。在一些沉积工艺中,第一RF电源165与第二RF电源170结合使用。在沉积工艺期间,第一RF电源165和第二RF电源170中的一者或两者在处理空间160中提供约4千瓦(kW)至约9kW的功率(诸如约4kW至约6kW),以促进前驱物气体的离子化。
基板支撑件115耦接到致动器175,致动器175提供基板支撑件115在Z方向上的运动。基板支撑件115还耦接至设施缆线178,设施缆线178允许基板支撑件115的垂直运动,同时维持与第二RF电源170以及其他电源和流体连接的连通。间隔件110设置在腔室主体192上。间隔件110的高度允许基板支撑件115在处理空间160内垂直运动。在一个示例中,基板支撑件115可相对于盖组件105(例如相对于喷头135的下表面)从第一距离180A移动到第二距离180B。在一些实施例中,第一距离180A为约14英寸,并且第二距离为约11.2英寸。与传统的等离子体增强化学气相沉积(PECVD)工艺相比,间隔件110大幅增加基板支撑115和盖组件105之间的距离(并因此增加它们之间的体积)。基板支撑件115与盖组件105之间增加的距离减少处理空间160中的离子化物质的碰撞,从而导致具有较小的拉伸应力的膜沉积。以较小的拉伸应力沉积的膜促进在其上形成膜的基板的改善的平坦性(例如较少的弯曲)。减少的基板弯曲使下游图案化操作的精度改善。
可变压力***120包括第一泵182和第二泵184。第一泵182是可以在清洗工艺和/或基板移送工艺期间使用的粗抽泵(roughing pump)。粗抽泵通常被配置用于移动较高的体积流量和/或操作相对较高的压力(尽管仍低于大气压)。在一个示例中,在清洗工艺期间,第一泵在处理腔室内维持约300毫托至约800毫托的压力,诸如约400托至约6毫托。在清洗操作期间使用粗抽泵有助于使清洗气体的压力和/或体积流量相对较高(与沉积操作相比)。在清洗操作期间相对较高的压力和/或体积流量改善了腔室表面的清洗。
第二泵184可以是在沉积工艺期间使用的涡轮泵。涡轮泵通常被配置为操作相对较低的体积流率和/或压力。例如,管状分子泵被配置为在沉积工艺期间将处理腔室的处理区域160维持在小于约10毫托,诸如约5毫托或更小的压力。当沉积碳基硬模时,在沉积期间维持的处理区域160的减小的压力促进具有减小的拉伸应力和/或增加的sp2-sp3转换的膜沉积。因此,处理腔室100被配置成既利用相对较低的压力来改善沉积,又利用相对较高的压力来改善清洗。
在一些实施例中,在沉积工艺期间利用第一泵182和第二泵184两者。阀门186用于控制通向第一泵182和第二泵184中的一者或两者的传导路径。阀门186还提供来自处理空间160的对称泵送。
处理腔室100还包括基板移送端口185。基板移送端口185由内门186A和外门186B选择性地密封。门186A和186B中的每一者耦接到致动器188。门186A和186B有助于处理空间160的真空密封。门186A和186B还在处理空间160内提供对称的RF施加和/或等离子体对称。在一个示例中,至少门186A由促进RF功率的传导的材料形成,所述材料诸如不锈钢、铝或其合金。
***控制器101控制在处理***100中发现的自动化部件的活动和操作参数。***控制器101可独立地耦接至处理***100中的一个或多个部件,诸如例如,致动器175、188、第一RF电源165,第二RF电源170和处理气体源140。***控制器101是用于控制在处理***100中找到的一个或多个部件的通用计算机。***控制器101通常被设计为促进控制和自动化本文公开的一个或多个处理程序,并且通常包括中央处理单元(CPU)(未示出)、存储器(未示出)和支持电路(或I/O)(未示出)。软件指令和数据可以被编码并存储在存储器(例如非瞬态计算机可读介质)内以用于对CPU下指令。***控制器内的处理单元可读的程序(或计算机指令)确定在处理***中可执行哪些任务。例如,非瞬态计算机可读介质包括程序,所述程序在由处理单元执行时被配置为执行本文所述的方法中的一者或多者。优选地,所述程序包括执行与以下各项相关的任务的代码:监控、执行与控制基板的运动、支撑和/或定位以及正执行的各种工艺配方任务和各种处理模块工艺配方操作。
图2是旋转约90度的图1的处理腔室100的示意性侧视图。在图2中,示出了间隔件110的细节。间隔件110包括围绕处理空间160的衬里200。
间隔件110还包括嵌入在间隔件110的主体中或与间隔件110的主体热连通的多个加热器元件205。加热器元件205用于将间隔件110的温度维持在约80摄氏度或更高。每个加热器元件205可以是筒式加热器。
衬里200包括上部分或第一部分210以及下部分或第二部分215。第一部分210的厚度大于第二部分215的厚度。变化的厚度提供许多好处。第二部分215的减小的厚度的一个好处是增加了基板支撑件115与间隔件110的内壁之间的空间,从而增加了真空传导率。第二部分215的减小的厚度的另一个好处是衬里200的热质量的改变。因此,衬里200的与第一部分210相邻或在第一部分210中的部分被维持在高于衬里200的与第二部分215相邻或在第二部分215中的部分的温度。衬里200由包括铝、钛或其合金的一个或多个材料形成。在一个示例中,衬里从盖组件105延伸,经过基板支撑件115,并邻近处理腔室100的底部。在这样的示例中,衬里200可以不接触腔室100的底部,而是与腔室100的底部间隔开。另外,虽然图2将衬里200图示为单个构件,但是可以预期的是,可利用多个衬里来内衬(line)处理腔室的内表面。例如,第一衬里(或上部衬里)可用于内衬间隔件110,而第二衬里(或下部衬里)可用于内衬腔室主体的内表面(如图1所示)。
间隔件110还包括磁体组件220。磁体组件220包括围绕间隔件110的多个磁体225。每个磁体225耦接至致动器230。致动器230使围绕衬里200外部的间隔件110的磁体225绕处理腔室100的纵轴235旋转。致动器230还适于使磁体225沿纵轴235垂直(在Z方向上)移动。磁体225中的每个磁体225可以是永磁体或电磁体,或它们的组合。磁体225中的每个磁体225定位在间隔件110的侧壁242中形成的凹部240内。在一个示例中,磁体定位在加热器元件205的下方。
在一些实施例中,多个磁体225中的约一半定向成以北极面向基板支撑件115(基本上平行于纵轴235)。多个磁体225的另一部分定向成以南极面向基板支撑件115(基本上平行于纵轴235)。在一个示例中,多个磁体225布置成围绕间隔件110的圆形(在平面图中)。多个磁体225被分成第一部分或第一半圆以及第二部分或第二半圆。第一半圆中的磁体225中的每个磁体225定向成以北极面向基板支撑件115(基本上平行于纵轴235)。第二半圆中的磁体225中的每个磁体225定向成以南极面向基板支撑件115(基本上平行于纵轴235)。在这样的示例中,多个磁体225可在沉积期间旋转,或者多个磁体可维持静止。
磁体组件220有助于处理空间160中的等离子体限制和/或调谐。在一个实施例中,在沉积工艺期间,磁体组件220还在处理空间160内形成谐振腔245。谐振腔245提供磁通量,所述磁通量将等离子体壳层垂直向下(Z方向)朝着基板(图2中未示出)延伸。磁体组件220在X/Y平面中以及Z方向上提供B场。磁体组件220还允许调谐处理空间160和/或谐振腔245内的等离子体。可监控等离子体中的涡流以提供用于调整磁体225的位置和磁体225的旋转速度中的一者或两者的度量。附加地或替代地,可执行先前沉积在基板上的膜的计量,并且将结果用于改变磁体225的位置和/或旋转速度。因此,谐振腔245和磁体组件220在基板上提供了更好的膜均匀性。
图3是包括阴极组件300的处理腔室100的示意性截面图,阴极组件300包括基板支撑件115。处理腔室100包括用于将基板(诸如晶片)移入和移出处理腔室100的开口285。阴极组件300耦接至致动器305(类似于图1所示的致动器175),致动器305使阴极组件300在Z方向上垂直移动。如图3所示,阴极组件300相对于盖组件105处于最低位置(例如第一距离180A)。调节用于沉积工艺的阴极组件300的位置的能力允许获得最佳的膜质量。
阴极组件300还包括设施接口310。设施接口310提供用于RF功率的连接以及其他电连接和流体连接。设施界面310经由设施缆线178耦接至基板支撑件115。其他连接包括电源315、冷却剂源320和气源325。
电源315用于为作为基板支撑件115部分的静电吸盘330供电。电源315可以是直流(DC)电源。通过排出静电吸盘330的控制器(未示出)来促进解吸附。另外,设施缆线178可选地通过匹配网络耦接至电源170,以促进处理腔室100内的操作。在一个示例中,在PECVD工艺期间,设施缆线178促进RF功率的输送。由于间隔件110产生的相对大的体积,以及在PECVD工艺期间处理腔室100操作的压力,因此使用(与传统的PECVD工艺相比)更高的功率水平来建立和维持等离子体。例如,在PECVD工艺期间,设施缆线可在110安培下承载约4kW的功率至约9kW的功率。可以预期的是,当以经调谐配置使用磁体225时,可将安培数减小到约78安培至约80安培的范围,而不会降低膜质量。
冷却剂源320包含冷却基板支撑件115的冷却剂。例如,从冷却剂源320流到基板支撑件115的冷却剂将静电吸盘330(和/或定位在其上的基板)的温度维持在约0摄氏度到约-10摄氏度。冷却剂包括传热流体,例如以商标名
Figure BDA0003429094320000101
出售的传热流体。
气源325向静电吸盘330下方的空间提供流体以防止凝结。流体可以是干净的干燥空气、氮(N2)、氦(He)或其他合适的气体。供应到静电吸盘下方的空间的流体减少静电(包括其下表面)上的凝结。
如本文所述的基板支撑件115的冷却、加热的间隔件110(使用图2所示的加热器元件205)和谐振腔235的组合中的一者或多者提供了许多好处。基板的低温增加了沉积速率并减少了基板弯曲。将间隔件110和/或衬里200的温度维持在低于约100摄氏度有利于更高效的清洗和/或最小化颗粒到衬里200上的粘附。为了促进衬里200的冷却,可在间隔件110中形成一个或多个冷却通道。
在图3中还示出了支撑结构335。虽然仅示出了一个支撑结构335,但是支撑结构335具有在其中形成的三个真空通道340。真空通道340耦接至可变压力***120。真空通道340促进从处理空间160对称地泵送。
阴极组件300耦接至基环350和可选的安装板345。紧固件355从基环350延伸以将基板支撑件115固定在其上。
图4是基板支撑件115的一个实施例的示意性截面图。如上所述,基板支撑件115包括静电吸盘330。静电吸盘330包括圆盘400。圆盘400包括嵌入其中的一个或多个电极405。一个或多个电极405中的第一电极用作吸盘电极,并且一个或多个电极405中的第二电极用作RF偏压电极。圆盘400由诸如陶瓷材料之类的介电材料形成,例如氮化铝(AlN)。
圆盘400由介电板410和底板415支撑。介电板410可由电绝缘材料(诸如石英)或热塑性材料(诸如以商标名
Figure BDA0003429094320000111
出售的高性能塑料)形成。底板415可由金属材料(诸如铝)制成。在操作期间,当圆盘400为RF热(RF hot)时,底板415耦接至接地或电浮动。设施缆线178的一端示出为在圆盘400、介电板410和底板415中形成的开口中。用于圆盘400的电极的电力以及从气源325(图3中示出)到基板支撑件115的流体由设施缆线178提供。
圆盘400、介电板410和底板415中的每一者包括在其中形成或穿过其中形成的分别轴向对准的开口,以容纳设施缆线178。圆盘400包括开口495,开口495成形为与设施缆线178接合。例如,开口495可被配置为用于接收设施缆线178的母插座。介电板410包括与开口495轴向对准的开口496。开口496包括上部分496a、中部分496b与下部分496c,上部分496a的直径约等于开口495的直径,中部分496b的直径大于上部分的直径,下部分496c的直径大于中间部分496b的直径。底板415包括开口497,开口497具有上部分497a与下部分497b,上部分497a具有第一直径,下部分497b具有小于第一直径的第二直径。开口496、496和497的多个直径促进将设施缆线178固定在其中。
圆盘400包括在其中形成的多个流体通道430。流体通道430中的每个流体通道430与入口通道432流体连通。入口通道432流体地耦接到入口导管434。入口导管434耦接到冷却剂源320。流体通道430和入口通道432中的每一者均由盖板436密封。盖板436可由与圆盘400相同的材料或铝制成,并且可以焊接或以其他方式结合至圆盘400以密封流体通道430和入口导管434。尽管未示出,但是类似于入口导管434,出口导管设置在基板支撑件115中,使得冷却流体可以在其中再循环。
入口导管434的一部分由管状构件438形成。管状构件438由介电材料(诸如陶瓷材料)形成。密封件440设置在管状构件438的与盖板436和底板415相邻的端部处。管状构件438防止可能由流过其中的冷却流体引起的电弧放电。管状构件438还可使介电板410与在其中流动的相对较冷的冷却流体热绝缘,以便防止介电板410破裂。
基板支撑件115还包括多个升降销442(仅示出一个)。升降销442中的每个升降销442可移动地设置在介电套管(bushing)444中。升降销442中的每个升降销442可由陶瓷材料(诸如AlN、蓝宝石、石英等)形成。介电套管444设置在圆盘400、介电板410和底板415中的每一者中或穿过圆盘400、介电板410和底板415中的每一者设置。介电套管444由聚合物材料(诸如聚四氟乙烯(PTFE)材料)制成。介电套管444包括沿其长度方向的开口446,升降销442在开口446中被引导。开口446尺寸设计为略大于升降销442的尺寸(直径),使得在介电套管444中形成传导路径。例如,开口446耦接到可变压力***120,使得真空传导被提供在处理空间160之间并且穿过介电套管444到达可变压力***120。由开口446提供的传导路径防止升降销产生电弧。介电套管444包括多个台阶448,这些台阶是直径变化的部分。台阶448通过增加电可行进的路径的长度以及通过沿所述路径引入角度旋转(angular turn)来减少圆盘400与底板415之间的电弧。
基板支撑件115还包括多个紧固件装置450(仅示出一个)。利用紧固件装置450将圆盘400附接于介电板410。每个紧固件装置450包括紧固件452、金属垫圈454和紧固盖件456。当紧固件456被拧紧时,将垫圈454推靠在介电板410中形成的开口460的表面458上。垫圈454和紧固件452由金属材料(诸如不锈钢)制成。垫圈454包括圆形的上拐角。当紧固件452被拧紧时,圆形的上拐角防止介电板410的材料破裂。
紧固盖件456用于填充介电板410中的开口460的其余部分。紧固盖件456包括袋部464,调整袋部464被尺寸设计为接收紧固件452的头部。紧固盖件456由介电材料形成,所述介电材料诸如聚合物,例如聚醚醚酮(PEEK)。紧固盖件456的外表面包括台阶,所述台阶通过增加电可行进的路径长度来减少圆盘400与底板415之间的电弧。
基板支撑件115还在其各层之间包括多个间隙。在圆盘400与介电板410之间设置第一间隙470。在介电板410与底板415之间设置第二间隙472。第一间隙470和第二间隙472与在图3A和图3B中示出和描述的气源325流体连通。来自气源325的流体在第一间隙470和第二间隙472中流动以防止相邻层之间的冷凝。第一间隙470和第二间隙472中的流体被绝缘环420密封在基板支撑件115的端部上。绝缘环420可被尺寸设计为提供来自第一间隙470和第二间隙472的流体的受控泄漏。绝缘环420可包括绝缘材料(诸如氧化硅)。
至少圆盘400和介电板410被绝缘环420围绕。底板415和绝缘环420的一部分被由铝或另一导电材料制成的接地环425围绕。绝缘环420可包括一个或多个延伸部(未示出),这些延伸部通过引起角度旋转来减小RF电流的“视线(line of sight)”。如图所示,包括横截面形状的角度旋转减少RF电流的电弧。在操作期间,绝缘环420防止或最小化圆盘400与底板415之间的电弧。
边缘环422邻近绝缘环420的内周设置。边缘环422可包括介电材料,诸如石英、硅、交联的聚苯乙烯和二乙烯基苯(例如
Figure BDA0003429094320000131
)、PEEK、Al2O3、AlN等。利用包括这种介电材料的边缘环422有助于调节等离子体耦合、调节等离子体特性(诸如基板支撑件上的电压(Vdc)),而不必改变等离子体功率,从而改善沉积在基板上的硬模膜的特性。通过调节通过边缘环422的材料与晶片或基板的RF耦接,可以使膜的模量与膜的应力解耦。因此,可在不影响模量的情况下减少硬模的应力,或者可在不影响应力的情况下提高硬模的模量,而不必通过按比例增加RF功率来调节离子能量。
传统上,通过调整用于点燃和/或维持处理腔室中的等离子体的RF功率来实现对膜的模量或应力的调节。通过改变RF功率,可以实现膜模量和应力的最终变化。然而,RF功率的变化同时影响膜模量和膜应力。因此,传统上,对膜模量的有意变化也可能导致膜应力的意外变化,反之亦然。
相反地,已经出乎意料地发现,边缘环材料的变化可以在不影响膜应力的情况下影响膜模量的变化,反之亦然。不希望受到理论的束缚,据信,这是由于通过不同材料形成的边缘环的变化特性引起的与基板的RF耦合的改变而实现的。此外,在不改变施加用于产生/维持等离子体的RF功率的情况下,改变与基板的RF耦合来允许待调整的单个特性(例如,模量或应力中的一者),而不会显著影响另一特性(诸如模量或应力中的另一者)。
通常,在沉积期间主要仅使用一个RF源,并且为了增加Vdc,增加等离子体功率(或压力),这样由于更高的Vdc而增加了离子密度(即,较高功率下的更大离子化)和离子能量。因此,应力和模量不能被独立地调整或修改。然而,通过改变边缘环422的材料,在不影响等离子体离子化的情况下,基板上的Vdc增加。因此,诸如应力和模量之类的特性能够被独立地调整或修改。例如,当将包括Al2O3的第一边缘环与包括石英的第二边缘环比较时,Al2O3边缘环和石英边缘环两者均使得膜具有相同的模量;然而,石英边缘环使得膜的应力明显小于Al2O3边缘环。
通过利用设置在作为等离子体电势的导体的等离子体与接地之间的具有较低介电常数的边缘环422,可以调节等离子体与接地之间的电容。因此,减少了等离子体与接地之间的电场,从而调节到接地的离子通量。具有较低介电常数的边缘环422和经调节的到接地的离子通量增强或加强电场,这进而增强了基板上的Vdc和离子能量两者。因此,增强了基板上的离子能量而无需增加RF功率时将出现的离子和中性密度的任何增加。在没有使RF功率增加的情况下,基板上增强的Vdc和离子能量使基板的应力和模量能够独立地调整。
图5图示根据一个实施例的利用边缘环来调节硬模膜的特性的方法500。方法500可与图1-3的处理腔室100一起使用,处理腔室100包括***控制器101和图4的基板支撑件115。
在操作502中,在将第一基板放置在处理腔室中之后,在第一基板上沉积第一硬模膜,并且以第一RF功率来处理第一基板。在操作504中,测量第一硬模膜的一个或多个膜特性。一个或多个膜特性至少包括膜应力和膜模量。在操作506中,从基板支撑件和处理腔室移除第一基板。
在操作508中,将基板支撑件的第一边缘环交换为第二边缘环,所述第二边缘环包括介电材料,诸如石英、硅、交联的聚苯乙烯和二乙烯基苯(例如
Figure BDA0003429094320000151
)、PEEK、Al2O3或AlN。第二边缘环可以是图4的边缘环422。第二边缘环可具有比第一边缘环更低的介电常数。替代地,第二边缘环可具有比第一边缘环更高的介电常数。包括这种介电材料的第二边缘环有助于调节等离子体耦合、调节等离子体特性,而不必改变等离子体功率,从而改善沉积在基板上的硬模膜的特性。在一个示例中,作为对第一硬模膜的测量的结果,选择第二边缘环以调整诸如模量或应力之类的特性。例如,如果确定第一硬模膜的模量不在预定范围内(但是应力在预定范围内),则选择第二边缘环,使得随后沉积的膜的模量被调整到在预定范围内,而应力也维持在先前确定的范围中。
在操作510中,然后将第二基板放置在基板支撑件上。在操作512中,第二硬模膜沉积在第二基板上,并且以第一RF功率处理第二基板。因此,第一基板和第二基板两者都在相同的处理腔室内以相同的RF功率作处理。
在操作514中,测量第二硬模膜的一个或多个膜特性。一个或多个膜特性至少包括膜应力和膜模量。然后将第二硬模膜的膜特性与第一硬模膜的膜特性比较,以确认已经实现了第一硬模膜与第二硬模膜之间的期望的调整量。第二硬模膜可具有与第一硬模膜不同的至少约20%的模量变化。第二硬模膜可具有与第一硬模膜不同的小于约5%的应力变化。替代地,第二硬模膜可具有与第一硬模膜不同的至少约20%的应力变化,并且第二硬模膜可具有与第一硬模膜不同的小于约5%的模量变化。因此,虽然第一基板和第二基板以相同的RF功率处理,但是由于改变边缘环的材料,并且在没有对处理配方/参数作任何其他改变的情况下,设置在第二基板上的第二硬模膜的膜质量比设置在第一基板上的第一硬模的膜质量更高。
通过调节通过边缘环的材料与基板的RF耦接,可以打破模量与应力之间的相反关系(即,将模量与应力解耦)。因此,可在不影响模量的情况下减少硬模的应力,或者可在不影响应力的情况下提高硬模的模量。此外,在不通过按比例增加RF功率来调节离子能量的情况下,改善了硬模的膜特性。
利用包括介电材料(诸如石英、硅、交联的聚苯乙烯和二乙烯基苯(例如
Figure BDA0003429094320000161
)、PEEK、Al2O3或AlN)的边缘环,使得沉积的硬模膜能够同时具有较高的模量和较低的应力。因为通过利用边缘环将硬模膜沉积为具有较高的模量和较低的应力,所以***的其他部件不再需要处理由于RF电流和电压的增加而增加的工作量,从而通过防止部件损坏来延长部件的使用寿命。
尽管前述内容针对本公开的实施例,但是在不脱离本公开的基本范围的情况下,可以设计本公开的其他和进一步的实施例,并且本公开的范围由所附权利要求书确定。

Claims (20)

1.一种用于调节一个或多个硬模膜的特性的方法,包括以下步骤:
在第一基板上沉积第一硬模膜,并以第一RF功率处理所述第一基板;
测量所述第一硬模膜的一个或多个膜特性;
从基板支撑件移除所述第一基板;
将所述基板支撑件中的第一边缘环交换为第二边缘环,所述第二边缘环包括选自由以下各项组成的群组的材料:石英、硅、交联的聚苯乙烯和二乙烯苯、聚醚醚酮、Al2O3和AlN;
将第二基板放置在所述基板支撑件上;
在所述第二基板上沉积第二硬模膜,并以所述第一RF功率处理所述第二基板;以及
测量所述第二硬模膜的所述一个或多个膜特性。
2.如权利要求1所述的方法,其中所述第二硬模膜具有与所述第一硬模膜不同的至少约20%的模量变化,并且其中所述第二硬模膜具有与所述第一硬模膜不同的小于约5%的应力变化。
3.如权利要求1所述的方法,其中所述第二硬模膜具有与所述第一硬模膜不同的至少约20%的应力变化,并且其中所述第二硬模膜具有与所述第一硬模膜不同的小于约5%的模量变化。
4.如权利要求1所述的方法,其中所述第一边缘环包括与所述第二边缘环不同的材料,并且所述第一硬模材料与所述第二硬模材料相同。
5.如权利要求1所述的方法,其中所述第二边缘环具有比所述第一边缘环更低的介电常数。
6.如权利要求1所述的方法,其中当施加所述第一RF功率时,所述第二边缘环的所述材料增加所述第二基板上的电压和离子能量。
7.如权利要求1所述的方法,其中所述一个或多个膜特性包括模量和应力,并且其中选择所述第二边缘环的所述材料以独立地调整所述第二硬模膜的所述模量和所述应力。
8.一种用于调节一个或多个硬模膜的特性的方法,包括以下步骤:
在第一基板上沉积第一硬模膜,并以第一RF功率处理所述第一基板;
测量所述第一硬模膜的一个或多个膜特性,所述一个或多个膜特性包括模量和应力;
从基板支撑件移除所述第一基板;
将所述基板支撑件中的第一边缘环交换为第二边缘环,所述第一边缘环包括与所述第二边缘环的第二材料不同的第一材料;
将第二基板放置在所述基板支撑件上;以及
在所述第二基板上沉积第二硬模膜,并以所述第一RF功率处理所述第二基板,其中基于测得的所述第一硬模膜的一个或多个膜特性来选择所述第二边缘环的所述第二材料以调整所述第二硬模膜的至少一个膜特性。
9.如权利要求8所述的方法,其中所述第二边缘环的所述介电材料选自由以下各项组成的群组:石英、硅、交联的聚苯乙烯和二乙烯苯、聚醚醚酮、Al2O3和AlN。
10.如权利要求8所述的方法,其中所述第二硬模膜具有与所述第一硬模膜不同的至少约20%的模量变化,并且其中所述第二硬模膜具有与所述第一硬模膜不同的小于约5%的应力变化。
11.如权利要求8所述的方法,其中所述第二硬模膜具有与所述第一硬模膜不同的至少约20%的应力变化,并且其中所述第二硬模膜具有与所述第一硬模膜不同的小于约5%的模量变化。
12.如权利要求8所述的方法,其中所述第二边缘环具有比所述第一边缘环更高的介电常数。
13.如权利要求8所述的方法,其中当施加所述第一RF功率时,所述第二边缘环的所述材料增加所述第二基板上的电压和离子能量。
14.如权利要求8所述的方法,其中选择所述第二边缘环的所述第二材料以独立地调整所述第二硬模膜的模量和应力。
15.一种具有指令的非瞬态计算机可读介质,所述指令被配置为使***执行以下操作:
在第一基板上沉积第一硬模膜,并以第一RF功率处理所述第一基板;
测量所述第一硬模膜的一个或多个膜特性;
从基板支撑件移除所述第一基板;
将所述基板支撑件中的第一边缘环交换为第二边缘环,所述第二边缘环包括选自由以下各项组成的群组中的材料:石英、硅、交联的聚苯乙烯和二乙烯苯、聚醚醚酮、Al2O3和AlN;
将第二基板放置在所述基板支撑件上;
在所述第二基板上沉积第二硬模膜,并以所述第一RF功率处理所述第二基板;以及
测量所述第二硬模膜的所述一个或多个膜特性。
16.如权利要求15所述的非瞬态计算机可读介质,其中所述第二硬模膜具有与所述第一硬模膜不同的至少约20%的模量变化,并且其中所述第二硬模膜具有与所述第一硬模膜不同的小于约5%的应力变化。
17.如权利要求15所述的非瞬态计算机可读介质,其中所述第二硬模膜具有与所述第一硬模膜不同的至少约20%的应力变化,并且其中所述第二硬模膜具有与所述第一硬模膜不同的小于约5%的模量变化。
18.如权利要求15所述的非瞬态计算机可读介质,其中所述一个或多个膜特性包括模量和应力,并且其中选择所述第二边缘环的所述材料以独立地调整所述第二硬模膜的所述模量和所述应力。
19.如权利要求15所述的非瞬态计算机可读介质,其中所述第二边缘环具有比所述第一边缘环更低的介电常数。
20.如权利要求15所述的非瞬态计算机可读介质,其中当施加所述第一RF功率时,所述第二边缘环的所述材料增加所述第二基板上的电压和离子能量。
CN202080046187.2A 2019-07-01 2020-06-26 通过优化等离子体耦合材料来调节膜特性 Pending CN114008761A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962869470P 2019-07-01 2019-07-01
US62/869,470 2019-07-01
PCT/US2020/039841 WO2021003070A1 (en) 2019-07-01 2020-06-26 Modulating film properties by optimizing plasma coupling materials

Publications (1)

Publication Number Publication Date
CN114008761A true CN114008761A (zh) 2022-02-01

Family

ID=74066461

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080046187.2A Pending CN114008761A (zh) 2019-07-01 2020-06-26 通过优化等离子体耦合材料来调节膜特性

Country Status (6)

Country Link
US (1) US11270905B2 (zh)
JP (1) JP2022538455A (zh)
KR (1) KR20220037456A (zh)
CN (1) CN114008761A (zh)
TW (1) TW202108803A (zh)
WO (1) WO2021003070A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220349050A1 (en) * 2021-04-30 2022-11-03 Applied Materials, Inc. Method and apparatus with high conductance components for chamber cleaning

Family Cites Families (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0221531A3 (en) 1985-11-06 1992-02-19 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha High heat conductive insulated substrate and method of manufacturing the same
JPH05508266A (ja) 1991-04-03 1993-11-18 イーストマン・コダック・カンパニー GaAsをドライエッチングするための高耐久性マスク
US5352493A (en) 1991-05-03 1994-10-04 Veniamin Dorfman Method for forming diamond-like nanocomposite or doped-diamond-like nanocomposite films
JPH07268622A (ja) 1994-03-01 1995-10-17 Applied Sci & Technol Inc マイクロ波プラズマ付着源
TW422892B (en) 1997-03-27 2001-02-21 Applied Materials Inc Technique for improving chucking reproducibility
US6013980A (en) 1997-05-09 2000-01-11 Advanced Refractory Technologies, Inc. Electrically tunable low secondary electron emission diamond-like coatings and process for depositing coatings
JP2868120B2 (ja) 1997-06-11 1999-03-10 川崎重工業株式会社 電子ビーム励起プラズマ発生装置
JP2002504189A (ja) 1997-06-16 2002-02-05 ローベルト ボツシユ ゲゼルシヤフト ミツト ベシユレンクテル ハフツング 基板の真空被覆方法および装置
MY132894A (en) 1997-08-25 2007-10-31 Ibm Layered resist system using tunable amorphous carbon film as a bottom layer and methods of fabrication thereof
US6320295B1 (en) 1998-11-18 2001-11-20 Mcgill Robert Andrew Diamond or diamond like carbon coated chemical sensors and a method of making same
US6592771B1 (en) 1999-04-08 2003-07-15 Sony Corporation Vapor-phase processing method and apparatus therefor
KR100610130B1 (ko) 1999-05-19 2006-08-09 미쯔비시 쇼지 플라스틱 가부시키가이샤 Dlc막, dlc막 코팅 플라스틱 용기, 그 제조장치 및그 제조방법
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7247221B2 (en) 2002-05-17 2007-07-24 Applied Films Corporation System and apparatus for control of sputter deposition process
US6900002B1 (en) 2002-11-19 2005-05-31 Advanced Micro Devices, Inc. Antireflective bi-layer hardmask including a densified amorphous carbon layer
US7416786B2 (en) 2003-02-26 2008-08-26 Sumitomo Electric Industries, Ltd. Amorphous carbon film, process for producing the same and amorphous carbon film-coated material
JP2006049817A (ja) 2004-07-07 2006-02-16 Showa Denko Kk プラズマ処理方法およびプラズマエッチング方法
US8808856B2 (en) 2005-01-05 2014-08-19 Pureron Japan Co., Ltd. Apparatus and method for producing carbon film using plasma CVD and carbon film
JP2006190795A (ja) * 2005-01-06 2006-07-20 Matsushita Electric Ind Co Ltd 半導体装置の製造方法および急速熱処理装置
US7247582B2 (en) 2005-05-23 2007-07-24 Applied Materials, Inc. Deposition of tensile and compressive stressed materials
US20070032081A1 (en) * 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
US8119240B2 (en) 2005-12-02 2012-02-21 United Technologies Corporation Metal-free diamond-like-carbon coatings
KR100812504B1 (ko) 2006-09-05 2008-03-11 성균관대학교산학협력단 전도성 고경도 탄소박막의 제조 방법 및 박막 전계 발광소자용 전극으로의 응용
US8500963B2 (en) 2006-10-26 2013-08-06 Applied Materials, Inc. Sputtering of thermally resistive materials including metal chalcogenides
US7959735B2 (en) 2007-02-08 2011-06-14 Applied Materials, Inc. Susceptor with insulative inserts
US8105660B2 (en) 2007-06-28 2012-01-31 Andrew W Tudhope Method for producing diamond-like carbon coatings using PECVD and diamondoid precursors on internal surfaces of a hollow component
US8101444B2 (en) 2007-08-17 2012-01-24 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
JP2009167512A (ja) 2008-01-21 2009-07-30 Kobe Steel Ltd 摺動部品用ダイヤモンドライクカーボン皮膜およびその製造方法
US8133819B2 (en) 2008-02-21 2012-03-13 Applied Materials, Inc. Plasma etching carbonaceous layers with sulfur-based etchants
JP4704453B2 (ja) 2008-07-16 2011-06-15 株式会社プラズマイオンアシスト ダイヤモンドライクカーボン製造装置、製造方法及び工業製品
JP4755262B2 (ja) 2009-01-28 2011-08-24 株式会社神戸製鋼所 ダイヤモンドライクカーボン膜の製造方法
US10049859B2 (en) 2009-07-08 2018-08-14 Aixtron Se Plasma generating units for processing a substrate
KR20110115291A (ko) 2010-04-15 2011-10-21 경북대학교 산학협력단 Dlc 코팅장치
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
KR20120121340A (ko) 2011-04-26 2012-11-05 삼성전자주식회사 유도결합 플라즈마를 이용한 탄소계 하드 마스크막 제조 방법 및 이를 이용한 패턴 형성 방법
US20120276743A1 (en) 2011-04-26 2012-11-01 Jai-Hyung Won Methods of forming a carbon type hard mask layer using induced coupled plasma and methods of forming patterns using the same
EP2587518B1 (en) 2011-10-31 2018-12-19 IHI Hauzer Techno Coating B.V. Apparatus and Method for depositing Hydrogen-free ta C Layers on Workpieces and Workpiece
JP5935116B2 (ja) 2011-12-16 2016-06-15 東京エレクトロン株式会社 プラズマ処理装置
CN103594495A (zh) 2012-08-16 2014-02-19 中国科学院微电子研究所 半导体器件及其制造方法
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9484303B2 (en) * 2013-03-13 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Stress tuning for reducing wafer warpage
WO2014149175A1 (en) 2013-03-15 2014-09-25 Applied Materials, Inc. An amorphous carbon deposition process using dual rf bias frequency applications
US9269587B2 (en) 2013-09-06 2016-02-23 Applied Materials, Inc. Methods for etching materials using synchronized RF pulses
US9984915B2 (en) 2014-05-30 2018-05-29 Infineon Technologies Ag Semiconductor wafer and method for processing a semiconductor wafer
US20160042961A1 (en) 2014-08-06 2016-02-11 Applied Materials, Inc. Electron beam plasma source with rotating cathode, backside helium cooling and liquid cooled pedestal for uniform plasma generation
US9695503B2 (en) 2014-08-22 2017-07-04 Applied Materials, Inc. High power impulse magnetron sputtering process to achieve a high density high SP3 containing layer
US9390910B2 (en) * 2014-10-03 2016-07-12 Applied Materials, Inc. Gas flow profile modulated control of overlay in plasma CVD films
US9959610B2 (en) * 2014-10-30 2018-05-01 Applied Materials, Inc. System and method to detect substrate and/or substrate support misalignment using imaging
US20170263478A1 (en) * 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
US10475626B2 (en) 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
US10153139B2 (en) 2015-06-17 2018-12-11 Applied Materials, Inc. Multiple electrode substrate support assembly and phase control system
US10418243B2 (en) 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
US9695593B2 (en) 2015-11-10 2017-07-04 Detec Systems Llc Leak detection in roof membranes
US10020218B2 (en) * 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
KR20170127724A (ko) * 2016-05-12 2017-11-22 삼성전자주식회사 플라즈마 처리 장치
US9852889B1 (en) * 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US10249495B2 (en) 2016-06-28 2019-04-02 Applied Materials, Inc. Diamond like carbon layer formed by an electron beam plasma process
US10388524B2 (en) * 2016-12-15 2019-08-20 Tokyo Electron Limited Film forming method, boron film, and film forming apparatus
US10847347B2 (en) * 2018-08-23 2020-11-24 Applied Materials, Inc. Edge ring assembly for a substrate support in a plasma processing chamber
US10903050B2 (en) * 2018-12-10 2021-01-26 Lam Research Corporation Endpoint sensor based control including adjustment of an edge ring parameter for each substrate processed to maintain etch rate uniformity

Also Published As

Publication number Publication date
WO2021003070A1 (en) 2021-01-07
KR20220037456A (ko) 2022-03-24
US11270905B2 (en) 2022-03-08
US20210005500A1 (en) 2021-01-07
TW202108803A (zh) 2021-03-01
JP2022538455A (ja) 2022-09-02

Similar Documents

Publication Publication Date Title
US9443731B1 (en) Material processing to achieve sub-10nm patterning
CN100521105C (zh) 等离子体蚀刻方法
US20130295774A1 (en) Plasma etching method
KR20170074784A (ko) 에칭 방법
US11560626B2 (en) Substrate processing chamber
WO2015026506A1 (en) Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
US8592327B2 (en) Formation of SiOCl-containing layer on exposed low-k surfaces to reduce low-k damage
US20090203218A1 (en) Plasma etching method and computer-readable storage medium
JP2011176365A (ja) 化学的酸化物除去(ChemicalOxideRemoval)処理システム及び方法
CN112136202B (zh) 用于在等离子体增强化学气相沉积腔室中抑制寄生等离子体的设备
US20230343586A1 (en) Method of using dual frequency rf power in a process chamber
WO2019138654A1 (ja) プラズマ処理装置及びプラズマ処理方法
US11270905B2 (en) Modulating film properties by optimizing plasma coupling materials
US20180187308A1 (en) Method and system for sculpting spacer sidewall mask
JP2023118883A (ja) プラズマ処理装置
US20220246440A1 (en) Substrate processing method and substrate processing apparatus
JP7412257B2 (ja) エッチング方法、基板処理装置、及び基板処理システム
JP7309799B2 (ja) エッチング方法及びプラズマ処理装置
US10937664B2 (en) Surface modification process
US20220349050A1 (en) Method and apparatus with high conductance components for chamber cleaning
JP2022074000A5 (zh)
US20050098536A1 (en) Method of etching oxide with high selectivity

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20220201

WD01 Invention patent application deemed withdrawn after publication