CN113924525A - 用于确定对掩模的特征的校正的方法 - Google Patents

用于确定对掩模的特征的校正的方法 Download PDF

Info

Publication number
CN113924525A
CN113924525A CN202080042327.9A CN202080042327A CN113924525A CN 113924525 A CN113924525 A CN 113924525A CN 202080042327 A CN202080042327 A CN 202080042327A CN 113924525 A CN113924525 A CN 113924525A
Authority
CN
China
Prior art keywords
printed
pattern
defect
probability
grid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202080042327.9A
Other languages
English (en)
Inventor
方伟
浦凌凌
陈志超
张海利
张鹏程
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Holding NV
Original Assignee
ASML Holding NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Holding NV filed Critical ASML Holding NV
Publication of CN113924525A publication Critical patent/CN113924525A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本文中描述了一种用于确定对掩模的特征的校正的方法。该方法包括:获取(i)用于设计布局的图案组,以及(ii)用于设计布局的、使用在图案化工艺中使用的掩模成像的衬底的缺陷检查数据;基于缺陷检查数据,确定与图案组相关联的缺陷图,其中缺陷图包括与设计布局的其他图案相比被印刷在衬底上的概率相对较高的辅助特征的位置;以及经由使用与缺陷图相关联的数据模拟光学邻近校正过程,确定对掩模的特征的校正。

Description

用于确定对掩模的特征的校正的方法
相关申请的交叉引用
本申请要求于2019年4月15日提交的美国申请62/833,958的优先权,该申请通过引用整体并入本文。
技术领域
本文中的描述涉及光刻设备和工艺,并且更具体地涉及一种用于基于印刷图案中(例如,抗蚀剂层中)的缺陷或变化来确定对图案形成装置的校正的工具。
背景技术
光刻投影设备可以用于例如集成电路(IC)的制造。在这种情况下,图案形成装置(例如,掩模)可以包含或提供与IC的个体层相对应的电路图案(“设计布局”),并且可以通过诸如穿过图案形成装置上的电路图案辐照目标部分等方法将该电路图案转印到已经涂覆有辐射敏感材料(“抗蚀剂”)层的衬底(例如,硅晶片)上的目标部分(例如,包括一个或多个管芯)上。通常,单个衬底包含多个相邻目标部分,电路图案被光刻投影设备依次转印到这些目标部分,一次一个目标部分。在一种类型的光刻投影设备中,整个图案形成装置上的电路图案被一次转印到一个目标部分上;这种设备通常被称为晶片步进器。在通常称为步进扫描设备的可替代设备中,投影束在给定参考方向(“扫描”方向)上扫描图案形成装置,同时同步地与该参考方向平行或反平行地移动衬底。图案形成装置上的电路图案的不同部分被逐渐转印到一个目标部分。因为通常光刻投影设备将具有放大系数M(通常<1),所以移动衬底的速度F将是投影束扫描图案形成装置的速度的系数M倍。关于如本文所述的光刻设备的更多信息可以从例如US 6,046,792中收集,该文献通过引用并入本文。
在将电路图案从图案形成装置转印到衬底之前,衬底可以经历各种程序,诸如涂底料、抗蚀剂涂覆和软烘烤。在曝光之后,衬底可以经历其他程序,诸如曝光后烘烤(PEB)、显影、硬烘烤以及转印电路图案的测量/检查。这一系列程序被用作制作器件(例如,IC)的个体层的基础。然后,衬底可以经历各种工艺,诸如蚀刻、离子注入(掺杂)、金属化、氧化、化学机械抛光等,所有这些都旨在完成器件的个体层。如果器件中需要多个层,则对每一层重复整个程序或其变体。最终,器件将出现在衬底上的每个目标部分中。然后通过诸如切割或锯切等技术将这些器件彼此分开,从而将个体器件安装在载体上,连接到引脚,等等。
如上所述,微光刻是制造IC的核心步骤,其中形成在衬底上的图案定义IC的功能元件,诸如微处理器、存储器芯片等。类似的光刻技术也用于平板显示器、微机电***(MEMS)和其他装置的形成。
随着半导体制造工艺的不断进步,功能元件的尺寸不断减小,而每个器件的功能元件(诸如晶体管)的数目几十年来一直在稳步增加,遵循通常称为“摩尔定律”的趋势。在当前技术状态下,器件层是使用光刻投影设备制造的,该光刻投影设备使用来自深紫外照射源的照射将设计布局投影到衬底上,从而创建尺寸远低于100nm(即,小于来自照射源(例如,193nm照射源)的辐射的波长的一半)的个体功能元件。
根据分辨率公式CD=k1×λ/NA,这种印刷尺寸小于光刻投影设备的经典分辨率极限的特征的工艺通常被称为低k1光刻,其中λ是所采用的辐射的波长(目前在大多数情况下为248nm或193nm),NA是光刻投影设备中的投影光学器件的数值孔径,CD是“临界尺寸”(通常是所印刷的最小特征大小),k1是经验分辨率系数。通常,k1越小,在衬底上复制与由电路设计者计划的形状和尺寸相似的图案以实现特定的电气功能和性能就越困难。为了克服这些困难,复杂的微调步骤被应用于光刻投影设备或设计布局。这些包括例如但不限于NA和光学相干设置的优化、定制照射方案、相移图案形成装置的使用、设计布局的光学邻近校正(OPC,有时也称为“光学和工艺校正”)、或通常定义为“分辨率增强技术”(RET)的其他方法。本文中使用的术语“投影光学器件”应当广义地被解释为涵盖各种类型的光学***,例如包括折射光学器件、反射光学器件、孔径和折反射光学器件。术语“投影光学器件”还可以包括根据这些设计类型中的任何类型进行操作用于共同地或单独地引导、成形或控制辐射的投影束的组件。术语“投影光学器件”可以包括光刻投影设备中的任何光学组件,无论该光学组件位于光刻投影设备的光路上的哪个位置。投影光学器件可以包括用于在辐射穿过图案形成装置之前对来自源的辐射进行成形、调节或投影的光学组件,或者用于在辐射穿过图案形成装置之后对辐射进行成形、调节或投影的光学组件。投影光学器件通常不包括源和图案形成装置。
发明内容
亚分辨率辅助特征(SRAF)是在先进光刻中使用以改善图案可印刷性、工艺窗口优化或图案化工艺的其他方面的掩模的重要特征。本公开提供了一种用于改进SRAF设计(或一般地是OPC)的方法。该方法生成与印刷在衬底上的SRAF相关联的缺陷数据,并且基于这样的缺陷数据提供SRAF的优化。此外,基于量测数据确定主要图案的变化带。缺陷数据表示特定位置处的SRAF的出现概率,变化带量化印刷图案的变化。这些信息在与图案化工艺模拟一起使用时可以提高光刻工艺中的SRAF设计的准确性和效率。
根据一个实施例,提供了一种用于确定对掩模的特征的校正的方法。该方法包括获取(i)用于设计布局的图案组,以及(ii)用于设计布局的、使用在图案化工艺中使用的掩模成像的衬底的缺陷检查数据;基于缺陷检查数据,确定与图案组相关联的缺陷图,其中缺陷图包括与设计布局的其他图案相比被印刷在衬底上的概率相对较高的辅助特征的位置;以及经由使用与缺陷图相关联的数据模拟光学邻近校正过程,确定对掩模的特征的校正。
在一个实施例中,确定缺陷图涉及:在缺陷检查数据内标识图案组的多个印刷实例;跨图案组的多个印刷实例检测辅助特征;为图案组的多个印刷实例中的印刷实例定义网格;以及基于网格上的位置确定辅助特征在图案组的位置处的出现概率。
在一个实施例中,确定辅助特征在该位置处的出现概率涉及:对准图案组的多个印刷实例;标识印刷在与对准的多个印刷实例相关联的印刷图案的网格上的位置处的辅助特征的总数,其中印刷在网格上的位置处的辅助特征的总数包括跨多个印刷实例在与网格上的位置相对应的位置处检测到的辅助特征的总数;以及确定辅助特征在网格上的位置处的出现概率,其中该概率是基于印刷的辅助特征的总数或检测到的辅助特征的总数来确定的。
在一个实施例中,出现概率是基于网格上的特定位置处的一个或多个辅助特征的大小来确定的,其中当选择的辅助特征位于跨多个印刷实例与网格上的特定位置相对应的位置处时,所选择的辅助特征位于网格上的特定位置处。在一个实施例中,该位置是图案组内的区域。
在一个实施例中,该方法还涉及:获取用于设计布局的、使用在图案化工艺中使用的掩模成像的衬底的量测数据;基于量测数据确定与图案组相关联的度量的变化;以及经由使用与度量的变化和缺陷图相关联的数据模拟光学邻近校正过程,确定对掩模的特征的校正。
在一个实施例中,度量的变化的确定涉及:在量测数据内标识图案组的多个印刷实例;对准图案组的多个印刷实例;以及确定与图案组相关联的度量的变化。
在一个实施例中,度量是与图案组的特征相关联的临界尺寸、线边缘粗糙度或几何性质。在一个实施例中,量测数据是经由量测工具获取的。在一个实施例中,量测工具是扫描电子显微镜(SEM),并且量测数据是从SEM图像获取的统计量。在一个实施例中,统计量是以下中的至少一项:与图案组相关联的临界尺寸(CD)值的分布、与图案组相关联的CD值的标准偏差、与图案组相关联的边缘变化带宽、或与图案组相关联的线粗糙度的三西格玛变化。
此外,在一个实施例中,提供了一种计算机程序产品,该计算机程序产品包括其上记录有指令的非暂态计算机可读介质,该指令在由计算机执行时实现上述权利要求中任一项的方法。
附图说明
现在将参考附图仅通过示例的方式描述实施例,在附图中:
图1是根据一个实施例的光刻***的各种子***的框图。
图2是根据一个实施例的与图1中的子***相对应的模拟模型的框图。
图3A示出了根据一个实施例的示例图案组。
图3B是根据一个实施例的与图3A的图案组相关联的示例掩模布局。
图4是根据一个实施例的用于确定感兴趣图案组的缺陷图、与该图案组相关联的变化和对包括该图案组的掩模的特征的校正的方法的流程图。
图5示出了根据一个实施例的由图案搜索算法标识的图案组的示例设计布局和实例。
图6示出了根据一个实施例的将量测数据与图案组相关联的示例。
图7示出了根据一个实施例的缺陷图的确定的示例。
图8A-8B示出了根据一个实施例的示例量测数据(例如,CD、EPE、SEM图像)和度量(例如,CD)的变化。
图9示意性地描绘了根据一个实施例的扫描电子显微镜(SEM)的实施例。
图10示意性地描绘了根据一个实施例的电子束检查设备的实施例。
图11是示出根据一个实施例的联合优化的示例方法的方面的流程图。
图12示出了根据一个实施例的另一种优化方法的实施例。
图13A、13B和14示出了根据一个实施例的各种优化过程的示例流程图。
图15是根据一个实施例的示例计算机***的框图。
图16是根据一个实施例的光刻投影设备的示意图。
图17是根据一个实施例的另一光刻投影设备的示意图。
图18是根据一个实施例的图17中的设备的更详细视图。
图19是根据一个实施例的图17和图18的设备的源收集器模块SO的更详细视图。
现在将参考附图详细描述实施例,附图被提供作为说明性示例以使得本领域技术人员能够实践这些实施例。值得注意的是,下面的附图和示例并不意在将范围限制为单个实施例,而是,通过交换一些或全部所描述或图示的元素,其他实施例是可能的。只要方便,在整个附图中将使用相同的附图标记来指代相同或相似的部件。在这些实施例的某些元素可以使用已知组件部分或全部实现的一些情况下,将仅描述这样的已知组件的对于理解实施例所必需的部分,并且将省略这样的已知组件的其他部分的详细描述以免混淆对实施例的描述。在本说明书中,示出单个组件的实施例不应当被视为限制;相反,该范围旨在涵盖包括多个相同组件的其他实施例,反之亦然,除非本文中另有明确说明。此外,申请人不打算将说明书或权利要求中的任何术语赋予不常见的或特殊的含义,除非明确说明如此。此外,该范围涵盖本文中通过说明的方式提及的组件的当前和未来已知的等同物。
具体实施方式
今天的计算机芯片或其他集成电路包括具有极小大小(例如,小于10nm)的结构的极其复杂的图案。为了制造芯片的这样的复杂结构,使用先进光刻工艺。先进光刻工艺是一种复杂且耗时的工艺。即使是一个步骤(例如,掩模图案设计)中的错误也有可能导致最终IC中出现缺陷。制造工艺(例如,使用光刻设备)的目标是最小化最终IC中的缺陷,从而提高工艺的整体产率。
在先进光刻的一个方面,计算工艺模型用于修改设计布局或其中的目标图案以生成掩模布局,使得印刷图案尽可能接近目标图案被成像。例如,掩模布局是经由光学邻近校正(OPC)过程生成的。OPC过程可能会导致设计布局的主要图案的几何形状出现变化或者包括诸如亚分辨率辅助特征(SRAF)等附加特征。SRAF是先进光刻的掩模的重要元素,可以改善图案印刷工艺窗口优化和图案化工艺的其他方面。
常规的SRAF生成或设计基于建模或规则。然而,非最佳SRAF生成可能会导致图案(例如,形状)被错误地印刷在晶片上,从而导致产生缺陷,而该缺陷可能导致偏离目标图案形状、大小等。因此,可能需要调节为此而使用的SRAF规则或模型。调节SRAF规则或建模以避免意外印刷并且实现与目标图案相关联的最大工艺窗口可能非常具有挑战性。
本公开提供了例如用于改进掩模的SRAF设计或光学邻近校正的方法,使得衬底上的印刷图案在缺陷规范内(例如,无缺陷、99.9%的产率等)。为了减少缺陷,对先前印刷的衬底执行缺陷检查,并且缺陷数据与印刷在衬底上的缺陷(例如,SRAF)相关联。可以进一步提供缺陷数据以模拟图案化工艺以用于优化SRAF设计、掩模设计、源极设计等。缺陷数据表示衬底上的特定位置处的缺陷出现概率。此外,可以经由量测工具获取与印刷在衬底上的主要图案相关联的量测数据。使用量测数据,可以确定主要图案的变化带(variationband)。变化带对可能导致缺陷的印刷图案的变化进行量化。以这种方式,使用缺陷或变化带信息,可以执行图案化工艺模拟以提高光刻工艺的准确度和效率。
虽然在本文中可以具体参考IC的制造,但是应当明确理解,本文中的描述具有很多其他可能的应用。例如,其可以用于制造集成光学***、磁畴存储器的引导和检测图案、液晶显示面板、薄膜磁头等。技术人员将理解,在这样的可替代应用的上下文中,本文中对术语“掩模版”、“晶片”或“管芯”的任何使用都应当被视为分别与更一般的术语“掩模”、“衬底”和“目标部分”可互换。
在本文档中,术语“辐射”和“束”用于涵盖所有类型的电磁辐射,包括紫外线辐射(例如,波长为365nm、248nm、193nm、157nm或126nm)和EUV(极紫外线辐射,例如,波长在5nm-20nm范围内)。如本文中使用的,除非另外特别说明,否则术语“或”涵盖所有可能的组合,除非不可行。例如,如果声明组件可以包括A或B,则除非另外明确说明或不可行,否则该组件可以包括A、或B、或A和B。作为第二示例,如果声明组件可以包括A、B或C,则除非另外明确说明或不可行,否则该组件可以包括A、或B、或C、或A和B、或A和C、或B和C、或A和B和C。
本文中使用的术语“优化(optimizing)”和“优化(optimization)”是指调节光刻投影设备,使得光刻的结果或工艺具有更理想的特性,诸如设计布局在衬底上的投影的精度更高、工艺窗口更大等等。
此外,光刻投影设备可以是具有两个或更多个衬底台(或两个或更多个图案形成装置台)的类型。在这种“多台”设备中,可以并行使用附加台,或者可以在一个或多个其他台用于曝光的同时在一个或多个台上执行准备步骤。双台光刻投影设备例如在US 5,969,441中有描述,该专利通过引用并入本文。
上面提到的图案形成装置包括或可以形成设计布局。设计布局可以使用CAD(计算机辅助设计)程序来生成,这个过程通常被称为EDA(电子设计自动化)。大多数CAD程序遵循一组预定设计规则,以创建功能性设计布局/图案形成装置。这些规则是由工艺和设计限制设置的。例如,设计规则定义了电路器件(诸如栅极、电容器等)或互连线之间的空间容差,以确保电路器件或线不会以不期望的方式彼此交互。设计规则限制通常称为“临界尺寸”(CD)。电路的临界尺寸可以被定义为一条线或一个孔的最小宽度或两条线或两个孔之间的最小间距。因此,CD决定了设计电路的整体大小和密度。当然,集成电路制造的目标之一是(经由图案形成装置)在衬底上忠实地再现原始电路设计。
本文中使用的术语“掩模”或“图案形成装置”可以广义地解释为指通用图案形成装置,该图案形成装置可以用于向入射辐射束赋予图案化横截面,该图案化横截面对应于要在衬底的目标部分中创建的图案;术语“光阀”也可以用于该上下文。除了经典掩模(透射式或反射式;二元式、相移、混合等),其他这样的图案形成装置的示例包括:
-可编程反射镜阵列。这种装置的一个示例是具有粘弹性控制层和反射表面的矩阵可寻址表面。这种装置背后的基本原理是(例如)反射表面的寻址区域将入射辐射反射为衍射辐射,而未寻址区域将入射辐射反射为非衍射辐射。使用合适的滤光片,可以将上述非衍射辐射从反射束中滤除,只留下衍射辐射;以这种方式,束根据矩阵可寻址表面的寻址图案被图案化。所需要的矩阵寻址可以使用合适的电子部件来执行。例如,关于这样的反射镜阵列的更多信息可以从美国专利第5,296,891号和第5,523,193号中收集,其通过引用并入本文。
-可编程LCD阵列。这种结构的示例在美国专利第5,229,872号中给出,该专利通过引用并入本文。
作为简要介绍,图1示出了示例性光刻投影设备10A。主要组件是:辐射源12A,其可以是深紫外准分子激光源或其他类型的源,包括极紫外(EUV)源(如上所述,光刻投影设备本身不需要具有辐射源);照射光学器件,其定义部分相干性(表示为西格玛),并且可以包括对来自源12A的辐射进行成形的光学器件14A、16Aa和16Ab;图案形成装置14A;以及透射光学器件16Ac,其将图案形成装置图案的图像投影到衬底平面22A上。在投影光学器件的光瞳平面处的可调滤光片或孔径20A可以限制撞击在衬底平面22A上的束角的范围,其中最大可能角度定义投影光学器件的数值孔径NA=sin(Θmax)。
在***的优化过程中,***的品质因数可以表示为代价函数(cost function)。优化过程归结为寻找***的一组参数(设计变量)以使代价函数最小化的过程。根据优化的目标,代价函数可以具有任何合适的形式。例如,代价函数可以是***的某些特性(评估点)相对于这些特性的预期值(例如,理想值)的偏差的加权均方根(RMS);代价函数也可以是这些偏差中的最大值(即,最坏偏差)。本文中的术语“评估点”应当广义地解释为包括***的任何特性。由于***的实现的实用性,***的设计变量可以被限制在有限范围内或相互依赖。在光刻投影设备的情况下,约束通常与硬件的物理性质和特性相关,诸如可调谐范围或图案形成装置可制造性设计规则,并且评估点可以包括衬底上的抗蚀剂图像上的物理点、以及诸如剂量和焦距的非物理特性。
在光刻投影设备中,光源提供照射(即,光);投影光学器件经由图案形成装置将照射引导和成形到衬底上。术语“投影光学器件”在此被广义地定义为包括可以改变辐射束的波前的任何光学组件。例如,投影光学器件可以包括组件14A、16Aa、16Ab和16Ac中的至少一些。空间图像(AI)是衬底水平处的辐射强度分布。衬底上的抗蚀剂层被曝光并且空间图像被转印到抗蚀剂层作为其中的潜在的“抗蚀剂图像”(RI)。抗蚀剂图像(RI)可以定义为抗蚀剂在抗蚀剂层中的溶解度的空间分布。抗蚀剂模型可以用于根据空间图像计算抗蚀剂图像,其示例可以在共同转让的美国专利申请序列号12/315,849中找到,其公开内容通过引用整体并入本文。抗蚀剂模型仅与抗蚀剂层的性质有关(例如,在曝光、PEB和显影过程中出现的化学工艺的影响)。光刻投影设备的光学性质(例如,源、图案形成装置和投影光学器件的性质)决定了空间图像。由于在光刻投影设备中使用的图案形成装置可以改变,因此希望将图案形成装置的光学性质与至少包括源和投影光学器件的光刻投影设备的其余部分的光学性质分开。
图2中示出了用于模拟光刻投影设备中的光刻的示例性流程图。源模型31表示源的光学特性(包括辐射强度分布或相位分布)。投影光学器件模型32表示投影光学器件的光学特性(包括由投影光学器件引起的辐射强度分布或相位分布的变化)。设计布局模型35表示设计布局的光学特性(包括由给定设计布局33引起的辐射强度分布或相位分布的变化),该设计布局是在图案形成装置上或由图案形成装置形成的特征的布置的表示。可以根据设计布局模型35、投影光学器件模型32和设计布局模型35模拟空间图像36。可以使用抗蚀剂模型37根据空间图像36模拟抗蚀剂图像38。光刻的模拟可以例如预测抗蚀剂图像中的轮廓和CD。
更具体地,注意,源模型31可以表示源的光学特性,包括但不限于NA-西格玛(σ)设置以及任何特定照射源形状(例如,诸如环形、四极和偶极等的离轴辐射源)。投影光学器件模型32可以表示投影光学器件的光学特性,包括像差、畸变、折射率、物理大小、物理尺寸等。设计布局模型35还可以表示物理图案形成装置的物理性质,如例如在美国专利No.7,587,704中所描述的,该专利通过引用整体并入本文。模拟的目的是准确地预测例如边缘位置、空间图像强度斜率和CD,然后可以将其与预期设计进行比较。预期设计通常定义为预OPC设计布局,该预OPC设计布局可以以标准化数字文件格式(诸如GDSII或OASIS)或其他文件格式提供。
根据该设计布局,可以标识被称为“片段(clip)”的一个或多个部分。在一个实施例中,提取一组片段,该组片段表示设计布局中的复杂图案(通常大约50到1000个片段,尽管可以使用任何数目的片段)。如本领域技术人员将理解的,这些图案或片段表示设计的较小部分(即,电路、单元或图案),并且特别地,片段表示需要特别注意或验证的小的部分。换言之,片段可以是设计布局的部分,或者可以与设计布局的部分相似或具有相似的行为,其中通过经验(包括客户提供的片段)、通过反复试验、或通过运行全芯片模拟来标识关键特征。片段通常包含一个或多个测试图案或计量器图案(gauge pattern)。
基于设计布局中需要特定图像的优化的已知关键特征区域,可以由客户先验地提供初始的较大的一组片段。可替代地,在另一实施例中,可以通过使用标识关键特征区域的某种自动(诸如机器视觉)或手动算法从整个设计布局中提取初始的较大的一组片段。
在一个实施例中,经由光学邻近校正过程修改设计布局以生成掩模布局,使得印刷图案尽可能接近目标图案被成像。OPC过程可能会导致设计布局的主要图案的几何形状出现变化或者包括诸如亚分辨率辅助特征(SRAF)等的附加特征。SRAF是先进光刻的掩模的重要元素,可以改善图案印刷工艺窗口优化和图案化工艺的其他方面。通常,SRAF的生成基于建模或规则。然而,非最佳SRAF生成可能会导致图案(例如,形状)被错误地印刷在晶片上,从而产生缺陷,而该缺陷可能导致偏离目标图案形状、大小等。因此,可能需要调节为此而使用的SRAF规则或模型。调节SRAF规则或建模以避免意外印刷并且实现与目标图案相关联的最大工艺窗口可能非常具有挑战性。
本公开尤其提供了一种方法,其中基于缺陷检查结果生成热图(或缺陷图)。缺陷检查结果包括印刷在衬底上的诸如SRAF的缺陷数据,诸如印刷在堆叠在衬底上的多个金属层中的一个金属层上的SRAF形状。此外,根据印刷图案的测量数据,生成与主要特征相关的变化带。缺陷图表示晶片上的特定位置处的SRAF缺陷的出现概率,并且变化带量化印刷图案的变化。这样的缺陷图和变化带的优点之一是其提高了光刻工艺中的SRAF设计的准确性和效率。
图3A示出了包括第一特征301、第二特征302、第三特征303和第四特征304的示例图案组300。在一个实施例中,根据例如电路设计的规范,特征301-304具有某CD并且位于距彼此的特定距离处。
图3B是与设计布局的图案组300相关联的示例掩模布局350。通常,设计布局的图案或特征被修改,例如,以包括如早先所讨论的OPC,以生成掩模布局,掩模布局进一步用于图案化工艺以印刷期望图案。在本示例中,掩模布局350包括分别与特征302、303、304相对应的光学邻近校正特征352、353、354。此外,掩模布局包括辅助特征,诸如特征352-354周围放置的SRAF 310。在一个实施例中,SRAF 310被放置在掩模布局中,使得印刷图案尽可能接近设计布局(或期望图案)。
在一个实施例中,SRAF 310被设计得足够小,使得它们不会被印刷在衬底上。如果SRAF 310印刷在衬底上,则所得到的(多个)特征被认为是(多个)缺陷。有时,由于诸如剂量、焦距或图案化工艺的其他变化等多种原因,SRAF可能会被错误地印刷。需要标识这样的SRAF及其位置,使得可以对掩模布局进行适当修改(例如,减小大小),使得SRAF不被印刷。根据本公开,尤其提供了一种标识这样的有缺陷的衬底和可能印刷有SRAF的可能位置的方法。在一个实施例中,关于图案组而不是孤立的SRAF或与个体特征相关联的SRAF来标识缺陷位置。
图4是用于确定感兴趣图案组的缺陷图、与该图案组相关联的变化和对包括该图案组的掩模的特征的校正的方法的流程图。图案组为定义缺陷图和量测信息(例如,示出CD变化的热图)提供参考。量测数据提供与印刷的主要图案相关联的数据,其中主要图案是指与设计图案相对应的图案。在一个实施例中,印刷图案可以包括特征,例如SRAF或不应当被印刷的其他特征。然后,将缺陷数据与图案组相关联,特别地以参考图案组来确定不期望的特征(例如,SRAF)被印刷在衬底上的概率。
使用图案组来生成缺陷图可以提供若干优点和应用。在一个实施例中,图案分组有助于缺陷分析,例如,通过将图案组的邻域周围的缺陷(例如,以GDS格式)分组到同一池中用于统计分析。此外,这种分组可以提供关于位于SRAF的影响范围内的一个或几个主要图案的局部缺陷分析。在一个实施例中,对频繁出现的图案进行分组可以将图案搜索空间减少一个或多个数量级。可以针对图案组的特定实例确定校正(例如,OPC),并且可以跨全芯片设计布局将校正(例如,OPC)应用于图案组的其他实例,从而减少用于全芯片模拟的计算时间和资源。而且,这种基于缺陷的校正可以有效地提高图案化工艺的产率。
在方法400中,过程P401涉及获取(i)用于设计布局的图案组402,以及(ii)用于设计布局的、使用在图案化工艺中使用的掩模而成像的衬底的缺陷检查数据404。
在一个实施例中,图案组402是在管芯内或跨衬底的不同管芯的一个或多个位置处频繁印刷(或期望印刷)的一组特征。这样的组可以指诸如存储器等电路的特定部分或电路的其他关键部分。在一个实施例中,该组包括彼此相对靠近并且具有特定特性的多个特征。例如,组可以是指任何形状的4特征图案、任何形状的5特征图案、具有接触孔的图案等。
在一个实施例中,用于设计布局的图案组可以是用户定义的一组图案,可以是与最多缺陷相关联的一组图案,可以是与关键特征相关联的一组图案,或者可以是其他感兴趣图案组。在一个实施例中,包括图案组的图案可以以各种方式中的任何一种来选择,诸如由用户基于用户经验、基于图案搜索算法等。
在一个实施例中,图案组包括一组图案并且设计布局可以包括多个图案组。然后,可以基于被配置为搜索其成员图案满足搜索标准的图案组的图案搜索算法来选择特定图案组。例如,搜索标准包括特定特征类型或特征特性。例如,一组特征(例如,孔、条等)和特征特性(例如,大小、特征之间的距离等)可以被输入到算法中。然后,图案识别算法可以在设计布局中搜索满足与图案组的成员图案相关联的这种搜索标准(例如,特征特性)的一个或多个图案组。图3A是包括一组图案的图案组的示例。
缺陷检查数据404可以经由缺陷检测算法来获取。例如,缺陷检测算法可以是一种被配置为基于期望特征和印刷衬底的图像(例如,SEM图像)中的特征的比较来检测不期望的特征(例如,SRAF)的算法。在一个实施例中,缺陷检查数据404包括缺陷(例如,错误印刷的SRAF)的出现频率或缺陷的大小(例如,SRAF的CD)。
图5示出了由图案搜索(或识别)算法标识的图案组的示例设计布局和实例。图案搜索(或识别)算法被配置为基于与感兴趣图案的一个或多个特征相关联的特性(例如,CD、间距(pitch)、特征之间的距离等)来在设计布局内标识图案。例如,图案组300是具有矩形特征和三个正方形特征(或接触孔)相对于彼此以三角形方式放置的特定感兴趣图案。此外,特性包括特征的大小和每个特征之间的距离(未示出)。在一个实施例中,图案搜索算法为图案组300搜索设计布局500并且标识诸如300A、300B、300C、300D、300E和300F等图案组的若干实例。对于图案组300A-300F的每个实例,衬底上都会有对应的印刷图案。
在一个实施例中,印刷衬底的缺陷检查数据404是基于例如经由量测工具获取的衬底图像而获取的。缺陷检查数据404进一步与图案组300或其实例相关联。在一个实施例中,缺陷检查数据经由被配置为在图案化工艺中对印刷衬底上的图案进行成像或测量的量测工具(例如,在图9和图10中)来获取。在一个实施例中,量测工具是扫描电子显微镜(SEM)。在一个实施例中,缺陷检查数据404可以从包括缺陷(例如,SRAF或其他不期望的特征)的SEM图像中获取。例如,缺陷搜索算法可以被配置为通过将SEM图像中的印刷图案与设计图案进行比较来标识SEM图像内的任何缺陷。例如,当SEM图像包括设计图案中未定义的特征时,算法会检测到缺陷。本领域技术人员可以理解,本公开不限于SEM工具或特定缺陷标识算法,本文中可以采用被配置为提供印刷衬底的数据的任何量测工具和被配置为标识缺陷及其位置的任何算法。
此外,在方法400中,过程P403涉及基于缺陷检查数据404确定与图案组相关联的缺陷图413,其中缺陷图413包括与设计布局的其他图案相比被印刷在衬底上的概率相对更高的辅助特征(例如,SRAF或通常是不期望的特征)的位置。在一个实施例中,缺陷图413的确定涉及在缺陷检查数据404内标识图案组402的多个印刷实例;跨图案组的多个印刷实例检测辅助特征;并且确定辅助特征在图案组402的位置处的出现概率;为图案组402的多个印刷实例中的印刷实例定义网格(grid)(例如,如图6所示);以及基于网格上的位置确定辅助特征在与图案组402相关联的网格的位置处的出现概率。
在一个实施例中,辅助特征在网格上的位置处的出现概率的确定涉及对准图案组的多个印刷实例。在一个实施例中,对准涉及将多个印刷实例中的每个印刷实例中的特征与设计布局的图案组402中的对应特征对准。在一个实施例中,可以为多个印刷实例中的每个印刷实例定义相同网格,并且可以对准每个网格。
此外,概率的确定涉及标识印刷在与对准的多个印刷实例相关联的印刷图案的网格上的位置处的辅助特征的总数,其中印刷在网格上的位置处的辅助特征的总数包括跨多个印刷实例在与网格上的位置相对应的位置处检测到的辅助特征的总数;并且基于该位置处的辅助特征的总数和跨多个印刷实例的检测到的辅助特征的总数来确定辅助特征在该位置处的出现概率。在一个实施例中,缺陷的位置是与图案组402相关联的区域。
在一个实施例中,概率计算可以基于为图案组而定义的网格。例如,该过程涉及为图案组的多个印刷实例中的印刷实例定义网格;并且基于网格上的位置确定与图案组相关联的辅助特征在网格上的位置处的出现概率。在一个实施例中,对准图案组的多个印刷实例。然后,标识印刷在对准的印刷实例的网格上的位置处的辅助特征的总数。此外,确定辅助特征在网格上的位置处的出现概率。例如,基于印刷在网格上的特定位置处的辅助特征的总数或跨多个印刷实例的、检测到的辅助特征的总数来确定概率。在一个实施例中,基于网格上的特定位置处的一个或多个辅助特征的大小来确定出现概率,其中当所选择的辅助特征位于跨多个印刷实例与网格上的特定位置相对应的位置处时,所选择的辅助特征位于网格上的特定位置处。在一个实施例中,网格可以是以下中的至少一项:方形网格、矩形网格、三角形网格、径向网格、或可以用于将位置信息与关联于网格的辅助特征相关联的任何其他网格格式。
在一个实施例中,当概率高于预定阈值时,与图案组相关联的辅助特征被印刷的概率增加。在一个实施例中,预定阈值与辅助特征的特性(例如,CD)相关联。例如,在包括大小大于20nm的特征的图案组内的大于4nm的辅助特征被印刷在衬底上概率增加。
在一个实施例中,预定阈值可以基于参考图案组来确定。因此,当概率高于辅助特征的参考组的中值概率或平均概率时,与图案组相关联的辅助特征被印刷的概率增加。
在一个实施例中,辅助特征不限于衬底的特定层。在一个实施例中,被印刷在衬底上的辅助特征包括被印刷在制造在衬底上的多个层中的任何层上的辅助特征。
图6和图7示出了基于与图案组300相关联的缺陷检查数据(例如,方法400的404)确定缺陷图(例如,方法400的413)的示例。例如,缺陷检测数据包含缺陷信息,诸如缺陷类型(例如,颈缩、桥接等)、相对于图案组或衬底的缺陷位置、缺陷大小(例如,缺陷特征的CD值)等。图6示出了将缺陷检查数据(例如,CD、EPE、粗糙度等)与图案组300相关联。例如,与图案组300(在图3A中)相对应的印刷衬底的实例600A-600F从衬底图像(例如,SEM图像)被标识。如方法400中提到的,可以基于缺陷检测算法来标识缺陷(例如,SRAF),该缺陷检测算法被配置为在与图案组300(在图3A中)相对应的衬底图像(例如,SEM图像)的部分中标识不期望特征。在一个实施例中,不期望特征是掩模布局350(在图3B中)的SRAF 310(在图3B中)并且缺陷的标识涉及在量测数据内在与SRAF 310(在图3B中)相关联的位置处搜索印刷SRAF。
如图6所示,印刷衬底的不同部分包括不同位置处的印刷SRAF(缺陷的示例)。例如,印刷部分600A包括缺陷D1,印刷部分600A包括缺陷D1,印刷部分600B包括缺陷D2,印刷部分600C包括缺陷D3,印刷部分600D包括缺陷D5和D6,印刷部分600E包括缺陷D7,印刷部分600F包括缺陷D8。与图案组300(在图3A中)相关联的印刷衬底的每个实例600A-600F相对于彼此对准。此外,网格610可以覆盖在对准实例600A-600F上并且每个实例600A-600F的缺陷可以绘制在网格610上。因此,网格610可以包括图案组300和缺陷(例如,D1-D8)。在网格610中,缺陷或其位置总体上标记为S1、S2和S3。
此外,参考图7,可以关于特定位置(例如,S1、S2、S3等)处的缺陷数目和缺陷D1-D8的总数来计算概率值。因此,一些位置的缺陷概率可以相对较高,而一些位置的缺陷出现概率可以为零。在一个实施例中,这种缺陷概率被表示为缺陷图DMap,如图所示。在一个实施例中,缺陷图可以是矢量形式。缺陷图DMap内的每个位置具有缺陷的概率值。换言之,缺陷图DMap示出了缺陷相对于图案组(例如,300)的可能位置。在缺陷图内,概率P1(例如,与S2相关联)是最高缺陷概率,并且概率P3为零。在示例图DMap中,概率P1>P4>P2>P3。这种缺陷图可以用在OPC(例如,图11-14的方法)中以确定对例如SRAF的大小的校正。
返回参考图4,在方法400中,过程P405还可以涉及经由量测工具获取量测数据405,并且基于量测数据405确定与图案组相关联的度量(例如,CD、EPE)的变化415(也称为带或变化带)。变化415表征印刷图案组402中的变化量。在一个实施例中,可以基于量测数据405确定与度量相关联的平均值或其他适当统计量。例如,统计量是以下中的至少一项:与图案组相关联的临界尺寸(CD)值的分布、与图案组相关联的CD值的标准偏差、与图案组相关联的边缘变化带宽、或与图案组相关联的线粗糙度的三西格玛变化。在一个实施例中,优化过程使用这样的统计量和设计变量来确定优化过程的设计变量(例如,(z1,z2,...,zN))的最佳值,如本文中讨论的。
在一个实施例中,度量的变化415的确定涉及在度量数据405内标识图案组402的多个印刷实例;对准图案组402的多个印刷实例;并且确定与图案组402相关联的度量的变化415。在一个实施例中,该度量是与图案组402的特征相关联的临界尺寸、线边缘粗糙度或几何性质。如前所述,相关度量数据405是经由量测工具获取的。量测工具是扫描电子显微镜(SEM)并且量测数据405是SEM图像。在一个实施例中,可以从量测数据405中提取与特定度量相关的数据(例如,图案组的轮廓)。
图8A-8B示出了示例量测数据(例如,SEM图像)和度量(例如,CD)的变化。在图8A中,与期望图案组(例如,包括诸如接触孔CH1等特征)相对应的SEM图像801、802和803被对准。SEM图像801-803可以与不同管芯或特定管芯的不同位置相关联。当图像801-803被对准时,获取特征(例如,CH1)的变化带CH1-band。在一个实施例中,这种变化带CH1-band由沿着接触孔CH1的像素强度表征。例如,在一个实施例中,对准像素强度值相对较高的信号,并且基于相对靠近对准的特征(例如,CH1)的像素强度值来确定带。在一个实施例中,变化带是接触孔CH1的CD值的变化。这种变化带CH1-band还可以进一步用于改进图案化工艺的一个或多个方面(例如,OPC、缺陷检测等)。
返回参考图4,方法400可以包括过程P407,该过程涉及经由使用与缺陷图413或度量415的变化相关联的数据模拟光学邻近校正过程来确定对掩模的特征的校正。例如,与缺陷图相关联的数据包括缺陷在缺陷图上的位置、该位置处的缺陷的概率值、该位置处的辅助特征的大小等。示例光学邻近校正过程将进一步关于图11-14进行讨论。在一个实施例中,OPC过程可以被配置为基于与确定OPC的一个或多个特征相关联的CD带415或EPE带415来确定校正。此外,缺陷图413可以将OPC过程引导到SRAF出现概率相对较高的位置并且修改这样的位置处的SRAF的大小。在一个实施例中,在SRAF区域中没有发现缺陷或发现非常低的缺陷计数,但是量测数据表明图案组具有大于容差的CD或间距变化,并且OPC模型可以尝试相应地调节SRAF参数。
在一些实施例中,扫描电子显微镜(SEM)产生暴露或转印在衬底上的结构(例如,器件的一些或全部结构)的图像。图9描绘了SEM 200的实施例。从电子源201发射的初级电子束202被聚光透镜203会聚,然后穿过束偏转器204、ExB偏转器205和物镜206以在焦距处辐照衬底台101上的衬底100。
当衬底100被电子束202辐照时,从衬底100生成二次电子。二次电子被ExB偏转器205偏转并且被二次电子检测器207检测。通过与例如电子束偏转器204对电子束的二维扫描或与电子束偏转器204在X或Y方向上对电子束202的重复扫描,以及与衬底台101在X或Y方向中的另一方向上对衬底100的连续移动同步地检测从样品生成的电子,可以获取二维电子束图像。
由二次电子检测器207检测到的信号被模/数(A/D)转换器208转换为数字信号,并且该数字信号被发送到图像处理***300。在一个实施例中,图像处理***300可以具有存储器303以存储全部或部分数字图像以供处理单元304处理。处理单元304(例如,专门设计的硬件或硬件和软件的组合)被配置为将数字图像转换或处理成代表数字图像的数据集。此外,图像处理***300可以具有被配置为将数字图像和对应数据集存储在参考数据库中的存储介质301。显示装置302可以与图像处理***300连接,以使得操作者可以在图形用户界面的帮助下对设备进行必要操作。
图10示意性地示出了检查设备的另一实施例。该***用于检查样品台89上的样品90(诸如衬底),并且包括带电粒子束生成器81、聚光器透镜模块82、探针形成物镜模块83、带电粒子束偏转模块84、次级带电粒子检测器模块85和图像形成模块86。
带电粒子束生成器81生成初级带电粒子束91。聚光器透镜模块82会聚所生成的初级带电粒子束91。探针形成物镜模块83将会聚的初级带电粒子束聚焦成带电粒子束探针92。带电粒子束偏转模块84在固定在样品台89上的样品90上的感兴趣区域的表面上扫描所形成的带电粒子束探针92。在一个实施例中,带电粒子束生成器81、聚光器透镜模块82和探针形成物镜模块83、或者它们的等同设计、替代物或其任何组合一起形成带电粒子束探针生成器,该带电粒子束探针生成器生成扫描带电粒子束探针92。
次级带电粒子检测器模块85在被带电粒子束探针92轰击时,检测从样品表面发射的次级带电粒子93(也可能连同来自样品表面的其他反射或散射的带电粒子)以生成次级带电粒子检测信号94。图像形成模块86(例如,计算设备)与次级带电粒子检测器模块85耦合以从次级带电粒子检测器模块85接收次级带电粒子检测信号94,并且因此形成至少一个扫描图像。在一个实施例中,次级带电粒子检测器模块85和图像形成模块86、或者它们的等同设计、替代物或其任何组合一起形成图像形成设备,该图像形成设备根据检测到的、从被带电粒子束探针92轰击的样品90发射的次级带电粒子形成扫描图像。
如上所述,可以处理SEM图像以提取描述图像中表示器件结构的物体的边缘的轮廓。然后经由度量(诸如CD)量化这些轮廓。因此,通常,经由简单度量(诸如边缘到边缘距离(CD)或图像之间的简单像素差异)来比较和量化器件结构的图像。检测图像中物体的边缘以测量CD的典型轮廓模型使用图像梯度。事实上,这些模型依赖于强大的图像梯度。但是,在实践中,图像通常是嘈杂的并且具有不连续的边界。可以使用诸如平滑、自适应阈值化、边缘检测、腐蚀和伸缩等技术来处理图像梯度轮廓模型的结果,以解决嘈杂和不连续的图像,但最终会导致高分辨率图像的低分辨率量化。因此,在大多数情况下,为了降低噪声和自动化边缘检测而对器件结构的图像进行数学处理导致图像分辨率损失,从而导致信息损失。因此,结果是低分辨率量化,相当于对复杂高分辨率结构的简单表示。
因此,希望具有使用图案化工艺产生或预期产生的结构(例如,电路特征、对准标记或量测目标部分(例如,光栅特征)等)的数学表示,无论例如该结构在潜在的抗蚀剂图像中、在显影的抗蚀剂图像中还是例如通过蚀刻转印到衬底上的层,其可以保持分辨率并且还描述结构的一般形状。在光刻或其他图案化工艺的上下文中,该结构可以是正在制造的器件或其一部分,并且图像可以是该结构的SEM图像。在一些情况下,该结构可以是半导体器件(例如,集成电路)的特征。在一些情况下,该结构可以是在对准测量过程中被用来确定物体(例如,衬底)与另一物体(例如,图案形成装置)的对准的对准标记或其一部分(例如,对准标记的光栅),或者是用于测量图案形成工艺的参数(例如,覆盖、焦距、剂量等)的量测目标或其一部分(例如,量测目标的光栅)。在一个实施例中,量测目标是用于测量例如覆盖的衍射光栅。
在一个实施例中,根据图3的方法而确定的与印刷图案相关的测量数据(例如,随机变化)可以用于优化图案化工艺或调节图案化工艺的参数。例如,OPC解决了这样一个事实,即,投影在衬底上的设计布局的图像的最终大小和位置将与图案形成装置上的设计布局的大小和位置不相同,或者仅取决于图案形成装置上的设计布局的大小和位置。注意,术语“掩模”、“掩模版”、“图案形成装置”在本文中可以互换使用。此外,本领域技术人员将认识到,尤其是在光刻模拟/优化的上下文中,术语“掩模”/“图案形成装置”和“设计布局”可以互换使用,如在光刻模拟/优化中,不一定要使用物理图案形成装置,但可以使用设计布局来表示物理图案形成装置。对于某个设计布局上存在的较小特征大小和较高特征密度,给定特征的特定边缘的位置会在一定程度上受到其他相邻特征的存在或不存在的影响。这些邻近效应源于从一个特征耦合到另一特征的微量辐射或诸如衍射和干涉的非几何光学效应。类似地,邻近效应可能源于通常在光刻之后的曝光后烘烤(PEB)、抗蚀剂显影和蚀刻期间的扩散和其他化学效应。
为了确保设计布局的投影图像符合给定目标电路设计的要求,需要使用设计布局的复杂数值模型、校正或预失真来预测和补偿邻近效应。文章“Full-Chip LithographySimulation and Design Analysis-How OPC Is Changing IC Design”(C.Spence,Proc.SPIE,卷5751,页1-14(2005))提供了当前“基于模型”的光学邻近校正过程的概述。在典型的高端设计中,设计布局的几乎每个特征都进行了一些修改以实现投影图像与目标设计的高保真度。这些修改可以包括边缘位置或线宽的移动或偏置以及旨在辅助其他特征的投影的“辅助”特征的应用。
考虑到芯片设计中通常存在的数百万个特征,将基于模型的OPC应用于目标设计涉及良好的工艺模型和可观的计算资源。然而,应用OPC通常不是一门“精确的科学”,而是一种经验的迭代的过程,其并不总是能补偿所有可能的邻近效应。因此,需要通过设计检查来验证OPC的效果,例如在应用OPC和任何其他RET之后的设计布局(即,使用已校准的数值工艺模型进行密集的全芯片模拟),以最小化设计缺陷进入图案形成装置的可能性。这是由制造高端图案形成设备的巨大成本(这些设备运行在数百万美元的范围内)以及实际图案形成装置在制造之后的返工或修理对周转时间的影响来驱动的。
OPC和全芯片RET验证都可以基于例如在美国专利申请第10/815,573号和Y.Cao等人的题为“Optimized Hardware and Software For Fast,Full Chip Simulation”(Proc.SPIE,卷5754,405(2005))的文章中描述的数值建模***和方法。
一个RET与设计布局的全局偏置(bias)的调节有关。全局偏置是设计布局中的图案与旨在印刷在衬底上的图案之间的差异。例如,可以通过设计布局中直径为50nm的图案或者通过设计布局中直径为20nm但是高剂的图案量在衬底上印刷直径为25nm的圆形图案。
除了对设计布局或图案形成装置的优化(例如,OPC),还可以与图案形成装置优化联合或单独地优化照射源,以努力提高整体光刻保真度。术语“照射源”和“源”在本文档中可以互换使用。20世纪90年代以来,引入了诸如环形、四极、偶极等多种离轴照射源,为OPC设计提供了更大的自由度,从而提高了成像效果。已知,离轴照射是一种用于解决图案形成装置中包含的精细结构(即,目标特征)的有效方法。然而,与传统照射源相比,离轴照射源通常为空间图像(AI)提供较少辐射强度。因此,需要尝试优化照射源以实现更精细的分辨率与降低的辐射强度之间的最佳平衡。
多种照射源优化方法可以在例如Rosenbluth等人的题为“Optimum Mask andSource Patterns to Print A given Shape”(Journal of Microlithography,Microfabrication,Microsystems 1(1),页13-20,(2002))的文章中找到。源被划分为几个区域,每个区域对应于光瞳光谱的某个区域。然后,假定每个源区域中的源分布是均匀的,并且针对工艺窗口优化每个区域的亮度。然而,每个源区域中源分布均匀的假定并不总是有效,因此,这种方法的有效性受到影响。在Granik的题为“Source Optimization forImage Fidelity and Throughput”(Journal of Microlithography,Microfabrication,Microsystems 3(4),页509-522,(2004))的文章中提出的另一示例中,几种现有的源优化方法被概述并且提出了一种基于照射器像素的方法,该方法将源优化问题转换为一系列非负最小二乘优化。尽管这些方法已经证明了一些成功,但它们通常需要多次复杂的迭代才能收敛。此外,可能难以确定一些额外参数(诸如Granik方法中的γ)的适当/最佳值,这决定了优化源以获取衬底图像保真度与源的平滑度要求之间的权衡。
对于低k1光刻,源和图案形成装置两者的优化对于确保用于关键电路图案的投影的可行工艺窗口是有用的。一些算法(例如,Socha等人的Proc.SPIE vol.5853,2005,p.180)在空间频域中将照射离散为独立的源点并且将掩模离散为衍射级次,并且分别基于诸如曝光纬度等工艺窗口度量来制定代价函数(定义为所选择的设计变量的函数),该工艺窗口度量可以通过光学成像模型根据源点强度和图案形成装置衍射级次来预测。本文中使用的术语“设计变量”包括光刻投影设备或光刻工艺的一组参数,例如,用户可以调节的光刻投影设备的参数、或者用户可以通过调节这些参数来调节的图像特性。应当理解,光刻投影工艺的任何特性(包括源、图案形成装置、投影光学器件的特性、或抗蚀剂特性)都可以是优化中的设计变量。代价函数通常是设计变量的非线性函数。然后使用标准优化技术来最小化代价函数。
相关地,不断减小的设计规则的压力已经驱动半导体芯片制造者利用现有的193nm ArF光刻技术更深入地进入低k1光刻时代。朝着较低k1的光刻对RET、曝光工具和光刻友好设计的需求提出了很高的要求。未来可以使用1.35ArF超高数值孔径(NA)曝光工具。为了帮助确保可以利用可工作的工艺窗口将电路设计可印刷在衬底上,源-图案形成装置优化(本文中称为源掩模优化或SMO)正在成为2xnm节点的重要RET。
在于2009年11月20日提交的、公开号为WO2010/059954、题为“Fast FreeformSource and Mask Co-Optimization Method”、国际专利申请第PCT/US2009/065359号的共同转让的国际专利申请(其通过引用整体并入本文)中描述了一种源和图案形成装置(设计布局)优化方法和***,该方法和***允许在没有约束的情况下并且在可行的时间量内使用代价函数同时优化源和图案形成装置。
在于2010年6月10日提交的、美国专利申请公开号为2010/0315614的、题为“Source-Mask Optimization in Lithographic Apparatus”、美国专利申请第12/813456号的共同转让的美国专利申请(其通过引用整体并入本文)中描述了另一种源和掩模优化方法和***,该方法和***涉及通过调节源的像素来优化源。
在光刻投影设备中,作为示例,代价函数表示为
Figure BDA0003399839780000251
其中(z1,z2,...,zN)是N个设计变量或其值。fp(z1,z2,...,zN)可以是设计变量(z1,z2,...,zN)的函数,诸如设计变量(z1,z2,...,zN)的一组值的评估点处的特性的实际值与预期值之间的差异。wp是与fp(z1,z2,...,zN)相关联的权重常数。比其他评估点或图案更关键的评估点或图案可以被分配较高wp值。出现次数较多的图案或评估点也可以被分配较高wp值。评估点的示例可以是衬底上的任何物理点或图案、虚拟设计布局或抗蚀剂图像或空间图像上的任何点、或其组合。fp(z1,z2,...,zN)也可以是诸如LWR等一个或多个随机效应的函数,其是设计变量(z1,z2,...,zN)的函数。代价函数可以表示光刻投影设备或衬底的任何合适特性,例如,特征的故障率、焦距、CD、图像偏移、图像失真、图像旋转、随机效应、生产量、CDU或其组合。CDU是局部CD变化(例如,局部CD分布的标准偏差的三倍)。CDU可以互换地被称为LCDU。在一个实施例中,代价函数表示CDU、生产量和随机效应(即,是其函数)。在一个实施例中,代价函数表示EPE、生产量和随机效应(即,是其函数)。在一个实施例中,设计变量(z1,z2,...,zN)包括剂量、图案形成装置的全局偏置、来自源的照射形状或其组合。由于是抗蚀剂图像通常决定衬底上的电路图案,因此代价函数通常包括表示抗蚀剂图像的一些特性的函数。例如,这样的评估点的fp(z1,z2,...,zN)可以简单地是抗蚀剂图像中的点与该点的预期位置之间的距离(即,边缘放置误差EPEp(z1,z2,...,zN))。设计变量可以是任何可调参数,诸如源、图案形成装置、投影光学器件的可调参数、剂量、焦距等。投影光学器件可以包括统称为“波前操纵器”的组件,该组件可以用于调节辐照束的波前的形状和强度分布或相移。投影光学器件优选地可以在沿着光刻投影设备的光路的任何位置调节波前和强度分布,诸如在图案形成装置之前、在光瞳平面附近、在图像平面附近、在焦平面附近。投影光学器件可以用于校正或补偿由例如源、图案形成装置、光刻投影设备中的温度变化、光刻投影设备的组件的热膨胀引起的波前和强度分布的某些畸变。调节波前和强度分布可以改变评估点和代价函数的值。这种变化可以从模型中模拟或实际测量。当然,CF(z1,z2,...,zN)不限于等式1中的形式。CF(z1,z2,...,zN)可以是任何其他合适的形式。
应当注意,fp(z1,z2,...,zN)的标准加权均方根(RMS)定义为
Figure BDA0003399839780000271
因此,最小化fp(z1,z2,...,zN)的加权RMS等同于最小化在等式1中定义的代价函数
Figure BDA0003399839780000272
因此,为了符号的简单起见,fp(z1,z2,...,zN)的加权RMS和等式1在本文中可以互换使用。
此外,如果考虑最大化PW(工艺窗口),可以将来自不同PW条件的相同物理位置视为(等式1)中的代价函数中的不同评估点。例如,如果考虑N个PW条件,则可以根据其PW条件对评估点进行分类,并且将代价函数写为:
Figure BDA0003399839780000273
其中
Figure BDA0003399839780000274
是fp(z1,z2,...,zN)在第u个PW条件下的值,u=1,...,U。当fp(z1,z2,...,zN)是EPE时,最小化上述代价函数就等同于最小化各种PW条件下的边缘偏移,从而导致最大化PW。特别是,如果PW也由不同掩模偏置组成,则最小化上述代价函数还包括最小化MEEF(掩模误差增强因子),MEEF定义为衬底EPE与所诱导的掩模边缘偏置之间的比率。
设计变量可以具有约束,其可以表示为(z1,z2,...,zN)∈Z,其中Z是设计变量的一组可能值。对设计变量的一种可能约束可以由光刻投影设备的期望生产量施加。期望生产量可以限制剂量,并且因此对随机效应有影响(例如,对随机效应施加下限)。较高生产量通常会导致较低剂量、较短曝光时间和较大随机效应。由于随机效应是设计变量的函数,因此考虑衬底生产量和最小化随机效应可以限制设计变量的可能值。如果没有由期望生产量施加的这种约束,优化可能会产生一组不切实际的设计变量值。例如,如果剂量在设计变量中,而没有这样的约束,则优化可能会产生使得生产量在经济上是不可能的剂量值。但是,不应当将约束的有用性解释为必要性。生产量可能会受到对图案化工艺的参数的基于故障率的调节的影响。在保持高生产量的同时,期望特征具有较低故障率。生产量也可能受到抗蚀剂化学物质的影响。较慢抗蚀剂(例如,需要更多量的光才能被正确曝光的抗蚀剂)会导致较低生产量。因此,基于涉及由于抗蚀剂化学或波动引起的特征故障率以及更高生产量的剂量要求的优化过程,可以确定图案化工艺的适当参数。
因此,优化过程是在约束(z1,z2,...,zN)∈Z下,找到能够最小化代价函数的设计变量的一组值,即,找到
Figure BDA0003399839780000281
根据实施例,优化光刻投影设备的一般方法在图11中示出。该方法包括步骤S1202,定义多个设计变量的多变量代价函数。设计变量可以包括选自以下各项的任何合适组合:照射源的特性(1200A)(例如,光瞳填充率,即,穿过光瞳或孔径的源的辐射的百分比)、投影光学器件的特性(1200B)、和设计布局的特性(1200C)。例如,设计变量可以包括照射源的特性(1200A)和设计布局的特性(1200C)(例如,全局偏置),但不包括投影光学器件的特性(1200B),这导致SMO。可替代地,设计变量可以包括照射源的特性(1200A)、投影光学器件的特性(1200B)和设计布局的特性(1200C),这导致源掩模透镜优化(SMLO)。在步骤S1204中,同时调节设计变量,使得代价函数向收敛移动。在步骤S1206中,确定预定义终止条件是否满足。预定终止条件可以包括各种可能性,即,代价函数可以根据所使用的数值技术的需要被最小化或最大化,代价函数的值等于阈值或超过阈值,代价函数达到预设误差限制内,或达到预设迭代次数。如果步骤S1206中的任何一个条件满足,则该方法结束。如果步骤S1206中的条件都不满足,则迭代地重复步骤S1204和S1206,直到获取期望结果。优化不一定会产生设计变量的一组值,因为可能存在由诸如故障率、光瞳填充因子、抗蚀剂化学、生产量等因素引起的物理限制。优化可以提供用于设计变量和相关联性能特性(例如,生产量)的多组值并且允许光刻设备的用户选择一组或多组。
在光刻投影设备中,源、图案形成装置和投影光学器件可以交替地优化(称为交替优化)或同时优化(称为同时优化)。如本文中使用的术语“同时”、“同时地”、“联合”和“联合地”是指允许源、图案形成装置、投影光学器件的特性的设计变量或任何其他设计变量同时改变。如本文中使用的术语“交替”和“交替地”表示并非所有设计变量都被允许同时改变。
在图12中,同时执行所有设计变量的优化。这种流程可以称为同时流程或共同优化流程。可替代地,交替地执行所有设计变量的优化,如图12所示。在该流程中,在每个步骤中,一些设计变量固定,而其他设计变量被优化以最小化代价函数;然后在下一步骤中,不同的一组变量固定,而其他变量被优化以最小化代价函数。这些步骤交替执行,直到收敛或某些终止条件满足。
如图12的非限制性示例流程图所示,首先获取设计布局(步骤S1302),然后在步骤S1304中执行源优化步骤,其中照射源的所有设计变量被优化(SO)以最小化代价函数,而所有其他设计变量固定。然后在下一步骤S1306中,执行掩模优化(MO),其中图案形成装置的所有设计变量被优化以最小化代价函数,而所有其他设计变量固定。这两个步骤交替执行,直到在步骤S1308中某些终止条件满足。可以使用多种终止条件,诸如代价函数的值变得等于阈值,代价函数的值超过阈值,代价函数的值达到预设误差限制内,或达到预设迭代次数等。注意,SO-MO交替优化用作交替流程的示例。交替流程可以采用多种不同形式,诸如SO-LO-MO交替优化,其中执行SO、LO(透镜优化),MO交替地且迭代地执行;或者可以先执行一次SMO,然后交替地且迭代地执行LO和MO;等等。最后,在步骤S1310中获取优化结果的输出,并且过程停止。
如前所述,图案选择算法可以与同时优化或交替优化相结合。例如,当采用交替优化时,首先可以执行全芯片SO,标识“热点”或“暖点”,然后执行MO。鉴于本公开,为了实现期望优化结果,子优化的多种排列和组合都是可能的。
图13A示出了一种示例性优化方法,其中代价函数被最小化。在步骤S502中,获取设计变量的初始值,包括它们的调谐范围(如果有)。在步骤S504中,建立多变量代价函数。在步骤S506中,在针对第一迭代步骤(i=0)的设计变量的起始点值周围的足够小的邻域内扩展代价函数。在步骤S508中,应用标准的多变量优化技术以最小化代价函数。注意,优化问题可以在S508的优化过程中或在优化过程的后期应用约束,诸如调谐范围。步骤S520指示,针对用于被选择以优化光刻工艺的标识出的评估点的给定测试图案(也称为“计量器”(gauge))进行每次迭代。在步骤S510中,预测光刻响应。在步骤S512中,将步骤S510的结果与在步骤S522中获取的期望或理想光刻响应值进行比较。在步骤S514中如果终止条件满足,即,优化生成足够接近期望值的光刻响应值,则在步骤S518中输出设计变量的最终值。输出步骤还可以包括使用设计变量的最终值输出其他函数,诸如输出光瞳平面(或其他平面)处的波前像差调节图、优化的源图以及优化的设计布局等。如果终止条件不满足,则在步骤S516中,用第i迭代的结果更新设计变量的值,并且过程返回步骤S506。下面详细阐述图13A的过程。
在示例性优化过程中,假定或近似设计变量(z1,z2,...,zN)与fp(z1,z2,...,zN)之间没有关系,除了fp(z1,z2,…,zN)足够平滑(例如,存在一阶导数
Figure BDA0003399839780000301
这在光刻投影设备中通常是有效的。可以应用诸如高斯-牛顿(Gauss-Newton)算法、莱文贝格-马夸特(Levenberg-Marquardt)算法、梯度下降算法、模拟退火、遗传算法等算法来寻找
Figure BDA0003399839780000302
这里,以Gauss-Newton算法为例。Gauss-Newton算法是一种适用于一般非线性多变量优化问题的迭代方法。在第i次迭代中,其中设计变量(z1,z2,...,zN)取值为(z1i,z2i,...,zNi),Gauss-Newton算法在(z1i,z2i,...,zNi)附近线性化fp(z1,z2,...,zN),然后计算在(z1i,z2i,...,zNi)附近给出CF(z1,z2,...,zN)的最小值的值(z1(i+1),z2(i+1),...,zN(i+1))。设计变量(z1,z2,...,zN)取第(i+1)次迭代中(z1(i+1),z2(i+1),...,zN(i+1))的值。该迭代一直持续到收敛(即,CF(z1,z2,...,zN)不再减少),或者达到预设迭代次数。
具体地,在第i次迭代中,在(z1i,z2i,...,zNi)附近,
Figure BDA0003399839780000311
在等式3的近似下,代价函数变为:
Figure BDA0003399839780000312
这是设计变量(z1,z2,...,zN)的二次函数。除了设计变量(z1,z2,...,zN),每一项都是常数。
如果设计变量(z1,z2,...,zN)不受任何约束,则(z1(i+1),z2(i+1),...,zN(i+1))可以通过求解N个线性等式
Figure BDA0003399839780000313
得出,其中n=1,2,...N。
如果设计变量(z1,z2,...,zN)处于J个不等式(例如,(z1,z2,...,zN)的调谐范围)
Figure BDA0003399839780000314
和K个等式(例如,设计变量之间的相互依赖性)
Figure BDA0003399839780000315
的形式的约束下;则优化过程变为经典的二次规划问题,其中Anj、Bj、Cnk、Dk是常数。可以为每次迭代施加附加约束。例如,可以引入“阻尼因子”ΔD来限制(z1(i+1),z2(i+1),...,zN(i+1))与(z1i,z2i,...,zNi)之间的差异,使得等式3的近似成立。这种约束可以表示为zniD≤zn≤zniD。可以使用例如由Jorge Nocedal和StephenJ.Wright在Numerical Optimization(第二版)(柏林纽约:范登贝格。剑桥大学出版社)中描述的方法来导出(z1(i+1),z2(i+1),...,zN(i+1))。
代替最小化fp(z1,z2,...,zN)的RMS,优化过程可以将评估点之间的最大偏差(最坏缺陷)的幅度最小化到它们的预期值。在这种方法中,代价函数也可以可替代地表示为
Figure BDA0003399839780000321
其中CLp是fp(z1,z2,...,zN)的最大允许值。该代价函数表示评估点中的最坏缺陷。使用该代价函数进行优化可以最小化最坏缺陷的幅度。迭代贪婪算法可以被用于该优化。
等式5的代价函数可以近似为:
Figure BDA0003399839780000322
其中q是偶数正整数,诸如至少为4,优选地至少为10。等式6模仿等式5的行为,同时允许通过使用诸如最深下降法、共轭梯度法等方法对优化进行分析执行和加速。
最小化最坏缺陷大小也可以与fp(z1,z2,...,zN)的线性化相结合。具体地,fp(z1,z2,...,zN)如等式3中被近似。然后,最坏缺陷大小的约束被写为不等式ELp≤fp(z1,z2,...,zN)≤EUp,其中ELp和EUp是指定fp(z1,z2,...,zN)的最小和最大允许偏差的两个常数。***等式3,这些约束变换为:对于p=1,…P,
Figure BDA0003399839780000323
以及
Figure BDA0003399839780000324
由于等式3通常仅在(z1i,z2i,...,zNi)附近有效,以防在这样的附近无法实现期望约束ELp≤fp(z1,z2,...,zN)≤EUp,这可以由不等式之间的任何冲突来确定,常量ELp和EUp可以放宽,直到可以实现约束。这个优化过程最小化(z1i,z2i,...,zNi)附近的最坏缺陷大小。然后每一步逐渐减小最坏缺陷大小,并且每一步迭代执行,直到满足某个终止条件。这将导致最坏缺陷大小的最佳减少。
最小化最坏缺陷的另一种方式是在每次迭代中调节权重wp。例如,在第i次迭代之后,如果第r个评估点是最坏缺陷,则可以在第(i+1)次迭代中增加wr,使得该评估点的缺陷大小的降低被提供较高优先级。
此外,可以通过引入拉格朗日乘数来修改等式4和等式5中的代价函数,以实现缺陷大小的RMS的优化和最坏缺陷大小的优化之间的权衡,即,
Figure BDA0003399839780000331
其中λ是预设常数,其指定缺陷大小的RMS的优化与最坏缺陷大小的优化之间的权衡。特别地,如果λ=0,则这变为等式4,并且仅最小化缺陷大小的RMS;而如果λ=1,则这变为等式5,并且仅最小化最坏缺陷大小;如果0<λ<1,则在优化中考虑这两者。这种优化可以使用多种方法解决。例如,可以调节每次迭代中的权重,类似于先前描述的。可替代地,类似于根据不等式最小化最坏缺陷大小,公式6'和6"的不等式可以被视为在二次规划问题求解过程中设计变量的约束。然后,可以逐步放宽最坏缺陷大小的界限或逐步增加最坏缺陷大小的权重,计算针对每个可实现最坏缺陷大小的代价函数值,并且选择最小化总代价函数的设计变量值作为下一步的初始点。通过迭代地这样做,可以实现这个新代价函数的最小化。
优化光刻投影设备可以扩大工艺窗口。较大工艺窗口为工艺设计和芯片设计提供更大的灵活性。工艺窗口可以被定义为一组焦距和剂量值,针对该工艺窗口,抗蚀剂图像在抗蚀剂图像的设计目标的特定限制内。注意,这里讨论的所有方法也可以扩展到广义的工艺窗口定义,除了曝光剂量和散焦,还可以通过不同或附加的基本参数来建立该定义。这些可以包括但不限于光学设置,诸如光阻层的NA、西格玛、像差、偏振或光学常数。例如,如前所述,如果PW还包含不同掩模偏置,则优化包括MEEF(掩模误差增强因子)的最小化,MEEF被定义为衬底EPE与所诱导的掩模边缘偏置之间的比率。在焦距和剂量值上定义的工艺窗口在本公开中仅用作示例。下面描述根据实施例的最大化工艺窗口的方法。
在第一步中,从工艺窗口中的已知条件(f00)开始,其中f0是标称焦距并且ε0是标称剂量,在(f0±Δf,ε0±Δε)附近最小化以下代价函数中的一个:
Figure BDA0003399839780000341
或者
Figure BDA0003399839780000342
或者
Figure BDA0003399839780000343
如果允许标称焦距f0和标称剂量ε0移动,则它们可以与设计变量(z1,z2,...,zN)被联合优化。在下一步中,如果可以找到(z1,z2,...,zN,f,ε)的一组值使得代价函数在预设限制内,则(f0±Δf,ε0±Δε)被接受作为工艺窗口的一部分。
可替代地,如果不允许焦距和剂量移动,则设计变量(z1,z2,...,zN)被优化,其中焦距和剂量固定在标称焦距f0和标称剂量ε0。在可替代实施例中,如果可以找到(z1,z2,...,zN)的一组值使得代价函数在预设限制内,则(f0±Δf,ε0±Δε)被接受作为工艺窗口的一部分。
本公开中较早描述的方法可以用于最小化等式7、等式7'或等式7”的相应的代价函数。如果设计变量是投影光学器件的特性,诸如Zernike系数,则最小化等式7、等式7'或等式7”的代价函数导致基于投影光学器件优化(即,LO)的工艺窗口最大化。如果设计变量是源和图案形成装置的特性以及投影光学器件的特性,则最小化等式7、等式7'或等式7"的代价函数导致基于SMLO的工艺窗口最大化,如图12所示。如果设计变量是源和图案形成装置的特性,则最小化等式7、等式7'或等式7"的代价函数导致基于SMO的工艺窗口最大化。等式7、等式7'或等式7"的代价函数还可以包括诸如等式7或等式8中的至少一个fp(z1,z2,...,zN),这是一个或多个随机效应(诸如2D特征的LWR或局部CD变化)和生产量的函数。
图14示出了同时SMLO过程如何使用Gauss Newton算法进行优化的一个具体示例。在步骤S702中,标识设计变量的起始值。还可以标识每个变量的调谐范围。在步骤S704中,使用设计变量定义代价函数。在步骤S706中,在设计布局中的所有评估点的起始值周围展开代价函数。在可选步骤S710中,执行全芯片模拟以覆盖全芯片设计布局中的所有关键图案。在步骤S714中获取期望光刻响应度量(诸如CD或EPE),并且在步骤S712中将该期望光刻响应度量与这些量的预测值进行比较。在步骤S716中,确定工艺窗口。步骤S718、S720和S722类似于关于图13A描述的对应的步骤S514、S516和S518。如前所述,最终输出可以是被优化以产生期望成像性能的光瞳平面中的波前像差图。最终输出也可以是优化的源图或优化的设计布局。
图13B示出了优化代价函数的示例性方法,其中设计变量(z1,z2,...,zN)包括可以仅采用离散值的设计变量。
该方法开始于定义照射源的像素组和图案形成装置的图案形成装置图块(步骤S802)。通常,像素组或图案形成装置图块也可以被称为光刻工艺组件的划分(division)。在一种示例性方法中,照射源被分成117个像素组,并且针对图案形成装置定义94个图案形成装置图块,基本上如上所述,从而产生总共211个划分。
在步骤S804中,选择光刻模型作为光刻模拟的基础。光刻模拟产生用于计算光刻度量或响应的结果。特定光刻度量被定义为要被优化的性能度量(步骤S806)。在步骤S808中,设置照射源和图案形成装置的初始(预优化)条件。初始条件包括照射源的像素组和图案形成装置的图案形成装置图块的初始状态,使得可以参考初始照射形状和初始图案形成装置图案。初始条件还可以包括掩模偏置、NA和焦距斜坡范围。尽管步骤S802、S804、S806和S808被描述为顺序步骤,但是应当理解,在本发明的其他实施例中,这些步骤可以以其他顺序执行。
在步骤S810中,对像素组和图案形成装置图块进行排序。像素组和图案形成装置图块可以在排序中交错。可以采用各种排序方式,包括:顺序地(例如,从像素组1到像素组117以及从图案形成装置图块1到图案形成装置图块94)、随机地、根据像素组和图案形成装置图块的物理位置(例如,将更靠近照射源中心的像素组排序更高)、以及根据像素组或图案形成装置图块的改变如何影响性能度量。
一旦像素组和图案形成装置图块被排序,照射源和图案形成装置被调节以改进性能度量(步骤S812)。在步骤S812中,按照排序的顺序分析像素组和图案形成装置图块中的每一项,以确定像素组或图案形成装置图块的改变是否将导致改进的性能度量。如果确定性能度量将得到改进,则像素组或图案形成装置图块相应地改变,并且所得到的改进的性能度量和经修改的照射形状或经修改的图案形成装置图案形成用于比较的基线,以用于较低排序的像素组和图案形成装置图块的后续分析。换言之,改进性能度量的改变被保留。由于像素组和图案形成装置图块的状态的改变被做出且被保留,初始照射形状和初始图案形成装置图案相应地改变,从而使得从步骤S812中的优化过程产生经修改的照射形状和经修改的图案形成装置图案。
在其他方法中,还在S812的优化过程内执行图案形成装置多边形形状调节和像素组或图案形成装置图块的成对轮询。
在可替代实施例中,交错同时优化过程可以包括改变照射源的像素组,并且如果发现性能度量的改进,则步进增加和步进降低剂量以寻找进一步改进。在另外的可替代实施例中,剂量或强度的步进增加和步进降低可以替换为图案形成装置图案的偏置变化,以寻求在同时优化过程中的进一步改进。
在步骤S814中,确定性能度量是否已经收敛。例如,如果在步骤S810和S812的最后几次迭代中已经见证性能度量的改进很少或没有改进,则可以认为性能度量已经收敛。如果性能度量没有收敛,则在下一次迭代中重复S810和S812的步骤,其中来自当前迭代的经修改的照射形状和经修改的图案形成装置用作下一迭代的初始照射形状和初始图案形成装置(步骤S816)。
上述优化方法可以用于增加光刻投影设备的生产量。例如,代价函数可以包括作为曝光时间的函数的fp(z1,z2,...,zN)。这种代价函数的优化优选地受随机效应的度量或其他度量的约束或影响。具体地,用于增加光刻工艺的生产量的计算机实现的方法可以包括优化作为光刻工艺的一个或多个随机效应的函数和衬底的曝光时间的函数的代价函数,以最小化曝光时间。
在一个实施例中,代价函数包括作为一种或多种随机效应的函数的至少一个fp(z1,z2,...,zN)。随机效应可以包括特征的故障、如图3的方法中确定的测量数据(例如,SEPE)、2D特征的LWR或局部CD变化。在一个实施例中,随机效应包括抗蚀剂图像的特性的随机变化。例如,这种随机变化可以包括特征的故障率、线边缘粗糙度(LER)、线宽粗糙度(LWR)和临界尺寸均匀性(CDU)。在代价函数中包括随机变化允许找到最小化随机变化的设计变量的值,从而降低由于随机效应导致的缺陷的风险。
图15是示出可以帮助实现本文中公开的优化方法和流程的计算机***100的框图。计算机***100包括用于传送信息的总线102或其他通信机制、以及与总线102耦合以用于处理信息的处理器104(或多个处理器104和105)。计算机***100还包括耦合到总线102以用于存储要由处理器104执行的信息和指令的主存储器106,诸如随机存取存储器(RAM)或其他动态存储设备。主存储器106还可以用于在要由处理器104执行的指令的执行期间存储临时变量或其他中间信息。计算机***100还包括耦合到总线102以用于存储用于处理器104的静态信息和指令的只读存储器(ROM)108或其他静态存储设备。诸如磁盘或光盘等存储设备110被提供并且耦合到总线102以用于存储信息和指令。
计算机***100可以经由总线102耦合到诸如阴极射线管(CRT)或平板或触摸面板显示器等显示器112以用于向计算机用户显示信息。包括字母数字键和其他键的输入设备114耦合到总线102以用于向处理器104传送信息和命令选择。另一种类型的用户输入设备是用于向处理器104传送方向信息和命令选择并且用于控制显示器112上的光标移动的光标控制116,诸如鼠标、轨迹球或光标方向键。该输入设备通常具有在两个轴(第一轴(例如,x)和第二轴(例如,y))上的两个自由度,以允许设备指定平面中的位置。触摸面板(屏幕)显示器也可以用作输入设备。
根据一个实施例,优化过程的部分可以由计算机***100响应于处理器104执行主存储器106中包含的一个或多个指令的一个或多个序列而执行。这样的指令可以从诸如存储设备110等另一计算机可读介质读入主存储器106中。主存储器106中包含的指令序列的执行使得处理器104执行本文中描述的处理步骤。还可以采用多处理布置的一个或多个处理器来执行主存储器106中包含的指令序列。在可替代实施例中,可以使用硬连线电路***代替软件指令或与软件指令结合使用。因此,本文中的描述不限于硬件电路***和软件的任何特定组合。
本文中使用的术语“计算机可读介质”是指参与向处理器104提供指令以供执行的任何介质。这种介质可以采用多种形式,包括但不限于非易失性介质、易失性介质和传输介质。非易失性介质包括例如光盘或磁盘,诸如存储设备110。易失性介质包括动态存储器,诸如主存储器106。传输介质包括同轴电缆、铜线和光纤,包括构成总线102的电线。传输介质也可以采用声波或光波的形式,诸如在射频(RF)和红外(IR)数据通信期间生成的那些。计算机可读介质的常见形式包括例如软盘、柔性盘、硬盘、磁带、任何其他磁介质、CD-ROM、DVD、任何其他光学介质、穿孔卡、纸带、具有孔洞图案的任何其他物理介质、RAM、PROM和EPROM、FLASH-EPROM、任何其他存储器芯片或盒式磁带、下文所述的载波、或计算机可以从中读取的任何其他介质。
各种形式的计算机可读介质可以涉及将一个或多个指令的一个或多个序列携带到处理器104以用于执行。例如,指令最初可以承载在远程计算机的磁盘上。远程计算机可以将指令加载到其动态存储器中,并且使用调制解调器通过电话线发送指令。计算机***100本地的调制解调器可以在电话线上接收数据并且使用红外发射器将数据转换为红外信号。耦合到总线102的红外检测器可以接收红外信号中携带的数据并且将数据放置在总线102上。总线102将数据携带到主存储器106,处理器104从主存储器106检索和执行指令。由主存储器106接收的指令可以可选地在由处理器104执行之前或之后被存储在存储设备110上。
计算机***100还优选地包括耦合到总线102的通信接口118。通信接口118提供与连接到本地网络122的网络链路120的双向数据通信耦合。例如,通信接口118可以是用于提供与对应类型电话线的数据通信连接的综合服务数字网络(ISDN)卡或调制解调器。作为另一示例,通信接口118可以是用于提供与兼容LAN的数据通信连接的局域网(LAN)卡。也可以实现无线链接。在任何这样的实现中,通信接口118发送和接收携带表示各种类型的信息的数字数据流的电、电磁或光信号。
网络链路120通常提供通过一个或多个网络与其他数据设备的数据通信。例如,网络链路120可以提供通过本地网络122与主机124或与由互联网服务提供商(ISP)126操作的数据设备的连接。ISP126进而通过全球分组数据通信网络(现在通常称为“互联网”128)提供数据通信服务。本地网络122和互联网128都使用携带数字数据流的电、电磁或光信号。通过各种网络的信号和网络链路120上的信号以及通过通信接口118的信号(该信号将数字数据携带到计算机***100和从计算机***100携带数字数据)是传输信息的载波的示例性形式。
计算机***100可以通过(多个)网络、网络链路120和通信接口118发送消息和接收数据,包括程序代码。在互联网示例中,服务器130可以通过互联网128、ISP 126、本地网络122和通信接口118传输用于应用程序的请求代码。例如,一个这样的下载应用可以提供实施例的照射优化。接收到的代码可以在接收到时由处理器104执行,或被存储在存储设备110或其他非易失性存储器中以用于以后执行。以这种方式,计算机***100可以获取载波形式的应用代码。
图16示意性地描绘了示例性光刻投影设备,该光刻投影设备的照射源可以利用本文中描述的方法进行优化。该设备包括:
-照射***IL,其用于调节辐射束B。在这种特定情况下,照射***还包括辐射源SO;
-第一物体台(例如,掩模台)MT,其设置有图案形成装置支架以保持图案形成装置MA(例如,掩模版),并且连接到第一***以相对于物品PS准确地定位图案形成装置;
-第二物体台(衬底台)WT,其设置有衬底支架以保持衬底W(例如,涂覆有抗蚀剂的硅晶片),并且连接到第二***以相对于物品PS准确地定位衬底;
-投影***(“透镜”)PS(例如,折射、反射或反射折射光学***),其用于将图案形成装置MA的照射部分成像到衬底W的目标部分C(例如,包括一个或多个管芯)上。
如本文所述,该设备是透射型的(即,具有透射掩模)。然而,通常,它也可以是反射型的,例如(具有反射掩模)。替代地,该设备可以采用另一种图案形成装置作为使用经典掩模的可替代方案;示例包括可编程反射镜阵列或LCD矩阵。
源SO(例如,汞灯或准分子激光器)产生辐射束。该束直接或在穿过调节装置(诸如扩束器Ex)之后被馈入照射***(照射器)IL。照射器IL可以包括用于设置束中的强度分布的外部或内部径向范围(通常分别称为σ-外和σ-内)的调节装置AD。另外,照射器通常包括各种其他组件,诸如积分器IN和聚束器CO。以这种方式,照入射在图案形成装置MA上的束B在其横截面中具有期望的均匀性和强度分布。
关于图16应当注意,源SO可以在光刻投影设备的壳体内(例如,当源SO是汞灯时经常是这种情况),但是也可以远离光刻投影设备,其产生的辐射束被引入到设备中(例如,借助于合适的定向反射镜);当源SO是准分子激光器(例如,基于KrF、ArF或F2激光)时,通常会出现后一种情况。
束PB随后拦截被保持在图案形成装置台MT上的图案形成装置MA。在穿过图案形成装置MA之后,束B穿过透镜PL,透镜PL将束B聚焦到衬底W的目标部分C上。借助于第二定位装置(和干涉测量装置IF),衬底台WT可以精确地移动,例如以便在束PB的路径中定位不同目标部分C。类似地,第一定位装置可以用于相对于光束B的路径准确地定位图案形成装置MA,例如,在从图案形成装置库机械地取回图案形成装置MA之后,或在扫描期间。通常,物体台MT、WT的移动将借助于长行程模块(粗略定位)和短行程模块(精细定位)(图16中未明确描绘)来实现。在晶片步进器(与步进扫描工具相反)的情况下,图案形成装置台MT可以仅连接到短行程致动器,或者可以是固定的。
所描绘的工具可以在两种模式下使用:
-在步进模式下,图案形成装置台MT基本上保持静止,并且整个图案形成装置图像被一次(即,单个“闪光”)投影到目标部分C上。然后衬底台WT在x或y方向上移动,使得不同目标部分C可以被束PB照射;
-在扫描模式下,基本上相同的场景适用,除了给定目标部分C不是在单个“闪光”中曝光。代替地,图案形成装置台MT可以在给定方向(所谓的“扫描方向”,例如y方向)上以速度v移动,使得投影束B被引起扫描图案形成装置图像;同时,衬底台WT以速度V=Mv在相同或相反方向上同时移动,其中M是透镜PL的放大率(通常,M=1/4或1/5)。以这种方式,可以曝光相对较大的目标部分C,而不必牺牲分辨率。
图17示意性地描绘了另一示例性光刻投影设备1000,光刻投影设备1000的照射源可以利用本文中描述的方法进行优化。
光刻投影设备1000包括:
-源收集器模块SO
-照射***(照射器)IL,其被配置为调节辐射束B(例如,EUV辐射)。
-支撑结构(例如,掩模台)MT,其被构造为支撑图案形成装置(例如,掩模或掩模版)MA并且连接到第一***PM,第一***PM被配置为精确定位图案形成装置;
-衬底台(例如,晶片台)WT,其被构造为保持衬底(例如,涂覆有抗蚀剂的晶片)W并且连接到第二***PW,第二***PW被配置为精确定位衬底;以及
-投影***(例如,反射投影***)PS,其被配置为将通过图案形成装置MA赋予给辐射束B的图案投影到衬底W的目标部分C(例如,包括一个或多个管芯)上。
如这里所描绘的,设备1000是反射型的(例如,采用反射掩模)。应当注意,由于大多数材料在EUV波长范围内具有吸收性,因此掩模可以具有多层反射器,该多层反射器包括例如钼和硅的多叠层。在一个示例中,多叠层反射器具有40层成对的钼和硅,其中每层的厚度为四分之一波长。可以通过X射线光刻产生甚至更小的波长。由于大多数材料在EUV和X射线波长下具有吸收性,因此在图案形成装置的形貌上的薄片的经图案化的吸收材料(例如,多层反射器之上的TaN吸收剂)定义了特征将印刷在何处(正性抗蚀剂)或不印刷在何处(负性抗蚀剂)。
参考图17,照射器IL从源收集器模块SO接收极紫外辐射束。用于产生EUV辐射的方法包括但不一定限于将材料转化为等离子体状态,该等离子体状态的至少一种元素(例如,氙、锂或锡)的一条或多条发射线在EUV范围内。在通常称为激光产生等离子体(“LPP”)的一种这样的方法中,等离子体可以通过用激光束辐照诸如具有线发射元素的材料的液滴、流或簇等燃料来产生。源收集器模块SO可以是用于提供激发燃料的激光束的包括激光器(图17中未示出)的EUV辐射***的一部分。所得到的等离子体发射输出辐射,例如EUV辐射,该辐射使用设置在源收集器模块中的辐射收集器来收集。激光器和源收集器模块可以是分开的实体,例如当使用CO2激光器来提供用于燃料激发的激光束时。
在这种情况下,认为激光器没有形成光刻设备的一部分,并且辐射束借助于光束传输***从激光器传递到源收集器模块,该光束传输***包括例如合适的定向反射镜或扩束器。在其他情况下,源可以是源收集器模块的组成部分,例如当源是通常被称为DPP源的放电产生等离子体EUV发生器时。
照射器IL可以包括用于调节辐射束的角强度分布的调节器。通常,至少可以调节照射器的光瞳平面中的强度分布的外部或内部径向范围(通常分别称为σ-外-和σ-内)。另外,照射器IL可以包括各种其他组件,诸如琢面场和光瞳反射镜装置。照射器可以用于调节辐射束,以在其横截面中具有期望的均匀性和强度分布。
辐射束B入射在被保持在支撑结构(例如,掩模台)MT上的图案形成装置(例如,掩模)MA上,并且由图案形成装置图案化。在从图案形成装置(例如,掩模)MA反射之后,辐射束B穿过投影***PS,投影***PS将光束聚焦到衬底W的目标部分C上。借助于第二***PW和位置传感器PS2(例如,干涉测量装置、线性编码器或电容式传感器),衬底台WT可以精确地移动,例如以便在辐射束B的路径中定位不同目标部分C。类似地,第一***PM和另一位置传感器PS1可以用于相对于辐射束B的路径准确地定位图案形成装置(例如,掩模)MA。可以使用图案形成装置对准标记M1、M2和衬底对准标记P1、P2来对准图案形成装置(例如,掩模)MA和衬底W。
所描绘的设备1000可以在以下模式中的至少一种下使用:
1.在步进模式下,支撑结构(例如,掩模台)MT和衬底台WT基本保持静止,而赋予给辐射束的整个图案被一次投射到目标部分C上(即,单次静态曝光)。然后衬底台WT在X或Y方向上移动,从而可以暴露不同目标部分C。
2.在扫描模式下,支撑结构(例如,掩模台)MT和衬底台WT被同步扫描,同时赋予给辐射束的图案被投影到目标部分C上(即,单次动态曝光)。衬底台WT相对于支撑结构(例如,掩模台)MT的速度和方向可以由投影***PS的(去)放大率和图像反转特性确定。
3.在另一模式下,支撑结构(例如,掩模台)MT保持基本静止以保持可编程图案形成装置,并且在赋予给辐射束的图案被投影到目标部分C上的同时,衬底台WT被移动或扫描。在这种模式下,通常采用脉冲辐射源并且在衬底台WT的每次移动之后或在扫描期间的连续辐射脉冲之间根据需要更新可编程图案形成装置。这种操作模式可以容易地应用于利用可编程图案(诸如上述类型的可编程反射镜阵列)形成装置的无掩模光刻。
图18更详细地示出了设备1000,设备1000包括源收集器模块SO、照射***IL和投影***PS。源收集器模块SO被构造和布置为使得可以在源收集器模块SO的封闭结构220中保持真空环境。EUV辐射发射等离子体210可以由放电产生等离子体源形成。EUV辐射可以由气体或蒸汽产生,例如Xe气、Li蒸汽或Sn蒸汽,其中产生非常热的等离子体210以发射在电磁光谱的EUV范围内的辐射。非常热的等离子体210由例如引起至少部分电离等离子体的放电产生。为了有效地生成辐射,可能需要例如10Pa的Xe、Li、Sn蒸气或任何其他合适的气体或蒸气的分压。在一个实施例中,提供受激锡(Sn)的等离子体以产生EUV辐射。
由热等离子体210发射的辐射从源室211经由定位在源室211中的开口中或位于该开口的后面的可选的气体屏障或污染物捕集器230(在某些情况下也称为污染物屏障或箔捕集器)传递到收集器室212中。污染物捕集器230可以包括通道结构。污染捕集器230还可以包括气体屏障或气体屏障与通道结构的组合。如本领域已知的,本文中进一步指出的污染物捕集器或污染物屏障230至少包括通道结构。
收集器室211可以包括辐射收集器CO,辐射收集器CO可以是所谓的掠入射收集器。辐射收集器CO具有上游辐射收集器侧251和下游辐射收集器侧252。穿过收集器CO的辐射可以被光栅光谱滤波器240反射,以沿着由点划线“O”指示的光轴聚焦在虚拟源点IF中。虚拟源点IF通常被称为中间焦距,并且源收集器模块被布置为使得中间焦距IF位于封闭结构220中的开口221处或附近。虚拟源点IF是辐射发射等离子体210的图像。
随后,辐射穿过照射***IL,照射***IL可以包括琢面场反射镜装置22和琢面光瞳反射镜装置24,被布置为在图案形成装置MA处提供辐射束21的期望角分布并且在图案形成装置MA处提供辐射强度的期望均匀性。当辐射束21在由支撑结构MT保持的图案形成装置MA处反射时,形成经图案化的束26,并且经图案化的束26由投影***PS经由反射元件28、30被成像到由衬底台WT保持的衬底W上。
在照射光学单元IL和投影***PS中通常可以存在比所示出的更多的元件。取决于光刻设备的类型,可以可选地存在光栅光谱滤波片240。此外,可以存在比图中所示的更多的反射镜,例如,与图18所示出的相比,在投影***PS中可以存在附加的1-6个反射元件。
如图18所示,收集器光学器件CO被描绘为具有掠入射反射器253、254和255的巢状收集器,仅作为收集器(或收集器反射镜)的示例。掠入射反射器253、254和255围绕光轴O轴对称地设置,并且这种类型的收集器光学器件CO优选与放电产生等离子体源(通常称为DPP源)结合使用。
替代地,源收集器模块SO可以是如图19所示的LPP辐射***的一部分。激光器LA被布置为将激光能量沉积到燃料中,诸如氙(Xe)、锡(Sn)或锂(Li),以产生电子温度为几十eV的高度电离等离子体210。在这些离子的去激发和复合过程中产生的高能辐射从等离子体发射,由近正入射收集器光学器件CO收集,并且聚焦到封闭结构220中的开口221上。
可以使用以下条项进一步描述实施例:
1.一种用于确定对在图案化工艺中使用的掩模的特征的校正的方法,所述方法包括:
获取(i)用于设计布局的图案组,以及(ii)用于所述设计布局的、使用在所述图案化工艺中使用的所述掩模成像的衬底的缺陷检查数据;
基于所述缺陷检查数据,确定与所述图案组相关联的缺陷图,其中所述缺陷图包括与所述设计布局的其他图案相比被印刷在所述衬底上的概率相对较高的辅助特征的位置;以及
经由使用与所述缺陷图相关联的数据模拟光学邻近校正过程,确定对所述掩模的所述特征的校正。
2.根据条项1所述的方法,其中所述确定所述缺陷图包括:
在所述缺陷检查数据内标识所述图案组的多个印刷实例;
跨所述图案组的所述多个印刷实例检测辅助特征;
为所述图案组的所述多个印刷实例中的印刷实例定义网格;以及
基于所述网格上的位置,确定辅助特征在所述网格上的所述位置处的出现概率。
3.根据条项2所述的方法,其中确定辅助特征在所述位置处的所述出现概率包括:
对准所述图案组的所述多个印刷实例;
标识印刷在与对准的多个印刷实例相关联的所述印刷图案的所述网格上的所述位置处的辅助特征的总数,其中印刷在所述网格上的所述位置处的所述辅助特征的所述总数包括跨所述多个印刷实例在与所述网格上的所述位置相对应的位置处检测到的辅助特征的总数;以及
确定所述辅助特征在所述网格上的所述位置处的所述出现概率,其中所述概率是基于所述印刷的辅助特征的所述总数或所述检测到的辅助特征的所述总数来确定的。
4.根据条项3所述的方法,其中所述出现概率是基于所述网格上的特定位置处的一个或多个辅助特征的大小来确定的,其中当选择的辅助特征位于跨所述多个印刷实例与所述网格上的所述特定位置相对应的位置处时,所述选择的辅助特征位于所述网格上的所述特定位置处。
5.根据条项3所述的方法,其中所述网格上的所述位置是所述图案组内的区域。
6.根据条项1-5中任一项所述的方法,还包括:
获取用于所述设计布局的、使用在所述图案化工艺中使用的所述掩模成像的衬底的量测数据;
基于所述量测数据,确定与所述图案组相关联的度量的变化;以及
经由使用与所述度量的所述变化或所述缺陷图相关联的数据模拟光学邻近校正过程,确定对所述掩模的所述特征的校正。
7.根据条项6所述的方法,其中确定所述度量的所述变化包括:
在所述量测数据内标识所述图案组的多个印刷实例;
对准所述图案组的所述多个印刷实例;以及
确定与所述图案组相关联的所述度量的所述变化。
8.根据条项6-7中任一项所述的方法,其中所述度量是与所述图案组的特征相关联的临界尺寸、线边缘粗糙度或几何性质。
9.根据条项6-8中任一项所述的方法,其中所述量测数据是经由量测工具获取的。
10.根据条项9所述的方法,其中所述量测工具是扫描电子显微镜(SEM),并且所述量测数据是从SEM图像获取的统计量。
11.根据条项10所述的方法,其中所述统计量是以下中的至少一项:与所述图案组相关联的临界尺寸(CD)值的分布、与所述图案组相关联的CD值的标准偏差、与所述图案组相关联的边缘变化带宽、或与所述图案组相关联的线粗糙度的三西格玛变化。
12.根据条项1-11中任一项所述的方法,其中与所述缺陷图相关联的所述数据是以下中的至少一项:所述缺陷在所述缺陷图或所述网格上的位置、所述位置处的所述缺陷的概率值、或所述位置处的所述辅助特征的大小。
13.根据条项1-12中任一项所述的方法,其中当所述概率高于预定阈值时,与所述图案组相关联的所述辅助特征被印刷的概率增加。
14.根据条项1-13中任一项所述的方法,其中当所述概率高于辅助特征的参考组的中值概率或平均概率时,与所述图案组相关联的所述辅助特征被印刷的概率增加。
15.根据条项1-14中任一项所述的方法,其中印刷在所述衬底上的所述辅助特征包括印刷在制造在所述衬底上的多个层中的任何层上的所述辅助特征。
16.根据条项1-15中任一项所述的方法,其中所述网格是以下中的至少一项:方形网格、矩形网格、三角形网格或径向网格。
17.一种计算机程序产品,包括其上记录有指令的非暂态计算机可读介质,所述指令在由计算机执行时实现根据上述条项中任一项所述的方法。
本文中公开的概念可以模拟或数学建模用于对亚波长特征进行成像的任何通用成像***,并且对于能够产生越来越短波长的新兴成像技术尤其有用。已经在使用的新兴技术包括EUV(极紫外)、能够使用ArF激光器产生193nm波长的DUV光刻、以及甚至能够使用氟激光器产生157nm波长的DUV光刻。此外,EUV光刻能够通过使用同步加速器或通过用高能电子撞击材料(固体或等离子体)以产生20nm-5nm范围内的光子来产生该范围内的波长。
虽然本文中公开的概念可以用于在诸如硅晶片等衬底上成像,但是应当理解,所公开的概念可以与任何类型的光刻成像***一起使用,例如,用于在除硅晶片之外的其他衬底上成像的那些光刻成像***。
以上描述旨在是说明性的,而不是限制性的。因此,对于本领域技术人员来说很清楚的是,可以在不脱离下面阐述的权利要求的范围的情况下进行所描述的修改。

Claims (15)

1.一种用于确定对在图案化工艺中使用的掩模的特征的校正的方法,所述方法包括:
获取(i)用于设计布局的图案组,以及(ii)用于所述设计布局的、使用在所述图案化工艺中使用的所述掩模成像的衬底的缺陷检查数据;
基于所述缺陷检查数据,确定与所述图案组相关联的缺陷图,其中所述缺陷图包括与所述设计布局的其他图案相比被印刷在所述衬底上的概率相对较高的辅助特征的位置,
其中确定所述缺陷图包括:
在所述缺陷检查数据内标识所述图案组的多个印刷实例;
跨所述图案组的所述多个印刷实例检测辅助特征;
为所述图案组的所述多个印刷实例中的印刷实例定义网格;以及
基于所述网格上的位置,确定辅助特征在所述网格上的所述位置处的出现概率;以及
经由使用与所述缺陷图相关联的数据模拟光学邻近校正过程,确定对所述掩模的所述特征的校正。
2.根据权利要求1所述的方法,其中确定辅助特征在所述位置处的所述出现概率包括:
对准所述图案组的所述多个印刷实例;
标识印刷在与对准的多个印刷实例相关联的所述印刷图案的所述网格上的所述位置处的辅助特征的总数,其中印刷在所述网格上的所述位置处的所述辅助特征的所述总数包括跨所述多个印刷实例在与所述网格上的所述位置相对应的位置处检测到的辅助特征的总数;以及
确定所述辅助特征在所述网格上的所述位置处的所述出现概率,其中所述概率是基于印刷的所述辅助特征的所述总数或所述检测到的辅助特征的所述总数来确定的。
3.根据权利要求2所述的方法,其中所述出现概率是基于所述网格上的特定位置处的一个或多个辅助特征的大小来确定的,其中当选择的辅助特征位于跨所述多个印刷实例与所述网格上的所述特定位置相对应的位置处时,所述选择的辅助特征位于所述网格上的所述特定位置处。
4.根据权利要求2所述的方法,其中所述网格上的所述位置是所述图案组内的区域。
5.根据权利要求1所述的方法,还包括:
获取用于所述设计布局的、使用在所述图案化工艺中使用的所述掩模成像的所述衬底的量测数据;
基于所述量测数据,确定与所述图案组相关联的度量的变化;以及
经由使用与所述度量的所述变化或所述缺陷图相关联的数据模拟光学邻近校正过程,确定对所述掩模的所述特征的校正。
6.根据权利要求5所述的方法,其中确定所述度量的所述变化包括:
在所述量测数据内标识所述图案组的多个印刷实例;
对准所述图案组的所述多个印刷实例;以及
确定与所述图案组相关联的所述度量的所述变化。
7.根据权利要求5所述的方法,其中所述度量是与所述图案组的特征相关联的临界尺寸、线边缘粗糙度或几何性质。
8.根据权利要求5所述的方法,其中所述量测数据是经由量测工具获取的。
9.根据权利要求8所述的方法,其中所述量测工具是扫描电子显微镜(SEM),并且所述量测数据是从SEM图像获取的统计量。
10.根据权利要求9所述的方法,其中所述统计量是以下中的至少一项:与所述图案组相关联的临界尺寸(CD)值的分布、与所述图案组相关联的CD值的标准偏差、与所述图案组相关联的边缘变化带宽、或与所述图案组相关联的线粗糙度的三西格玛变化。
11.根据权利要求1所述的方法,其中与所述缺陷图相关联的所述数据是以下中的至少一项:所述缺陷在所述缺陷图或所述网格上的位置、所述位置处的所述缺陷的概率值、或所述位置处的所述辅助特征的大小。
12.根据权利要求1所述的方法,其中当所述概率高于预定阈值时,与所述图案组相关联的所述辅助特征被印刷的概率增加。
13.根据权利要求1所述的方法,其中当所述概率高于辅助特征的参考组的中值概率或平均概率时,与所述图案组相关联的所述辅助特征被印刷的概率增加。
14.根据权利要求1所述的方法,其中被印刷在所述衬底上的所述辅助特征包括被印刷在制造在所述衬底上的多个层中的任何层上的所述辅助特征。
15.一种计算机程序产品,包括其上记录有指令的非暂态计算机可读介质,所述指令在由计算机执行时实现根据权利要求1所述的方法。
CN202080042327.9A 2019-04-15 2020-03-26 用于确定对掩模的特征的校正的方法 Pending CN113924525A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962833958P 2019-04-15 2019-04-15
US62/833,958 2019-04-15
PCT/EP2020/058491 WO2020212107A1 (en) 2019-04-15 2020-03-26 Method for determining corrections to features of a mask

Publications (1)

Publication Number Publication Date
CN113924525A true CN113924525A (zh) 2022-01-11

Family

ID=70050111

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080042327.9A Pending CN113924525A (zh) 2019-04-15 2020-03-26 用于确定对掩模的特征的校正的方法

Country Status (4)

Country Link
US (1) US11126089B2 (zh)
CN (1) CN113924525A (zh)
TW (2) TWI749522B (zh)
WO (1) WO2020212107A1 (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11380516B2 (en) 2017-04-13 2022-07-05 Fractilia, Llc System and method for generating and analyzing roughness measurements and their use for process monitoring and control
US10522322B2 (en) 2017-04-13 2019-12-31 Fractilia, Llc System and method for generating and analyzing roughness measurements
US10176966B1 (en) 2017-04-13 2019-01-08 Fractilia, Llc Edge detection system
US11270054B1 (en) * 2020-08-31 2022-03-08 Siemens Industry Software Inc. Method and system for calculating printed area metric indicative of stochastic variations of the lithographic process
WO2023066657A1 (en) * 2021-10-19 2023-04-27 Asml Netherlands B.V. Pattern matching method
TWI795211B (zh) * 2022-02-15 2023-03-01 友達光電股份有限公司 控制電路裝置
JP7365589B2 (ja) 2022-03-04 2023-10-20 フジテック株式会社 エレベータ

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030023939A1 (en) * 2001-07-26 2003-01-30 Numerical Technologies Method and apparatus for analyzing a layout using an instance-based representation
US20070035728A1 (en) * 2005-08-02 2007-02-15 Kekare Sagar A Methods and systems for detecting defects in a reticle design pattern
US20150154746A1 (en) * 2005-11-18 2015-06-04 Kla-Tencor Technologies Corporation Methods and Systems for Utilizing Design Data in Combination with Inspection Data

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
JP2938568B2 (ja) 1990-05-02 1999-08-23 フラウンホファー・ゲゼルシャフト・ツール・フォルデルング・デル・アンゲバンテン・フォルシュング・アインゲトラーゲネル・フェライン 照明装置
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
WO1997033205A1 (en) 1996-03-06 1997-09-12 Philips Electronics N.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
DE69735016T2 (de) 1996-12-24 2006-08-17 Asml Netherlands B.V. Lithographisches Gerät mit zwei Objekthaltern
US6456736B1 (en) * 1999-02-16 2002-09-24 Applied Materials, Inc. Automatic field sampling for CD measurement
US7003758B2 (en) 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
US7587704B2 (en) 2005-09-09 2009-09-08 Brion Technologies, Inc. System and method for mask verification using an individual mask error model
US7749662B2 (en) 2005-10-07 2010-07-06 Globalfoundries Inc. Process margin using discrete assist features
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
US8166424B2 (en) 2008-09-16 2012-04-24 United Microelectronics Corp. Method for constructing OPC model
KR101766734B1 (ko) 2008-11-21 2017-08-16 에이에스엠엘 네델란즈 비.브이. 자유 소스 및 자유 마스크를 이용하는 프로세스 최적화
US8786824B2 (en) 2009-06-10 2014-07-22 Asml Netherlands B.V. Source-mask optimization in lithographic apparatus
JP2012155179A (ja) * 2011-01-27 2012-08-16 Toshiba Corp 欠陥検査支援装置、欠陥検査支援方法
US8458626B1 (en) 2012-01-20 2013-06-04 International Business Machines Corporation Method for calibrating an SRAF printing model
CN105849643B (zh) 2013-12-17 2019-07-19 Asml荷兰有限公司 良品率估计和控制
KR102415583B1 (ko) 2017-06-30 2022-07-04 삼성전자주식회사 Opc 모델의 최적화 방법 및 이를 이용한 반도체 소자의 제조 방법
US10495967B2 (en) 2017-09-28 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of mask simulation model for OPC and mask making

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030023939A1 (en) * 2001-07-26 2003-01-30 Numerical Technologies Method and apparatus for analyzing a layout using an instance-based representation
US20070035728A1 (en) * 2005-08-02 2007-02-15 Kekare Sagar A Methods and systems for detecting defects in a reticle design pattern
US20150154746A1 (en) * 2005-11-18 2015-06-04 Kla-Tencor Technologies Corporation Methods and Systems for Utilizing Design Data in Combination with Inspection Data

Also Published As

Publication number Publication date
US11126089B2 (en) 2021-09-21
TW202046007A (zh) 2020-12-16
US20200326632A1 (en) 2020-10-15
TWI749522B (zh) 2021-12-11
TW202208980A (zh) 2022-03-01
WO2020212107A1 (en) 2020-10-22

Similar Documents

Publication Publication Date Title
US20220179321A1 (en) Method for determining pattern in a patterning process
CN107430347B (zh) 图像对数斜率(ils)优化
US11567413B2 (en) Method for determining stochastic variation of printed patterns
CN113454532A (zh) 训练机器学习模型以确定掩模的光学邻近效应校正的方法
US11126089B2 (en) Method for determining corrections to features of a mask
US20210407112A1 (en) Method in the manufacturing process of a device, a non-transitory computer-readable medium and a system configured to perform the method
US11669019B2 (en) Method for determining stochastic variation associated with desired pattern
CN115087925A (zh) 包括使用经训练机器学习模型的光学邻近效应校正的用于确定掩模图案的方法
US20230023153A1 (en) Method for determining a field-of-view setting
TWI794601B (zh) 用於基於缺陷而判定圖案化程序之特性以減少熱點的方法
EP3462240A1 (en) Method of determining control parameters of a device manufacturing process
CN114600047A (zh) 用于目标图案的基于规则的重靶向的方法
US20230244152A1 (en) Systems, methods, and products for determining printing probability of assist feature and its application
US20230333483A1 (en) Optimization of scanner throughput and imaging quality for a patterning process
EP3822703A1 (en) Method for determining a field-of-view setting

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination